Entries |
Document | Title | Date |
20080197387 | Solid-State imaging device and camera - A solid-state imaging device is provided. The solid-state imaging device includes: pixels arrayed; a photoelectric conversion element in each of the pixels; a read transistor for reading electric charges photoelectrically-converted in the photoelectric conversion elements to a floating diffusion portion; a shallow trench element isolation region bordering the floating diffusion portion; and an impurity diffusion isolation region for element isolation regions other than the shallow trench element isolation region. | 08-21-2008 |
20080197388 | Pixel structure of CMOS image sensor and method of forming the pixel structure - Provided is a pixel structure of a CMOS image sensor. The pixel structure may include a semiconductor substrate, a photo diode, and a color filter. The photo diode may have a trench structure formed in the semiconductor substrate. The color filter may be formed in the trench structure. The color filter may be formed by filling a material in the trench structure using a gap-fill process. The material in the trench structure may transmit light having a wavelength within a predetermined or given range. Because the color filter of the pixel structure of the CMOS image sensor may be formed in the photo diode having the afore-mentioned trench structure, the height of the pixel may be decreased, and the efficiency of the output signal and the color sensitivity may be increased. | 08-21-2008 |
20080197389 | Image sensor with improved charge transfer efficiency and method for fabricating the same - An image sensor includes: a first impurity region of the first conductive type aligned with one side of the gate structure and extending to a first depth from a surface portion of the semiconductor layer; a first spacer formed on each sidewall of the gate structure; a second impurity region of the first conductive type, aligned with the first spacer and extending to a second depth that is larger than the first depth from the surface portion of the semiconductor layer; a second spacer formed on each sidewall of the first spacer; a third impurity region of the first conductive type aligned with the second spacer and extending to a third depth that is larger than the second depth from the surface portion of the semiconductor layer; and a fourth impurity region of a second conductive type beneath the third impurity region. | 08-21-2008 |
20080203451 | CMOS image sensor and method for fabricating the same - A CMOS image sensor and a method for fabricating the same are provided, in which an N type region of a photodiode is prevented from adjoining a device isolation film and a dark current is reduced. The CMOS image sensor includes an interlayer dielectric film formed between a gate poly and a power line, a contact formed in the interlayer dielectric film, and an epitaxial layer connected with the contact and formed only in a blue photodiode region. | 08-28-2008 |
20080203452 | CMOS IMAGE SENSORS INCLUDING BACKSIDE ILLUMINATION STRUCTURE AND METHOD OF MANUFACTURING IMAGE SENSOR - An image sensor having a backside illumination structure can include a photo diode unit in a first wafer, where the photo diode unit includes photo diodes and transfer gate transistors coupled to respective ones of the photo diodes. A wiring line unit can be included on a second wafer that is bonded to the photo diode unit, where the wiring line unit includes wiring lines and transistors configured to process signals provided by the photo diode unit and configured to control the photo diode unit. A supporting substrate is bonded to the wiring line unit and a filter unit is located under the first wafer. | 08-28-2008 |
20080210990 | CMOS IMAGE SENSOR AND FABRICATING METHOD THEREOF - A CMOS image sensor and fabricating method thereof by which capacitance of a floating diffusion region (FD) can be increased. The CMOS image sensor can include an epitaxial layer formed over a semiconductor substrate; a gate electrode formed over the epitaxial layer; a gate metal formed over a floating diffusion region of the epitaxial layer; n+ type source and drain regions formed in the epitaxial layer; a gate spacer formed on both sidewalls of the gate electrode and both sidewalls of the gate metal; an insulating interlayer formed over the epitaxial layer including the gate electrode, the gate spacer and the gate metal layer, the insulating interlayer including a first contact hole extending through the insulating interlayer exposing the source region; a second contact hole extending through the insulating interlayer exposing the gate metal; a first contact plug formed in the first contact hole and connected to the source region; a second contact plug formed in the second contact hole and connected to the gate metal; and a metal line formed over the first contact plug and the second contact plug to electrically connect the source region to the gate metal. | 09-04-2008 |
20080210991 | CMOS IMAGE SENSOR AND METHOD OF MANUFACTURING - A CMOS image sensor capable of preventing leakage current of a transfer transistor and a method of manufacturing thereof are disclosed. Embodiments relate to a complementary metal-oxide-silicon (CMOS) image sensor including a transfer transistor. The transfer transistor includes an epi-layer formed over a semiconductor substrate defined by a photodiode area, an active area, and a device isolation area. A device isolation film may be formed in the device isolation area. A gate electrode may be formed over the epi-layer for the transfer transistor with a gate insulating film interposed therebetween. A first dopant diffusion area may be formed by implanting first dopant ions into the epi-layer of the photodiode area. A potential well area may be formed in the first dopant diffusion area adjacent to the gate electrode. A second dopant diffusion area may be formed by implanting second dopant ions into the epi-layer of a side-surface floating diffusion area of a gate spacer. | 09-04-2008 |
20080210992 | CMOS IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - A CMOS image sensor that can include a first shallow trench isolation layer and a second shallow trench isolation layer formed in an epitaxial layer on both sides of a predetermined region of the epitaxial layer; a poly gate contacting the first shallow trench isolation layer and the second shallow trench isolation layer and formed over the predetermined region of the epitaxial layer; and a plurality of channels formed in the epitaxial layer and under the poly gate. | 09-04-2008 |
20080210993 | SOLID-STATE IMAGE PICKUP DEVICE AND METHOD - The invention provides a solid-state image pickup device and method for realizing a higher sensitivity and a higher S/N ratio especially in the low-luminance region while maintaining a wide dynamic range. Plural pixels are integrated in an array configuration on a semiconductor substrate with each pixel having photodiode PD, which receives light and generates and stores photoelectric charge, transfer transistor Tr | 09-04-2008 |
20080210994 | Solid-state imaging devices - A solid-state imaging device includes: a substrate; a photoelectric transducer that is provided within the substrate and generates light-generated charge in accordance with incident light; a floating diffusion that retains the light-generated charge generated from the photoelectric transducer; a transfer and retention unit that is provided between the photoelectric transducer and the floating diffusion for a purpose of controlling a transfer of the light-generated charge and has a charge-retaining region that can retain the light-generated charge generated from the photoelectric transducer; a reset unit that initializes a potential of the floating diffusion; an amplifying transistor that generates an output based on a potential of the floating diffusion; a selection transistor that selectively outputs an output of the amplifying transistor; and an excessive charge-discharging unit that discharges excessive electric charge generated from the photoelectric transducer. | 09-04-2008 |
20080210995 | Image sensor and method for fabricating the same - An image sensor and a method for fabricating the same are disclosed, in which an impurity implantation layer having a predetermined thickness is formed on a source diffusion layer, thereby controlling a substantial contact point between a contact plug and the source diffusion layer upward from a surface of a semiconductor substrate. As a result, it is possible to minimize a length of an open hole, which is a main channel of the contact plug, so that the open hole has the sufficiently large size, thereby inducing the improvement of the contact quality between the contact plug and the source diffusion layer. Also, in case of the CMOS image sensor, in state the impurity implantation layer having the impurity selectively implanted is formed on the source diffusion layer, the impurity implantation layer is electrically connected with the source diffusion layer. Accordingly, without the additional process such as highly-impurity implantation and formation of salicide layer, it is possible for the source diffusion layer to increase the impurity concentration of impurity therein. Eventually, in case of realizing the image sensor according to the present invention, it is possible to the greatest contact quality between the contact plug and the source diffusion layer. In case of realizing the greatest contact quality between the contact plug and the source diffusion layer with the additional formation of the impurity implantation layer, for example, the source diffusion layer normally performs the function of converting the optical charges generated by the photodiode to voltage constituents. Thus, the completed image sensor according to the present invention realizes the great image quality. | 09-04-2008 |
20080210996 | Frame shutter pixel with an isolated storage node - A frame shutter type device provides a separated well in which the storage node is located. The storage node is also shielded by a light shield to prevent photoelectric conversion. | 09-04-2008 |
20080210997 | Solid-state image pickup device and manufacturing method thereof - A solid-state image pickup device is provided in which a pixel forming region 4 and a peripheral circuit forming region | 09-04-2008 |
20080217667 | IMAGE SENSING DEVICE - An image sensing device includes a substrate with a photo sensing and a transistor region, a photo diode, a transistor, a dielectric layer, a metal interconnect, a metal conductive line, a conformal passivation layer, a color filter, a lens planar layer, and a microlens. The photo diode is in the substrate within the photo sensing region. The transistor is on the substrate in the transistor region. The dielectric layer is on the substrate. Except the photo sensing region, the metal interconnect and the metal conductive line are respectively located in and on the dielectric layer. The conformal passivation layer is on the dielectric layer and covers the metal conductive line. The color filter is on the conformal passivation layer in the photo sensing region and the bottom thereof is lower than the bottom of the metal conductive line. The lens planar layer and the microlens are sequentially on precedent structure. | 09-11-2008 |
20080224186 | High Dynamic Range Imaging Cell With Electronic Shutter Extensions - A pixel sensor cell of improved dynamic range comprises a coupling transistor that couples a capacitor device to a photosensing region (e.g., photodiode) of the pixel cell, the photodiode being coupled to a transfer gate and one terminal of the coupling transistor. In operation, the additional capacitance is coupled to the pixel cell photodiode when the voltage on the photodiode is drawn down to the substrate potential. Thus, the added capacitance is only connected to the imager cell when the cell is nearing its charge capacity. Otherwise, the cell has a low capacitance and low leakage. In an additional embodiment, a terminal of the capacitor is coupled to a “pulsed” supply voltage signal that enables substantially full depletion of stored charge from the capacitor to the photosensing region during a read out operation of the pixel sensor cell. In various embodiments, the locations of the added capacitance and photodiode may be interchanged with respect to the coupling transistor. In addition, the added capacitor of the pixel sensor cell allows for a global shutter operation. | 09-18-2008 |
20080224187 | Image Sensor Pixel and Method of Fabricating the Same - A new structure of a photodiode of a pixel in CMOS image sensor and a method of fabricating the same are provided. The photodiode is fabricated by using one photo mask, so that the number of masks decreases and the fabrication processes are simplified. In addition, two conducting layers constituting a photodiode are self-aligned, so that a fabrication process for connecting the photodiode and a transfer transistor is not required. Accordingly, a problem of channeling generated in a lower portion of a gate of the transfer transistor can be solved, so that an improved pixel can be fabricated. | 09-18-2008 |
20080224188 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An apparatus that can effectively operate in high temperatures including a CMOS image sensor, a thermoelectric semiconductor formed under the CMOS image sensor for selectively cooling the image sensor and a heat sink formed under the thermoelectric semiconductor. | 09-18-2008 |
20080224189 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor and a method for manufacturing an image sensor that has an increased aspect ratio. An image sensor and a method for manufacturing an image sensor that have a relatively large process margin (e.g. even in high level pixels), which may reduce and/or eliminate restrictions in downscaling an image sensor. An image sensor may include at least one of a first unit pixel including a first transfer transistor, a second unit pixel including a second drive transistor, and a contact electrically connecting a floating diffusion region of the first unit pixel with the second drive transistor of the second unit pixel. A method of manufacturing an image sensor including at least one of forming a first unit pixel including a first transfer transistor, forming a second unit pixel including a second drive transistor, and forming a contact electrically connecting a floating diffusion region of the first unit pixel with the second drive transistor of the second unit pixel. | 09-18-2008 |
20080224190 | IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - An image sensor with sufficient photoelectric conversion capacity and enhanced reliability and a method of fabricating the same, in which the image sensor includes a bare substrate; an epitaxial layer disposed on the bare substrate and including a first impurity distribution region of a first conductivity type, which is formed on the bare substrate, and a second impurity distribution region of a second conductivity type, which is formed on the first impurity distribution region; and a charge collection well formed within the epitaxial layer and at least partially doped with third impurities of the second conductivity type, wherein the charge collection well occupies the first impurity distribution region and the second impurity distribution region and represents the second conductivity type as a whole. | 09-18-2008 |
20080224191 | Image pickup device with prevention of leakage current - An image pickup device includes an active pixel sensor (APS), a row driver, and a leakage current breaker. The active pixel sensor includes an array of a plurality of pixels. The row driver selects at least one pixel to be activated to output signals. The leakage current breaker decreases the leakage current through the unselected pixels by applying a leakage current breaker voltage at the bit lines of the APS array. | 09-18-2008 |
20080230817 | SEMICONDUCTOR PHOTODETECTOR DEVICE - A semiconductor photodetector device includes a light receiving operation section converting incident light to an electric signal and a current amplifying operation section amplifying the electric signal. The light receiving operation section includes: a first conductivity type semiconductor layer a formed on a first conductivity type semiconductor substrate; a second conductivity type first semiconductor region formed on the semiconductor layer; and a first conductivity type second semiconductor region formed on the semiconductor layer and separated from the first semiconductor region. The current amplifying operation section includes: the second semiconductor region; a second conductivity type third semiconductor region formed in the semiconductor substrate; a second conductivity type fourth semiconductor region formed on the third semiconductor region and separated from the second semiconductor region. | 09-25-2008 |
20080237667 | SEMICONDUCTOR DEVICE - A semiconductor device includes: an n-type MOS transistor and a p-type MOS transistor connected in series; and a first gate extending via an insulating film above a channel of the n-type MOS transistor and a channel of the p-type MOS transistor. By providing light to the first gate, electrons and holes are generated, at least one of either of the electrons and holes passes through above the channel of the n-type MOS transistor and at least one of the either of the electrons and holes passes through above the channel of the p-type MOS transistor, whereby the n-type MOS transistor and the p-type MOS transistor are switched. | 10-02-2008 |
20080237668 | METHOD OF FABRICATING BACK-ILLUMINATED IMAGING SENSORS - A method for fabricating a back-illuminated semiconductor imaging device on a semiconductor-on-insulator substrate, and resulting imaging device is disclosed. The method for manufacturing the imaging device includes the steps of providing a substrate comprising an insulator layer, and an epitaxial layer substantially overlying the insulator layer; forming at least one bond pad region extending into the epitaxial layer to a surface of the insulator layer; fabricating at least one bond pad at least partially overlying the at least one bond pad region; fabricating at least one imaging component at least partially overlying and extending into the epitaxial layer; fabricating a passivation layer substantially overlying the epitaxial layer, the at least one bond pad, and the at least one imaging component; bonding a handle wafer to the passivation layer; and etching through at least a portion of the insulator layer and at least a portion of the bond pad region to expose at least a portion of the at least one bond pad. | 10-02-2008 |
20080237669 | PHOTOELECTRIC CONVERSION DEVICE AND ELECTRONIC DEVICE PROVIDED WITH THE PHOTOELECTRIC CONVERSION DEVICE - An output terminal of a photoelectric conversion element included in the photoelectric conversion device is connected to a drain terminal and a gate terminal of a MOS transistor which is diode-connected, and a voltage V | 10-02-2008 |
20080237670 | Light receiving element - A structure is provided in a light receiving element having a plurality of light receiving regions, in which noise charges from other light receiving regions to the signal charges of each light receiving region are prevented from becoming superimposed, and each light receiving region can generate accurate electric current signals. The structure is provided with a first light receiving region and a second light receiving region, which are formed on a semiconductor substrate having a first conductivity, and a drain region, which is formed on the semiconductor substrate having a second conductivity. Each light receiving region has at least one light receiving unit that is divided into a plurality of segments and that outputs electric currents corresponding to incident light. The drain region is formed between the first light receiving region and the second light receiving region. | 10-02-2008 |
20080237671 | Method of Fabricating CMOS Image Sensor - A method of fabricating a CMOS image sensor is disclosed that enhances device robustness. The method includes the steps of forming a metal pad on a pad area of a substrate, forming a planarizing layer on the substrate including the metal pad, removing a portion of the planarizing layer to open a surface of the metal pad, forming a protective layer over the substrate including the metal pad, coating a color filter resist layer on the protective layer and selectively exposing the color filter resist layer, coating a microlens resist layer on the color filter resist layer and selectively exposing the microlens resist layer, developing the exposed color filter and microlens resist layers, forming a pad opening by selectively removing the protective layer to open a surface of the metal, and reflowing the microlens pattern. | 10-02-2008 |
20080246064 | Semiconductor device and electronic device using the same - To provide a semiconductor device which can detect low illuminance. A photoelectric conversion element, a diode-connected first transistor, and a second transistor are included. A gate of the first transistor is electrically connected to a gate of the second transistor. One of a source and a drain of the first transistor is electrically connected to one of a source and a drain of the second transistor through the photoelectric conversion element. The other of the source and the drain of the first transistor is electrically connected to the other of the source and the drain of the second transistor. By using transistors which have different threshold voltages for the first transistor and the second transistor, a semiconductor device which can perform detecting of low illuminance can be obtained. | 10-09-2008 |
20080246065 | IMAGING APPARATUS, IMAGING SYSTEM, ITS CONTROLLING METHOD, AND STORAGE MEDIUM STORING ITS PROGRAM - An idling time period after applying a bias to a conversion element until a start of an accumulation of the conversion element for deriving an image and an accumulation period from the start of the accumulation to a termination of the accumulation are measured. An offset correction of the image is conducted by using a dark current accumulation charge quantity in the accumulation calculated based on the measured idling time period and accumulation period and stored dark current response characteristics. Thus, even just after applying the bias to the conversion element, the offset correction can be properly conducted. An imaging apparatus which can execute a good radiographing without increasing costs and a size even just after applying the bias to the conversion element is provided. | 10-09-2008 |
20080251821 | METHOD AND DEVICE TO REDUCE DARK CURRENT IN IMAGE SENSORS - A method to fabricate an image sensor includes providing a semiconductor substrate having a pixel area and a logic area, forming a light sensing element in the pixel area, and forming a first transistor in the pixel area and a second transistor in the logic area. The step of forming the first transistor in the pixel area and the second transistor in the logic area includes performing a first implant process in the pixel area and the logic area, performing a second implant process in the pixel area and the logic area, and performing a third implant process only in the logic area. | 10-16-2008 |
20080251822 | AMPLIFICATION-TYPE SOLID-STATE IMAGE SENSING DEVICE - According to an aspect of the invention, there is provided an amplification-type solid-state image sensing device which uses a semiconductor substrate formed by epitaxially depositing an n-type semiconductor layer on a p-type semiconductor substrate and has a photoelectric conversion unit formed in the n-type semiconductor layer including a first p-type semiconductor layer which is formed under the photoelectric conversion unit of at least one of a G pixel portion and a B pixel portion a second p-type semiconductor layer which is formed to surround the photoelectric conversion unit together with the first p-type semiconductor layer and has a depth up to the first p-type semiconductor layer and a third p-type semiconductor layer which is formed to surround an R pixel portion and has a depth up to the p-type semiconductor substrate. | 10-16-2008 |
20080251823 | Separation Type Unit Pixel Having 3D Structure for Image Sensor and Manufacturing Method Thereof - A separation type unit pixel of an image sensor, which can handle light that incidents onto a photodiode at various angles, and provides a zoom function in a mini camera module by securing an incident angle margin, and a manufacturing method thereof are provided. The separation type unit pixel having a 3 D structure for an image sensor, composed of a plurality of transistors, includes: a first wafer which includes a photodiode, a transfer transistor, a node of a floating diffusion area functioning as static electricity for converting electric charge into a voltage, and a pad connecting the floating diffusion area and the transfer transistor to an external circuit, respectively; a second wafer which includes the rest of the circuit elements constituting a pixel (i.e., a reset transistor, a source-follower transistor, and a blocking switch transistor), a read-out circuit, a vertical/horizontal decoder, a correlated double sampling (CDS) circuit which involves in a sensor operation and an image quality, an analog circuit, an analog-digital converter (ADC), a digital circuit, and a pad connecting each pixel; and a connecting means which connects the pad of the first wafer and the pad of the second wafer. Accordingly, by forming an area for a photodiode and an area for a pixel almost the same, an | 10-16-2008 |
20080258187 | METHODS, SYSTEMS AND APPARATUSES FOR THE DESIGN AND USE OF IMAGER SENSORS - An imager sensor cell design having readout circuitry contained within the photodiode region. | 10-23-2008 |
20080258188 | METAL OXIDE SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME - A method of fabricating an MOS device is provided. First, gates and source/drain regions of transistors are formed on a substrate. A photodiode doped region and a floating node doped region are formed in the substrate. Thereafter, a spacer stacked layer including a bottom layer, an inter-layer and a top layer is formed to cover each gate of the transistors. Afterwards, a first mask layer having an opening exposing at least the photodiode doped region is formed on the substrate, and then the top layer exposed by the opening is removed. Next, the first mask layer is removed, and then a second mask layer is formed on a region correspondingly exposed by the opening. A portion of the top layer and the inter-layer exposed by the second mask layer is removed to form spacers on sidewalls of the gates. | 10-23-2008 |
20080258189 | Image Sensor and Method of Manufacturing the Same - An image sensor and a method of manufacturing the same are provided. The image sensor includes a semiconductor substrate, a metal line layer, a first conduction type conducting layer, a first pixel isolation layer, an intrinsic layer, and second conduction type conducting layer. The semiconductor substrate includes a circuit region. The metal line layer including a plurality of metal lines and an interlayer insulating layer is formed on the semiconductor substrate. The first conductive layer having patterns separated from each other by the pixel isolation layer is formed on the metal lines. The first pixel isolation layer is formed between the separated patterns of the first conduction type conducting layer. The intrinsic layer is formed on the first conductive layer and the first pixel isolation layer. The second conduction type conducting layer is formed on the intrinsic layer. | 10-23-2008 |
20080258190 | SOLID-STATE IMAGE SENSING DEVICE AND CAMERA SYSTEM USING THE SAME - A solid-state image sensing device includes a plurality of pixels. Each pixel has a photodiode, a first transistor, and a second transistor. The photodiode is constituted by a first-conductivity-type semiconductor region and a second-conductivity-type semiconductor region. The first and second conductivity types are opposite to each other. The first transistor has a first-conductivity-type drain region formed in the second-conductivity-type semiconductor region to transfer signal charge to the drain region. The second transistor has a source region and a drain region which are formed in the second-conductivity-type semiconductor region and which have the first conductivity type. At least one second-conductivity-type potential barrier is provided under the drain region of the first transistor and the source region and/or the drain region of the second transistor. | 10-23-2008 |
20080265296 | IMAGING ELEMENT AND IMAGING DEVICE - An imaging element comprises: an optical element substrate part in which the imaging element generates a signal charge by photo-electrically converting an incident light applied from one surface side of the optical element substrate part to read the signal charge from the other surface side of the optical element substrate part and picks up an image; and a CMOS circuit substrate part connected to the other surface side of the optical element substrate part so as to transfer the signal charge generated in the photoelectric conversion layer, wherein the optical element substrate part comprises: a photoelectric conversion layer to generate the signal charge by photo-electrically converting the incident light; a charge storage part that stores the signal charge; and a reading transistor that reads the signal charge stored in the charge storage part. | 10-30-2008 |
20080265297 | CMOS image sensor and method for manufacturing the same - A CMOS image sensor and a method for manufacturing the same are disclosed, in which a blue photodiode is imparted with a greater thickness to improve sensitivity of blue light. The blue photodiode of a CMOS image sensor includes a first lightly doped P-type epitaxial layer formed on a heavily doped P-type semiconductor substrate; a gate electrode of a transfer transistor formed on the first epitaxial layer; a first N-type blue photodiode region formed on the first epitaxial layer; and a second N-type blue photodiode region formed on the first epitaxial layer corresponding to the first blue photodiode region. | 10-30-2008 |
20080272416 | Image sensor and method of manufacturing the same - Provided is an image sensor and method of manufacturing the same. The image sensor can include a semiconductor substrate, a metal interconnection layer, an inorganic layer, lens seed patterns, and microlenses. The semiconductor substrate can include unit pixels. The metal interconnection layer can be disposed on the semiconductor substrate to provide signal and poser connections to the unit pixels. The inorganic layer can be disposed on the metal interconnection layer. The lens seed patterns are selectively disposed on the inorganic layer and are formed of an organic material. The microlenses are formed on the lens seed patterns. | 11-06-2008 |
20080272417 | Image Sensor and Method for Manufacturing the Same - An image sensor and method for manufacturing the same are provided. The image sensor can include an isolation area and active area on a substrate; a photodiode area and a transistor area provided on the active area; a gate insulating layer on the transistor area; and a gate electrode provided on the gate insulating layer and a portion of the photodiode area by extending over a portion of the isolation area between the transistor area and the photodiode area. In one embodiment, the gate electrode can be a gate electrode of a drive transistor of a 3-T type image sensor. | 11-06-2008 |
20080272418 | Semiconductor component comprising a buried mirror - A method for forming a buried mirror in a semiconductor component includes the steps of forming a structure comprising a semiconductor layer laid on an insulating layer covering a substrate; forming one or several openings in the semiconductor layer emerging at the surface of the insulating layer; eliminating a portion of the insulating layer, whereby a recess is formed; forming a second thin insulating layer against the wall of the recess; and forming a metal layer in the recess against the second insulating layer. | 11-06-2008 |
20080272419 | Solid-state imaging device - A solid-state imaging device includes a photoelectric conversion section which is provided for each pixel and which converts light incident on a first surface of a substrate into signal charges, a circuit region which reads signal charges accumulated by the photoelectric conversion section, a multilayer film including an insulating film and a wiring film, the multilayer film being disposed on a second surface of the substrate opposite to the first surface, and a transmission-preventing film disposed at least between the wiring film in the multilayer film and the substrate. | 11-06-2008 |
20080272420 | CMOS image sensor and manufacturing method thereof - A gate insulation layer with a high dielectric constant for a CMOS image sensor formed by a damascene process. A silicide layer on a gate electrode layer is formed in both a pixel region and a peripheral circuit region, and a silicide layer on a source/drain region is formed only in a peripheral circuit. | 11-06-2008 |
20080277700 | Imaging Device by Buried Photodiode Structure - To achieve an image sensor with low noise, small dark current and the high sensitivity, an n-type region serving as a charge storage region ( | 11-13-2008 |
20080277701 | High energy implant photodiode stack - An array of fully isolated multi-junction complimentary metal-oxide-semiconductor (CMOS) filterless color imager cells is provided, with a corresponding fabrication process. The color imager cell array is formed from a bulk silicon (Si) substrate without an overlying epitaxial Si layer. A plurality of color imager cells are formed in the bulk Si substrate, where each color imager cell includes a photodiode set and a U-shaped well liner. The photodiode set includes first, second, and third photodiode formed as a stacked multifunction structure, while the U-shaped well liner fully isolates the photodiode set from adjacent photodiode sets in the array. The U-shaped well liner includes a physically interfacing doped well liner bottom and first wall. The well liner bottom is interposed between the substrate and the photodiode set, and the first wall physically interfaces each doped layer of each photodiode in the photodiode set. | 11-13-2008 |
20080277702 | SOLID-STATE IMAGING DEVICE AND CAMERA HAVING THE SAME - Provided is a solid-state imaging device including unit pixels, wherein the unit pixels include two kinds of unit pixels including a first unit pixel and a second unit pixel that are formed on a common well on a semiconductor substrate. The first unit pixel includes: at least one photoelectric conversion region which converts light into a signal charge; the first semiconductor region that is formed on the common well and has a conductivity type identical to that of the common well; and the first contact electrically connected to the first semiconductor region. The second unit pixel includes: at least one photoelectric conversion region; the second semiconductor region that is formed on the common well and has a conductivity type opposite to that of the common well; and the second contact electrically connected to the second semiconductor region. | 11-13-2008 |
20080283880 | CMOS PIXEL SENSOR WITH DEPLETED PHOTOCOLLECTORS AND A DEPLETED COMMON NODE - An active pixel sensor in a p-type semiconductor body includes an n-type common node formed below a pinning region. A plurality of n-type blue detectors more lightly doped than the common node are disposed below pinning regions and are spaced apart from the common node forming channels below blue color-select gates. A buried green photocollector is coupled to the surface through a first deep contact spaced apart from the common node forming a channel below a green color-select gate. A red photocollector buried deeper than the green photocollector is coupled to the surface through a second deep contact spaced apart from the common node forming a channel below a red color-select gate. A reset-transistor has a source disposed over and in contact with the common node. A source-follower transistor has gate coupled to the common node, a drain coupled to a power-supply node, and a source forming a pixel-sensor output. | 11-20-2008 |
20080283881 | Image Sensor and Method for Manufacturing the Same - An image sensor according to one embodiment of the present invention includes a semiconductor substrate having a CMOS circuit formed therein; an interlayer dielectric layer formed on the semiconductor substrate and including a trench formed therein; a metal wiring and a first conductive layer formed within the trench of the interlayer dielectric layer; an intrinsic layer formed on the semiconductor substrate including the first conductive layer and the interlayer dielectric layer; and a second conductive layer formed on the intrinsic layer. | 11-20-2008 |
20080283882 | SEMICONDUCTOR DEVICE - A semiconductor device includes: a compound semiconductor substrate; a buffer layer, a channel layer, and a Schottky junction forming layer sequentially formed on the compound semiconductor substrate, the buffer layer, the channel layer, and the Schottky junction forming layer each being a compound semiconductor; a source electrode and a drain electrode located on the Schottky junction forming layer; and a gate electrode disposed between the source and drain electrodes and forming a Schottky junction with the Schottky junction forming layer. The carrier density in the channel layer is inversely proportional to the third power of depth into the channel layer from a top surface of the channel layer, the channel layer has a uniform sheet carrier density, and the top surface of the channel layer has a dopant concentration in a range from 5.0×10 | 11-20-2008 |
20080283883 | Image Sensor and Method for Manufacturing the Same - An image sensor and a method for manufacturing the same are provided. The image sensor can include transistor circuitry on a substrate, and a photodiode arranged above the transistor circuitry. The photodiode can include carbon nanotubes and a conductive polymer layer on the carbon nanotubes. A transparent conducting electrode can be provided on the carbon nanotubes. | 11-20-2008 |
20080283884 | CMOS IMAGE DEVICE WITH POLYSILICON CONTACT STUDS - A CMOS image device comprises a pixel array region including a photo diode region, a floating diffusion region, and at least one MOS transistor having a gate and a junction region, a CMOS logic region disposed around the pixel array region, the CMOS logic region including a plurality of nMOS transistors and pMOS transistors, and contact studs formed on the floating diffusion region and the junction region in the pixel array region, the contact studs comprising impurity-doped polysilicon layers. | 11-20-2008 |
20080283885 | Small pixel for CMOS image sensors with vertically integrated set and reset diodes - A pixel of an image sensor, the pixel includes a floating diffusion node to sense photo-generated charge, a reset diode to reset the floating diffusion node in response to a reset signal, and a set diode to set the floating diffusion node. | 11-20-2008 |
20080283886 | Small pixel for image sensors with JFET and vertically integrated reset diode - A pixel and a pixel array of an image sensor device of the present invention have small pixel sizes by resetting sensed charge using a diode built vertically above a substrate. The pixel and the pixel array also have low noise performance by using a JFET as a source follower transistor for sensing charge. The pixel includes a floating diffusion node configured to sense photo-generated charge, a reset diode configured to reset the floating diffusion node in response to a reset signal, and a junction field effect transistor configured to output a signal having an output voltage level corresponding to a charge level of the floating diffusion node. | 11-20-2008 |
20080283887 | CMOS Image Sensor - A method of fabricating a CMOS image sensor is disclosed, by which image sensor characteristics are enhanced. In one aspect, the method includes forming a plurality of photodiodes in the photodiode region of a semiconductor substrate; stacking a first insulating layer over the semiconductor substrate including the photodiodes; forming a metal pad on the insulating layer in the pad region of the substrate; forming a second insulating layer over the semiconductor substrate including the metal pad; selectively etching exposed portions of the second insulating layer, using a mask, to form simultaneously a pad opening in the pad region and a trench in the photodiode region; selectively etching portions of the second insulating layer and the first insulating layer under the trench; and forming a slope on lateral sides of at least the second insulating layer. | 11-20-2008 |
20080290383 | CMOS IMAGING DEVICE COMPRISING A MICROLENS ARRAY EXHIBITING A HIGH FILLING RATE - A CMOS imager includes a photosite array and a microlens array. The microlens array comprises microlenses of a first type and microlenses of a second type, the microlenses of first type being manufactured according to a first circular template having a first radius, the microlenses of second type being manufactured according to a second circular template having a second radius inferior to the first radius, and the first and second templates having overlap areas. One advantage is that the CMOS imager has a high fill rate. | 11-27-2008 |
20080296641 | Multi-well CMOS image sensor and methods of fabricating the same - Provided is a multi-well CMOS image sensor and a method of fabricating the same. The multi-well CMOS image sensor may include a plurality of photodiodes vertically formed in a region of a substrate, an n+ wall that vertically connects an outer circumference of the photodiodes, and a floating diffusion region that is connected to the photodiodes on a side of the n+ wall to receive charges from the photodiodes, wherein a p-type region is formed between the floating diffusion region and the n+ wall, and the plurality of photodiodes have a multi-potential well structure. | 12-04-2008 |
20080296642 | PHOTODIODE AND PHOTO IC USING SAME - The present invention provides a photodiode comprising a first silicon semiconductor layer formed over an insulating layer, a second silicon semiconductor layer formed over the insulating layer, having a thickness ranging from greater than or equal to 3 nm to less than or equal to 36 nm, a low-concentration diffusion layer which is formed in the second silicon semiconductor layer and in which an impurity of either one of a P type and an N type is diffused in a low concentration, a P-type high-concentration diffusion layer which is formed in the first silicon semiconductor layer and in which the P-type impurity is diffused in a high concentration, and an N-type high-concentration diffusion layer which is opposite to the P-type high-concentration diffusion layer with the low-concentration diffusion layer interposed therebetween and in which the N-type impurity is diffused in a high concentration. | 12-04-2008 |
20080296643 | SOLID STATE IMAGE SENSING DEVICE - A solid state image sensing device in which many pixels are disposed in a matrix on a two-dimensional plane comprises a plurality of light receiving devices disposed in such a way that a center interval may periodically change in a column direction and/or a row direction, and a plurality of micro-lenses, for collecting an incident light of each light receiving device, wherein a center interval periodically changes in accordance with the periodic change of the center interval of the light receiving device. | 12-04-2008 |
20080296644 | CMOS IMAGE SENSORS AND METHODS OF FABRICATING SAME - A CMOS image sensor includes an image transfer transistor therein. This image transfer transistor includes a semiconductor channel region of first conductivity type and an electrically conductive gate on the semiconductor channel region. A gate insulating region is also provided. The gate insulating region extends between the semiconductor channel region and the electrically conductive gate. The gate insulating region includes a nitridated insulating layer extending to an interface with the electrically conductive gate and a substantially nitrogen-free insulating layer extending to an interface with the semiconductor channel region. The nitridated insulating layer may be a silicon oxynitride (SiON) layer. | 12-04-2008 |
20080303071 | Image Sensor and Method for Manufacturing the Same - An image sensor and a fabricating method thereof are provided. A pixel area and a peripheral circuit area can have a step difference on a semiconductor substrate. A Complimentary Metal Oxide Semiconductor (CMOS) circuit can be provided on the pixel area, and an interlayer dielectric layer can be provided on the pixel area and the peripheral circuit area. A photodiode can be provided on the interlayer dielectric layer of the pixel area such that the top of the photodiode, or an intrinsic layer of the photodiode, is about even with the top of the interlayer dielectric layer of the peripheral circuit area. | 12-11-2008 |
20080303072 | CMOS Active Pixel Sensor - A CMOS active pixel sensor includes a silicon-on-insulator substrate having a silicon substrate with an insulator layer formed thereon and a top silicon layer formed on the insulator layer. A stacked pixel sensor cell includes a bottom photodiode fabricated on the silicon substrate, for sensing light of a longest wavelength; a middle photodiode fabricated on the silicon substrate, for sensing light of a medium wavelength, which is stacked above the bottom photodiode; and a top photodiode fabricated on the top silicon layer, for sensing light of a shorter wavelength, which is stacked above the middle and bottom photodiodes. Pixel transistor sets are fabricated on the top silicon layer and are associated with each pixel sensor cell by electrical connections which extend between each of the photodiodes and respective pixel transistor(s). CMOS control circuitry is fabricated adjacent to an array of active pixel sensor cells and electrically connected thereto. | 12-11-2008 |
20080303073 | CMOS Image Sensor - Provided are a CMOS image sensor in which microlenses are formed in a remaining space in a patterned light shielding layer to improve image sensor characteristics and to protect the microlenses during packaging. The CMOS image sensor may include: a semiconductor substrate; at least one photodiode on or in the semiconductor substrate; a first insulating layer on the substrate including the photodiode(s); a plurality of metal lines on and/or in the first insulating layer; a second insulating layer on the first insulating layer including at least some of the metal lines; a patterned light shielding layer on the second insulating layer; and microlenses in a remaining space on the second insulating layer. | 12-11-2008 |
20080308852 | IMAGE SENSOR CIRCUITS INCLUDING SHARED FLOATING DIFFUSION REGIONS - An image sensor can include a plurality of photoelectric conversion elements arranged in a matrix. A plurality of floating diffusion regions can be shared by respective corresponding pairs of adjacent photoelectric conversion elements. A plurality of charge-transmission transistors can respectively correspond to the photoelectric conversion elements, where each of the charge-transmission transistors are connected between a corresponding one of the plurality of photoelectric conversion elements and a corresponding one of the plurality of floating diffusion regions. A plurality of charge-transmission lines can be commonly connected to gates of respective corresponding pairs of adjacent rows of charge-transmission transistors, where each of the respective corresponding pairs of adjacent rows of charge-transmission transistors can be connected to respective ones of the plurality of photoelectric conversion elements in different adjacent rows of floating diffusion regions. | 12-18-2008 |
20080315270 | MULTILAYER ANTIREFLECTION COATINGS, STRUCTURES AND DEVICES INCLUDING THE SAME AND METHODS OF MAKING THE SAME - Multi-layer antireflection coatings, devices including multi-layer antireflection coatings and methods of forming the same are disclosed. A block copolymer is applied to a substrate and self-assembled into parallel lamellae above a substrate. The block copolymer may optionally be allowed to self-assemble into a multitude of domains oriented either substantially parallel or substantially perpendicular to an widerlying substrate | 12-25-2008 |
20080315271 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - Disclosed are an image sensor and a method for fabricating the same. The method may include forming a gate, a photo diode, and a floating diffusion region on a pixel region of a semiconductor substrate; forming an oxide film on the pixel region and on an edge region of the semiconductor substrate; forming a sacrificial oxide layer by etching the oxide film using a photoresist pattern as a mask; forming a metal layer on the photoresist pattern, the gate, and the floating diffusion region; forming a salicide layer on the gate and the floating diffusion region; etching a remaining non-salicided portion of the metal layer, the photoresist pattern, and at least a portion of the sacrificial oxide layer; and forming an interlayer insulating film on the semiconductor substrate and planarizing the interlayer insulating film. | 12-25-2008 |
20080315272 | IMAGE SENSOR WITH GAIN CONTROL - An image sensor having a plurality of pixels; each pixel includes one or more photosensitive elements that collect charge in response to incident light; one or more transfer mechanisms that respectively transfer the charge from the one or more photosensitive elements; a charge-to-voltage conversion region having a capacitance, and the charge-to-voltage region receives the charge from the one or more photosensitive elements; a first reset transistor connected to the charge-to-voltage conversion region; a second reset transistor connected to the first reset transistor, which in combination with the first reset transistor, selectively sets the capacitance of the charge-to-voltage conversion regions from a plurality of capacitances. | 12-25-2008 |
20080315273 | IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - An image sensor for minimizing a dark level defect is disclosed. The image sensor includes an isolation layer formed on a substrate. A field region and an active region are defined on the substrate by the isolation layer. A photodiode is formed in the image sensor in such a structure that a first region is formed below a surface of the substrate in the active region and a second region is formed under the first region. A first conductive type impurity is implanted into the first region and a second conductive type impurity is implanted into the second region. A dark current suppressor is formed on side and bottom surfaces of the isolation layer adjacent to the first region, and the dark current suppressor is doped with the second conductive type impurity. The dark current suppressor suppresses the dark current to minimize the dark level defect caused by the dark current. | 12-25-2008 |
20090001434 | Vertical Pin or Nip Photodiode and Method for the Production which is Compatible with a Conventional Cmos-Process - The invention relates to a fast photodiode and to a method for the production thereof in CMOS technology. The integrated PIN photodiode, which is formed or can be formed by CMOS technology, consists of an anode corresponding to a highly doped p-type substrate with a specific electric resistance of less than 50 mOhm*cm, a lightly p-doped l-region which is adjacent to the anode, and an n-type cathode which corresponds to the doping in the n-well region. The lightly doped l-region has a doping concentration of less than 10 | 01-01-2009 |
20090001435 | Visible Light Detecting Semiconductor Radiation Detector - A semiconductor radiation detector device, comprising a bulk layer ( | 01-01-2009 |
20090008682 | Light-Receiving Device - Disclosed is a light-receiving device comprising a substrate provided with at least one light-receiving element and a transparent cover ( | 01-08-2009 |
20090008683 | IMAGING APPARATUS - An imaging apparatus comprises: a semiconductor imaging device having a plurality of photodiodes and a color filter; and an imaging optical system for guiding light from a subject to the semiconductor imaging device, where a diameter of an aperture ( | 01-08-2009 |
20090008684 | PHOTOELECTRIC CONVERSION DEVICE, METHOD OF MANUFACTURING THE SAME, AND IMAGE SENSING SYSTEM - A photoelectric conversion device comprises a photoelectric conversion unit, a floating diffusion region, a transfer transistor, and an output unit. A control electrode of the transfer transistor includes a first portion which extends along a channel width direction and overlaps a first boundary side when seen through from a direction perpendicular to a light receiving surface of the photoelectric conversion unit, and a second portion which extends along a channel length direction from one end of the first portion and overlaps a second boundary side when seen through from the direction perpendicular to the light receiving surface, and the control electrode of the transfer transistor has an L shape when viewed from the direction perpendicular to the light receiving surface. | 01-08-2009 |
20090008685 | Image Sensor and Controlling Method Thereof - A controlling method of an image sensor is disclosed. The method includes: measuring a first output voltage of a drive transistor, a gate of which is combined to a floating diffusion region, after a predetermined integration time; resetting the floating diffusion region by turning on a reset transistor connected between the floating diffusion region and a power supply group; measuring a reference voltage outputted from the drive transistor; transferring electric charges generated in a photo diode by sensing light inputted from the outside to the floating diffusion region by turning on a transfer transistor connected to the photo diode; and measuring a second output voltage of the drive transistor; wherein an image is generated by using a voltage difference between the first output voltage and the reference voltage, and a voltage difference between the second output voltage and the reference voltage. | 01-08-2009 |
20090008686 | SOLID-STATE IMAGING DEVICE WITH IMPROVED CHARGE TRANSFER EFFICIENCY - A transfer gate is formed such that both end portions thereof in a second direction, which crosses a first direction in which a photodiode and a floating diffusion layer that is formed with a distance from the photodiode are arranged, are located inside boundaries with element isolation regions. Channel stopper layers are formed on surface portions of a device region in the vicinity of lower parts of both end portions of the transfer gate in the second direction in such a manner to extend to the boundaries with the element isolation regions. | 01-08-2009 |
20090008687 | SOLID-STATE IMAGING DEVICE AND METHOD FOR FABRICATING THE SAME - A solid-state imaging device includes: an imaging area in which light receiving portions are disposed; an interconnect layer disposed on the light receiving portions, the interconnect layer including metal interconnects having openings and first insulating films; inner-layer lenses formed over the interconnect layer in one-to-one relationship with the light receiving portions; a transparent second insulating film formed on the interconnect layer and the inner-layer lenses; top lenses formed on the second insulating film in one-to-one relationship with the light receiving portions, an upper face of each of the top lenses being a convexly curved face; and a transparent film on the top lenses, the transparent film being formed of a material having a refractive index smaller than a refractive index of the top lenses. In this way, a focal point of at least part of incident light can be situated above a semiconductor substrate. | 01-08-2009 |
20090008688 | Unit pixels, image sensors and methods of manufacturing the same - Unit pixels, image sensors and methods for fabricating the image sensor are provided. A unit pixel includes: a photodiode for accumulating photocharges; a floating diffusion region for detecting the photocharges accumulated in the photodiode; a reset element for periodically resetting the floating diffusion region; a drive element for amplifying the photocharges accumulated in the floating diffusion region; a selection element for selecting the unit pixel; and a silicide layer formed on top surfaces of the transfer gate. The photocharges are transferred to the floating diffusion region via a transfer gate. | 01-08-2009 |
20090014760 | CMOS IMAGE SENSOR AND METHOD OF MANUFACTURE - A CMOS image sensor that is capable of substantially completely intercepting unnecessary light incident from the outside and preventing the occurrence of a hot pixel phenomenon and a method of fabricating the same are disclosed. A CMOS image sensor includes an epitaxial layer having a plurality of photodiodes. The epitaxial layer may be formed over a main pixel region and a dummy pixel region, which may be defined on a semiconductor substrate. A device passivation layer may be formed by depositing and planarizing oxide over the epitaxial layer. A silicon oxide layer may be formed by depositing and planarizing silicon oxide over the device passivation layer. The silicon oxide layer may have a concavo-convex type oxide pattern over the main pixel region and a planar oxide pattern over the dummy pixel region. A plurality of dark matrix elements may be formed by sequentially stacking a dual layer and a metal layer over the silicon oxide layer. A planarization process may be performed until the concavo-convex type oxide pattern is exposed. Micro lenses may be formed such that the micro lenses are aligned with photodiodes which will be formed at the main pixel region and the dummy pixel region. | 01-15-2009 |
20090014761 | IMAGE SENSOR PIXEL AND FABRICATION METHOD THEREOF - Provided is an image sensor pixel in which a specific or entire area of a field oxide layer inside the pixel can be used as a photodiode so as to increase a fill factor, and a fabrication method thereof. The image sensor pixel includes: a photodiode which is buried inside a semiconductor substrate; and pixel transistors which are formed after the photodiode is formed. In addition, the image sensor pixel includes: pixel transistors; a field oxide layer which separates the pixel transistors; and a photodiode which is located at the lower portion in a specific or entire area of the field oxide layer. In addition, the fabrication method includes: (a) forming a trench region in a specific area of a semiconductor substrate; (b) forming a photodiode which includes at least a portion of the trench region; and (c) forming pixel transistor, after the photodiode is formed. Accordingly, a surface area of a photodiode increases, thereby improving a fill factor and photosensitivity. In addition, in a unit pixel of an image sensor, the entire pixel area becomes a photodiode region except for a region where transistors are formed, thereby maximizing the fill factor. | 01-15-2009 |
20090014762 | BACK-ILLUMINATED TYPE SOLID-STATE IMAGE PICKUP DEVICE AND CAMERA MODULE USING THE SAME - The present invention provides a solid-state image pickup device including an image pickup pixel section which is provided on a semiconductor substrate and in which a plurality of pixels each having a photoelectric conversion element and a field-effect transistor are arranged, and a peripheral circuit section for the image pickup pixel section. An interconnect layer driving the field-effect transistor in the image pickup pixel section is formed on a first surface side of the semiconductor substrate. A light receiving surface of the photoelectric conversion element is located on a second surface side of the semiconductor substrate. The solid-state image pickup device includes a first terminal exposed from the second surface side of the semiconductor substrate, and a second terminal electrically connected to the first terminal and connectable to an external device on the first surface side of the semiconductor substrate. | 01-15-2009 |
20090014763 | CMOS image sensor with photo-detector protecting layers - An image sensor includes a logic region and an APS region having a first gate electrode, a photo-detector, a first protecting layer, first spacers, and a second protecting layer. The first gate electrode is formed over a semiconductor substrate. The photo-detector is formed to a side of the first gate electrode within the semiconductor substrate. The first protecting layer is formed over the first gate electrode and the photo-detector. The first spacers are formed over the first protecting layer to the sides of the first gate electrode. The second protecting layer is formed over the first protecting layer and the spacers. The first and second protecting layers are for preventing a contaminant from reaching the photo-detector. | 01-15-2009 |
20090020794 | Image Sensor and Method of Manufacturing the Same - Provided are an image sensor and a method of manufacturing the same. The image sensor can be vertically arranged image sensor where the photodiode is provided above the circuitry on the substrate. The photodiode can be formed on a lower electrode provided electrically connected to a CMOS circuit on a substrate. The photodiode can have a PIN or PI photodiode structure including an intrinsic layer on the lower electrode and a conductive type layer on the intrinsic layer. A salicide layer can be disposed on the intrinsic layer, and the conductive type conduction layer can be disposed on the salicide layer. The intrinsic layer can be formed to create a light condensing portion, providing a convex-shaped upper surface. | 01-22-2009 |
20090020795 | SOLID-STATE IMAGING ELEMENT AND METHOD FOR FABRICATING THE SAME - A solid-state imaging element includes a photodiode formed in an upper portion of a semiconductor substrate to perform a photoelectric conversion, a silicon dioxide film formed on the substrate to cover the photodiode, and a silicon nitride film formed on the silicon dioxide film. The silicon nitride film has a thinner portion smaller in thickness than at least an end portion of the silicon nitride film entirely or partly over the photodiode. | 01-22-2009 |
20090026508 | Solid-state photosensor with electronic aperture control - The effective photosensitive area of a solid-state photosensor is controlled with a multitude of electrodes (E | 01-29-2009 |
20090026509 | PHOTOSENSOR - For a photosensor, an array substrate is provided, wherein the edge of a photodiode is enclosed by the opening edge of a contact hole formed on a drain electrode. | 01-29-2009 |
20090026510 | Image sensor and method for fabricating the same - An image sensor includes an epi-layer of a first conductivity type formed in a substrate, a photodiode formed in the epi-layer, and a first doping region of a second conductivity type formed under the photodiode to separate the first doping region from the photodiode. | 01-29-2009 |
20090026511 | Isolation process and structure for CMOS imagers - A barrier implanted region of a first conductivity type formed in lieu of an isolation region of a pixel sensor cell that provides physical and electrical isolation of photosensitive elements of adjacent pixel sensor cells of a CMOS imager. The barrier implanted region comprises a first region having a first width and a second region having a second width greater than the first width, the second region being located below the first region. The first region is laterally spaced from doped regions of a second conductivity type of adjacent photodiodes of pixel sensor cells of a CMOS imager. | 01-29-2009 |
20090026512 | CMOS image sensor and method for manufacturing the same - A CMOS image sensor and a method for manufacturing the same improve light-receiving efficiency and maintain a margin in the design of a metal line. The CMOS image sensor includes a transparent substrate including an active area having a photodiode region and a transistor region and a field area for isolation of the active area, a p-type semiconductor layer on the transparent substrate, a photodiode in the p-type semiconductor layer corresponding to the photodiode region, and a plurality of transistors in the p-type semiconductor layer corresponding to the transistor region. | 01-29-2009 |
20090032852 | CMOS image sensor - A CMOS (Complementary Metal-Oxide Semiconductor) image sensor is provided. A CMOS image sensor includes a first light-receiving unit converting light into charge, a first floating diffusion region, in which a first potential corresponding to the converted amount of charge is generated and a second floating diffusion region, to which the charge in the first floating diffusion region is transmitted, and in which a second potential is generated, wherein a wide dynamic range signal is acquired from the first floating diffusion region, a high-sensitively signal is acquired from the second floating diffusion region, and the acquired signals are synthesized and output. | 02-05-2009 |
20090032853 | CMOS image sensors and methods of fabricating the same - CMOS image sensors and methods of fabricating the same. The CMOS image sensors include a pixel array region having an active pixel portion and an optical block pixel portion which encloses the active pixel portion. The optical block pixel portion includes an optical block metal pattern for blocking light. The optical block metal pattern may be connected to a ground portion. | 02-05-2009 |
20090032854 | IMAGE SENSOR AND SENSOR UNIT - This image sensor is so formed as to control at least either the potential of a portion of a transfer channel corresponding to a third electrode or the potential of another portion of the transfer channel corresponding to a fourth electrode to be lower than the potentials of portions of the transfer channel corresponding to a first electrode and a second electrode respectively in a signal charge transferring operation and a signal charge increasing operation. | 02-05-2009 |
20090039400 | IMAGE SENSOR WITH INTER-PIXEL ISOLATION - An image sensor with a plurality of photodiodes that each have a first region constructed from a first type of material and a second region constructed from a second type of material. Located adjacent to the first region and between second regions of adjacent photodiodes is a barrier region. The photodiodes are reversed biased to create depletion regions within the first regions. The barrier region limits the lateral growth of the depletions regions and inhibits depletion merger between adjacent photodiodes. | 02-12-2009 |
20090045442 | SOLID STATE IMAGING DEVICE AND METHOD FOR FABRICATING THE SAME - A first oxide film ( | 02-19-2009 |
20090045443 | SPLIT TRUNK PIXEL LAYOUT - A pixel array architecture having multiple pixel cells arranged in a split trunk pixel layout and sharing common pixel cell components. The array architecture increases the fill factor, and in turn, the quantum efficiency of the pixel cells. The common pixel cell components may be shared by a number of pixels in the array, and may include several components that are associated with the storage and readout of a signal from the pixel cells. | 02-19-2009 |
20090050943 | IMAGERS, APPARATUSES AND SYSTEMS UTILIZING PIXELS WITH IMPROVED OPTICAL RESOLUTION AND METHODS OF OPERATING THE SAME - A pixel array resolution is doubled by adding a plurality of second photodiodes, but only a single, common transfer control line. By controlling a combination of the single, common transfer control line and a transfer control line unique to controlling first transfer transistors in pixels in a row, first and second photodiodes in a pixel can be separately readout. | 02-26-2009 |
20090050944 | CMOS image sensor and method of fabrication - A CMOS imaging device including a two pixel detection system for red, green, and blue light. One pixel detects red and blue light and another pixel detects green light. The detection of red and blue is based on wavelength and the device is structured such that in the red/blue pixel, detection of blue light is at a shallow substrate depth, while detection of red is at a deeper substrate depth. The pixel array is structured such that the red/blue pixel is adjacent to the green pixel and alternates between red/blue and green pixels. The invention is also related to methods of forming such an imager array and pixels. | 02-26-2009 |
20090050945 | SOLID-STATE IMAGE SENSING DEVICE - A solid-state image sensing device has a pixel that includes a photodiode that generates an electrical charge according to an amount of incoming light, a floating diffusion portion, a charge transfer transistor that transfers the electrical charge to the floating diffusion portion from the photoelectric conversion portion, a reading circuit that outputs an signal on the basis of said electrical charge held in said floating diffusion portion, and a light-shielding member disposed so as to cover a side wall of a gate electrode of the charge transfer transistor on the photoelectric conversion portion side. | 02-26-2009 |
20090057732 | CMOS IMAGE SENSOR AND FABRICATING METHOD THEREOF - A CMOS image sensor and a fabricating method for a semiconductor device are disclosed. Embodiments provide a CMOS image sensor having an improved structure using a light reflection system, with a fabricating method thereof to simplify the fabrication process and maximize a light receiving area. Embodiments may be applied to a semiconductor device having a lamination structure. | 03-05-2009 |
20090057733 | Image Sensor and a Method for Manufacturing the Same - An image sensor and manufacturing method thereof are provided. A semiconductor substrate can include a light blocking region and a light receiving region. A photodiode can be formed in the light blocking region and in the light receiving region. A gate can be disposed at a side of the photodiode in the light receiving region, and a light blocking gate can be disposed on the photodiode in the light blocking region. A salicide layer can be formed on the light blocking gate. | 03-05-2009 |
20090057734 | IMAGE SENSOR - An image sensor includes a photoelectric conversion portion generating signal charges, a first electrode for forming an electric field transferring the signal charges generated by the photoelectric conversion portion, formed to be adjacent to the photoelectric conversion portion; and a second electrode for forming an electric field transferring the signal charges, provided on a side opposite to the photoelectric conversion portion with respect to the first electrode and formed to partially extend on the first electrode. | 03-05-2009 |
20090057735 | Image sensor having reduced dark current - An image sensor includes a light receiving device, a field effect transistor, a stress layer pattern, and a surface passivation material. The light receiving device is formed in a first region of a substrate. The field effect transistor is formed in a second region of the substrate. The stress layer pattern is formed over the field effect transistor for creating stress therein to improve transistor performance. The surface passivation material is formed on the first region of the substrate for passivating dangling bonds at the surface of the light receiving device. | 03-05-2009 |
20090065820 | Method and structure for simultaneously fabricating selective film and spacer - The present invention provides a method for simultaneously fabricating a selective film and a spacer. First, a semiconductor substrate is provided and a first device area and a second device area are defined on the semiconductor substrate. At least a gate is formed on the semiconductor substrate in the second device area. Subsequently, at least a dielectric material is formed on the semiconductor substrate and the dielectric material covers the first device area and the second device area. A patterned mask is then formed on a portion of the dielectric material. Subsequently, an etching process is carried out to remove the dielectric material not covered by the patterned mask, thereby a selective film is formed in the first device area and simultaneously spacers are formed on the sidewalls of the gate in the second device area. Finally, the patterned mask is removed. | 03-12-2009 |
20090065821 | IMAGE SENSOR AND FABRICATING METHOD THEREOF - An image sensor and fabricating method thereof for preventing cross-talk between neighboring pixels by providing at least three light-shield walls combining to extend vertically above a lateral periphery of a photodiode for deflecting light from a microlens array towards the photodiode. | 03-12-2009 |
20090065822 | Image Sensor and Method for Manufacturing an Image Sensor - Provided are methods for manufacturing an image sensor. A method for manufacturing an image sensor can include: forming a readout circuitry on a substrate; forming an electrical junction region in the substrate; forming an interconnection connected to the electrical junction region; and forming an image sensing device on the interconnection. The readout circuitry can be formed on a first substrate. The electrical junction region can be formed in the first substrate to electrically connect the image sensing device with the readout circuitry. The image sensing device can be formed using a second substrate that is then bonded on the interconnection. | 03-12-2009 |
20090065823 | Image Sensor and Method for Manufacturing an Image Sensor - Provided is an image sensor. The image sensor can include a readout circuitry on a first substrate, an electrical junction region in the first substrate electrically connected with the readout circuitry, and an interconnection on the first substrate. The interconnection can be formed for connection to the electrical junction region. An image sensing device can be formed on the interconnection. | 03-12-2009 |
20090065824 | Image Sensor and Manufacturing Method Thereof - An image sensor can be formed of a first substrate having a readout circuitry, an interlayer dielectric, and lower lines, and a second substrate having a photodiode. The first substrate comprises a pixel portion and a peripheral portion. The readout circuitry is formed on the pixel portion. The interlayer dielectric is formed on the pixel portion and the peripheral portion. The lower lines pass through the interlayer dielectric to electrically connect with the readout circuitry and the peripheral portion. The photodiode is bonded to the first substrate and etched to correspond to the pixel portion. A transparent electrode is formed on the interlayer dielectric on which the photodiode is formed such that the transparent electrode can be connected with the photodiode and the lower line in the peripheral portion. A first passivation layer can be formed on the transparent electrode. In one embodiment, the first passivation layer includes a trench exposing a portion of the transparent electrode. Then, an upper line can be formed on the peripheral portion and in the trench to shield a lateral side of the photodiode. | 03-12-2009 |
20090065825 | Image Sensor and Manufacturing Method Thereof - Provided is an image sensor. The image sensor comprises an interlayer dielectric, lines, and a crystalline semiconductor layer including photodiodes and a device isolation region. The interlayer dielectric can be formed on a first substrate comprising a readout circuitry. The lines pass through the interlayer dielectric to connect with the readout circuitry, and each line is formed according to unit pixel. The crystalline semiconductor layer can be bonded on the interlayer dielectric including the lines. The photodiodes, formed inside the crystalline semiconductor layer, are electrically connected with the lines. The device isolation region comprises conductive impurities and is formed inside the crystalline semiconductor layer so that the photodiodes can be separated according to unit pixels. | 03-12-2009 |
20090065826 | Image Sensor and Method for Manufacturing the Same - Provided is an image sensor. The image sensor can include a first substrate, an image sensing device and a light shielding layer. The first substrate includes a readout circuitry and an interconnection. The image sensing device is formed on the interconnection. The light shielding layer is formed in portions of the image sensing device on a boundary between pixels. | 03-12-2009 |
20090065827 | Image Sensor and Manufacturing Method Thereof - Provided is an image sensor. The image sensor can include a readout circuitry on a first substrate. An interlayer dielectric is formed on the first substrate, and comprises a lower line therein. A crystalline semiconductor layer is bonded to the interlayer dielectric. A photodiode can be formed in the crystalline semiconductor layer, and comprises a first impurity region and a second impurity region. A via hole can be formed passing through the crystalline semiconductor layer and the interlayer dielectric to expose the lower line. A plug is formed inside the first via hole to connect with only the lower line and the first impurity region. A device isolation region can be formed in the crystalline semiconductor layer to separate the photodiode according to unit pixel. | 03-12-2009 |
20090065828 | Image Sensor and Manufacturing Method Thereof - Provided is an image sensor. The image sensor can include a first substrate comprising a pixel portion in which a readout circuitry is provided and a peripheral portion in which a peripheral circuitry is provided. An interlayer dielectric including lines can be formed on the first substrate to connect with the readout circuitry and the peripheral circuitry. A crystalline semiconductor layer can be provided on a portion of the interlayer dielectric corresponding to the pixel portion through a bonding process. The crystalline semiconductor layer can include a first photodiode and second photodiode. The first and second photodiodes can be defined by device isolation trenches in the crystalline semiconductor layer. A device isolation layer can be formed on the crystalline semiconductor layer comprising the device isolation trenches. An upper electrode layer passes through the device isolation layer to connect with a portion of the first photodiode. An expose portion can be formed in the upper electrode layer to selectively expose an upper region of the first photodiode. A passivation layer can be formed on the first substrate on which the expose portion is provided. | 03-12-2009 |
20090065829 | Image Sensor and Method for Manufacturing the Same - Provided are image sensors and a method of manufacturing the same. The image sensor can include a semiconductor substrate having a metal line and a readout circuitry formed thereon; a photodiode on the semiconductor substrate, the photodiode including a first impurity region and a second impurity region horizontally arranged in a crystalline region; and a first contact and a second contact penetrating the photodiode. The first contact can penetrate the first impurity region of the photodiode, and the second contact can penetrate the second impurity region to connect with the metal line. | 03-12-2009 |
20090065830 | Image Sensor and a Method for Manufacturing the Same - An image sensor and manufacturing method thereof are provided. A semiconductor substrate can include a center region and an edge region, each with a gate. A first impurity region and a second impurity region can be provided in the semiconductor substrate to a first side of each gate. A floating diffusion region can be provided to a second side of teach gate. A third impurity region can be provided in the semiconductor substrate to the first side of the gate in the edge region. | 03-12-2009 |
20090065831 | Image Sensor and Method for Manufacturing the Same - Disclosed are an image sensor and a method for manufacturing the same. The image sensor includes a semiconductor substrate including a CMOS circuit, a dielectric layer including a metal interconnection on the semiconductor substrate, a bottom electrode on the metal interconnection, in which the bottom electrode has at least one protrusion, a photodiode on the dielectric layer and the bottom electrode, and a top electrode on the photodiode. | 03-12-2009 |
20090065832 | SOLID-STATE IMAGING DEVICE - It is an object of the present invention to provide an image sensor having a high ratio of a surface area of a light receiving element to a surface area of one pixel. The above-described object is achieved by an inventive solid-state imaging device unit comprising solid-state imaging devices arranged on a substrate according to the present invention. The solid-state imaging device comprises a signal line formed on the substrate, an island shaped semiconductor placed over the signal line, and a pixel selection line connected to an upper portion of the island shaped semiconductor. The island shaped semiconductor comprises a first semiconductor layer disposed in a lower portion of the island shaped semiconductor and connected to the signal line, a second semiconductor layer disposed adjacent to an upper side of the first semiconductor layer, a gate connected to the second semiconductor layer via an insulating film, an electric charge accumulator comprising a third semiconductor layer connected to the second semiconductor layer and carrying a quantity of electric charges which varies in response to a light reception, and a fourth semiconductor layer disposed adjacent to an upper side of the second semiconductor layer and the third semiconductor layer and connected to the pixel selection line. The solid-state imaging devices are arranged on the substrate in a honeycomb configuration. | 03-12-2009 |
20090065833 | CMOS IMAGE SENSOR - A CMOS image sensor is described, based on a substrate and including a transfer transistor, a reset transistor, a source follower transistor, a select transistor, a photodiode and a floating node structure. The substrate includes a floating node area between the transfer transistor and the reset transistor. The floating node structure includes a P-well in the substrate within the floating node area, an N-well in the substrate outside of the floating node region, a lightly N-doped region having a portion in the P-well and another portion connected with the N-well, a heavily N-doped region in the N-well, and a contact plug for coupling the heavily N-doped region to the source follower transistor. | 03-12-2009 |
20090072281 | CMOS image sensor layout capable of removing difference between Gr and Gb sensitivities and method of laying out the CMOS image sensor - Provided is a layout of a CMOS image sensor having an asymmetrical pixel structure in which a plurality of photodiodes may share a transistor block. The layout may include a first region in which a plurality of photodiodes are arranged asymmetrically on a semiconductor substrate, a second region including a metal shield layer arranged on an upper surface of the first region, and a third region arranged on an upper surface of the second region. The metal shield layer may be arranged asymmetrically according to the layout of the photodiodes. | 03-19-2009 |
20090072282 | Image Sensor and Method for Manufacturing the Same - Provided is an image sensor. In the image sensor, a transistor region is on a substrate, and a photo diode region is at one side of the transistor region. A dielectric layer is formed on the transistor region and the photo diode region. A metal line is formed on the dielectric layer in the transistor region. A color filter is formed on the dielectric layer in the photo diode region. | 03-19-2009 |
20090072283 | CMOS image sensor and method for manufacturing the same - A CMOS image sensor and a method for manufacturing the same improves photosensitivity and prevent loss of light by forming a photo-sensing unit under a color filter. The CMOS image sensor may include a plurality of transistors formed on a semiconductor substrate, a metal line formed over the plurality of transistors for electrically connecting the plurality of transistors, and a plurality of photodiodes electrically connected with the plurality of transistors and formed over the metal line. | 03-19-2009 |
20090072284 | IMAGE SENSOR COMPRISING ISOLATED GERMANIUM PHOTODETECTORS INTEGRATED WITH A SILICON SUBSTRATE AND SILICON CIRCUITRY - In accordance with the invention, an improved image sensor comprises an array of germanium photosensitive elements integrated with a silicon substrate and integrated with silicon readout circuits. The silicon transistors are formed first on a silicon substrate, using well known silicon wafer fabrication techniques. The germanium elements are subsequently formed overlying the silicon by epitaxial growth. The germanium elements are advantageously grown within surface openings of a dielectric cladding. Wafer fabrication techniques are applied to the elements to form isolated germanium photodiodes. Since temperatures needed for germanium processing are lower than those for silicon processing, the formation of the germanium devices need not affect the previously formed silicon devices. Insulating and metallic layers are then deposited and patterned to interconnect the silicon devices and to connect the germanium devices to the silicon circuits. The germanium elements are thus integrated to the silicon by epitaxial growth and integrated to the silicon circuitry by common metal layers. | 03-19-2009 |
20090078973 | Image Sensor Element For Backside-Illuminated Sensor - Provides is a backside-illuminated sensor including a semiconductor substrate having a front surface and a back surface. A plurality of image sensor elements are formed on the front surface of the semiconductor substrate. At least one of the image sensor elements includes a transfer transistor and a photodetector. The gate of the transfer transistor includes an optically reflective layer. The gate of the transfer transistor, including the optically reflective layer, overlies the photodetector. In one embodiment, the gate overlies the photodetector by at least 5%. | 03-26-2009 |
20090078974 | Solid-state image capturing device; manufacturing method for the solid-state image capturing device; and electronic information device - A solid-state image capturing device is provided with a plurality of light receiving elements arranged on a surface section of a semiconductor substrate, a color filter of each color for each of the plurality of light receiving elements, and a plurality of microlenses each for condensing incident light into each of the plurality of light receiving elements, in which the interlayer insulation film is provided directly below the color filter of each color in a state where a passivation and hydrogen sintering process film is removed from the interlayer insulation film. | 03-26-2009 |
20090078975 | CMOS image sensor - There is provided a CMOS image sensor including: a photodiode receiving light to generate photogenerated charges; a transmission gate unit transmitting the photogenerated charges generated by the photodiode to a first floating diffusion area, and increasing the capacitance of the first floating diffusion area; a transfer transistor transferring the photogenerated charges of the first floating diffusion area transmitted by the transmission gate unit to a second floating diffusion area; and a drive transistor converting the photogenerated charges of the second floating diffusion area into a detection voltage. | 03-26-2009 |
20090078976 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THEREOF AS WELL AS DRIVING METHOD OF SOLID-STATE IMAGING DEVICE - A solid-state imaging device with a structure such that an electrode for reading a signal charge is provided on one side of a light-receiving sensor portion constituting a pixel; a predetermined voltage signal V is applied to a light-shielding film formed to cover an image pickup area except the light-receiving sensor portion; a second-conductivity-type semiconductor area is formed in the center on the surface of a first-conductivity-type semiconductor area constituting a photo-electric conversion area of the light-receiving sensor portion; and areas containing a lower impurity concentration than that of the second-conductivity-type semiconductor area is formed on the surface of the first-conductivity-type semiconductor area at the end on the side of the electrode and at the opposite end on the side of a pixel-separation area. | 03-26-2009 |
20090078977 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THEREOF AS WELL AS DRIVING METHOD OF SOLID-STATE IMAGING DEVICE - A solid-state imaging device with a structure such that an electrode for reading a signal charge is provided on one side of a light-receiving sensor portion constituting a pixel; a predetermined voltage signal V is applied to a light-shielding film formed to cover an image pickup area except the light-receiving sensor portion; a second-conductivity-type semiconductor area is formed in the center on the surface of a first-conductivity-type semiconductor area constituting a photo-electric conversion area of the light-receiving sensor portion; and areas containing a lower impurity concentration than that of the second-conductivity-type semiconductor area is formed on the surface of the first-conductivity-type semiconductor area at the end on the side of the electrode and at the opposite end on the side of a pixel-separation area. | 03-26-2009 |
20090078978 | IMAGE SENSOR HAVING A CHARGE STORAGE REGION PROVIDED WITHIN AN IMPLANT REGION - A deep implanted region of a first conductivity type located below a transistor array of a pixel sensor cell and adjacent a doped region of a second conductivity type of a photodiode of the pixel sensor cell is disclosed. The deep implanted region reduces surface leakage and dark current and increases the capacitance of the photodiode by acting as a reflective barrier to photo-generated charge in the doped region of the second conductivity type of the photodiode. The deep implanted region also provides improved charge transfer from the charge collection region of the photodiode to a floating diffusion region adjacent the gate of the transfer transistor. | 03-26-2009 |
20090085076 | Photo Sensor and a Method for Manufacturing Thereof - According to a method of manufacturing photo sensor, a diode can be formed by one lithography step. In addition, the source/drain is arranged on a gate dielectric layer to avoid the conventional plug structure. Moreover, a diode stack is formed on one of the source/drain to simplify the structure of the photo sensor. | 04-02-2009 |
20090085077 | Photo Sensor and a Method for Manufacturing Thereof - A photo sensor has an insulator layer for covering a diode stack, and the insulator layer is made of phtoresist to reduce a side leakage current. | 04-02-2009 |
20090085078 | IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - An image sensor includes a pixel array including a photodiode, a peripheral region including a logic circuit, and an isolation region formed between the pixel array and the peripheral region and formed under the peripheral region to electrically isolate the pixel array from the peripheral region. | 04-02-2009 |
20090085079 | Image Sensor and Method for Manufacturing The Same - An image sensor and method of manufacturing the same are disclosed. A semiconductor substrate can be prepared comprising a photodiode region, a transistor region, and a floating diffusion region. A gate dielectric can be disposed under a surface of the semiconductor substrate in the transistor region. A first dielectric pattern can be provided having a portion above and a portion below the surface of the semiconductor substrate in the photodiode and the floating diffusion regions. A second dielectric can be disposed under the gate dielectric. The second dielectric can extend the depth of the gate dielectric into the semiconductor substrate to space the movement path of photoelectrons from the photodiode region to the floating diffusion region. | 04-02-2009 |
20090085080 | Image Sensor and Method for Manufacturing The Same - Disclosed is an image sensor. The image sensor includes a semiconductor substrate including unit pixels, an interlayer dielectric layer including metal interconnections formed on the semiconductor substrate, a plurality of bottom electrodes formed on the interlayer dielectric layer in correspondence with the unit pixels, the plurality of bottom electrodes includes bottom electrodes having at least two different sizes, a photodiode formed on the interlayer dielectric layer including the bottom electrodes, and color filters formed on the photodiode in correspondence with the unit pixels. | 04-02-2009 |
20090090944 | Image Sensor and Method of Fabricating the Same - Provided is an image sensor and a method of fabricating the image sensor. The image sensor can comprise: a semiconductor substrate comprising a photodiode; a metal wiring layer disposed on the semiconductor substrate and comprising a metal wiring and an interlayer dielectric; a trench formed in the interlayer dielectric to correspond to the photodiode; and a color filter formed in the trench. Accordingly, the distance between the photodiode and the color filter can be significantly reduced by forming the color filter in the trench. | 04-09-2009 |
20090090945 | PIXEL WITH TRANSFER GATE WITH NO ISOLATION EDGE - A pixel and imager device, and method of forming the same, where the pixel has a transfer transistor gate associated with a photoconversion device and is isolated in a substrate by shallow trench isolation. The transfer transistor gate does not overlap the shallow trench isolation region. | 04-09-2009 |
20090101947 | Image sensor device and fabrication method thereof - An image sensor device is disclosed. The image sensor device comprises a substrate having a pixel array therein. A first transparent layer with a curved surface is disposed on the substrate. A micro lens array is conformally disposed on the curved surface of the first transparent layer and corresponds to the pixel array in the substrate. The invention also discloses an electronic assembly for an image sensor device and a fabrication method thereof. | 04-23-2009 |
20090101948 | CMOS image sensors having transparent transistors and methods of manufacturing the same - CMOS image sensors having transparent transistors and methods of manufacturing the same are provided. The CMOS image sensors include a photodiode and at least one transistor formed on the photodiode. The image sensor may include a plurality of transistors wherein at least one of the plurality of transistors is a transparent transistor. | 04-23-2009 |
20090101949 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor having maximized photosensitivity includes a photodiode and a transistor formed over the semiconductor substrate. A first passivation layer is formed over the semiconductor substrate including the transistor and the photodiode, a pre-metal dielectric layer formed over the first passivation layer and insulating layers having metal wirings formed over the pre-metal dielectric layer. A trench is formed in the insulating layers and the pre-metal dielectric layer exposing a portion of the first passivation layer formed over the photodiode while a second passivation layer formed on sidewalls and a bottom of the trench and over the uppermost surface of the insulating layer such that the second passivation layer directly contacts the portion of the first passivation layer formed over the photodiode. A photosensitive material is then formed over the second passivation layer and buried in the trench. | 04-23-2009 |
20090101950 | CMOS IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - A CMOS image sensor and a method for fabricating the same. In one example embodiment, a method for fabricating a CMOS image sensor includes various steps. First, an interlayer dielectric that includes a plurality of metal lines is formed on a semiconductor substrate that includes a photodiode. Next, a trench is formed in the interlayer dielectric. Then, a passivation layer is formed in the trench. Next, the trench is filled by vapor-depositing an additional dielectric layer on the passivation layer. Then, a color filter is formed on the additional dielectric layer. Next, a planarization layer is formed on the color filter. Finally, a micro lens is formed on the planarization layer. | 04-23-2009 |
20090101951 | CMOS Image Sensor and Fabricating Method Thereof - A CMOS image sensor and fabricating method thereof are disclosed. The method includes forming a plurality of photodiode regions on a semiconductor substrate, forming a plurality of color filters respectively corresponding to the photodiode regions, forming a planarization layer on the color filters, forming a protective layer on the planarization layer, and forming a microlens layer comprising a plurality of microlenses corresponding to the photodiode regions by depositing a low-temperature oxide layer on the protective layer and then patterning the low-temperature oxide layer. After the planarization layer is formed, the protective layer is formed by plasma processing. Thus, the planarization layer can be protected from chemical penetration via numerous pin holes in the microlens layer in the course of wet processing. Accordingly, the method prevents the microlens from lifting from the planarization layer. | 04-23-2009 |
20090101952 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor and a method for manufacturing the same that includes photodiodes formed in a semiconductor substrate, a first insulating layer formed over the semiconductor substrate, the first insulating layer including a seed pattern corresponding spatially to the positions of the photodiodes, lower microlenses composed of an organic material formed over the seed pattern, a second insulating layer formed over the lower microlenses, a third insulating layer formed over the second insulating layer, color filters formed over the third insulating layer, and upper micro lenses formed over the color filters. | 04-23-2009 |
20090101953 | PHOTOELECTRIC CONVERSION ELEMENT AND SOLID-STATE IMAGING DEVICE - A photoelectric conversion element is provided and includes a photoelectric conversion portion which includes: a pair of electrodes including an electron-collecting electrode and a hole-collecting electrode; and a photoelectric conversion layer between the pair of electrodes. At least part of the photoelectric conversion layer includes a mixture layer of a p-type organic semiconductor and a fullerene, and a volume ratio of the fullerene to the p-type organic semiconductor in the photoelectric conversion layer is such that the volume ratio on a side of the electron-collecting electrode is smaller than the volume ratio on a side of the hole-collecting electrode. | 04-23-2009 |
20090108309 | CMOS IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - A CMOS image sensor, which can monitor accurate overlay information even when a dual microlens is employed, and a method for manufacturing the same are disclosed. The CMOS image sensor includes a substrate having a photosensitive element formed therein; a light shield layer formed over the substrate and having a portion spatially corresponding to the photosensitive element; a color filter formed over the light shield layer; and a microlens having a first microlens portion and a second microlens portion formed spaced apart over the color filter, the second microlens portion being formed in a region surrounded by the first microlens portion. | 04-30-2009 |
20090108310 | CMOS image sensor and fabricating method thereof - A CMOS image sensor and fabricating method thereof are disclosed, by which a light condensing effect is enhanced by providing an inner microlens to a semiconductor substrate. The present invention includes a plurality of photodiodes on a semiconductor substrate, a plurality of inner microlenses on a plurality of the photodiodes, an insulating interlayer on a plurality of the inner microlenses, a plurality of metal lines within the insulating interlayer, a device protecting layer on the insulating interlayer, and a plurality of microlenses on the device protecting layer. | 04-30-2009 |
20090114959 | Low dark current image sensors with epitaxial SiC and/or carbonated channels for array transistors - A pixel cell having a substrate with a isolation channel formed of higher carbon concentrate such as SiC or carbonated silicon. The channel comprising SiC or carbonated silicon is provided over the substrate of the pixel cell to reduce the dark current leakage. | 05-07-2009 |
20090114960 | Image Sensor and a Method for Manufacturing the Same - An image sensor and method for manufacturing the same are provided. According to an embodiment, the image sensor includes a photodiode on a semiconductor substrate according to unit pixels; an insulating layer arranged on the semiconductor substrate; and an inter metal dielectric (IMD) including metal wirings arranged on the insulating layer. A trench is provided through the IMD in a region corresponding to the photodiode for each unit pixel; and a color filter is arranged filling the trench. The color filter can function as a wave guide to improve the photosensitivity of the image sensor. | 05-07-2009 |
20090114961 | Image Sensor - Provided is an image sensor. According to embodiments, the subject image sensor can include a photodiode for converting incident light into electrical signals, a reset transistor for resetting a voltage value of a unit pixel, a drive transistor for providing an output voltage, a select transistor for selecting the unit pixel, a storage capacitor for storing electrons leaking from the photodiode, and a switching transistor for controlling the flow of charge to and from the storage capacitor. The switching transistor can be disposed connected to a node between the photodiode and the reset transistor, and the storage capacitor can be disposed at a side of the switching transistor. | 05-07-2009 |
20090114962 | Image Sensor and Method for Manufacturing Thereof - Disclosed are an image sensor and a method for manufacturing the same. The image sensor can include a first pixel including a first photodiode and a first gate; a second pixel adjacent the first pixel and including a second photodiode and a second gate; and a barrier layer between the first photodiode and the second photodiode. The barrier layer can be formed by implanting ions into a semiconductor substrate at a region between adjacent photodiodes. A shallow trench isolation (STI) can be omitted in the regions between adjacent photodiodes by using the ion-implanted barrier layer to isolate the photodiodes from each other. | 05-07-2009 |
20090114963 | Image Sensor and Method for Manufacturing the Same - An image sensor and a method for manufacturing the same are provided. The image sensor can include a lower interconnection for connecting transistor circuitry provided on a substrate to a photodiode element provided above the transistor circuitry, a lower electrode on the lower interconnection, and the photodiode element including an intrinsic layer and a second conductive type conduction layer. A dielectric can be disposed on the substrate exposing a top surface of the lower interconnection, and. the lower electrode can be disposed on the lower interconnection within the dielectric. The intrinsic layer can be disposed on the lower electrode, and the second conductive type conduction layer can be disposed on the intrinsic layer. | 05-07-2009 |
20090114964 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor includes a first substrate having a lower wiring line and electric circuitry formed therein, a bonding layer formed over the first substrate, a second substrate bonded to the first substrate via the bonding layer, a vertical-type photodiode formed in the second substrate, and a contact plug formed in the photodiode and the bonding layer and electrically connected to the lower wiring line. | 05-07-2009 |
20090114965 | IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - An image sensor and a method of manufacturing the same that includes providing a semiconductor substrate having a photodiode, forming a color filter over the photodiode, forming a micro lens over the color filter and then forming at least one metal layer vertically extending through the microlens at an outer edge thereof. | 05-07-2009 |
20090127597 | Photodiode Structure - A photodiode structure including a semiconductor of a first conductivity type, the semiconductor having a main surface, a first well formed in the semiconductor at the main surface thereof, the first well being of a second conductivity type opposite to the first conductivity type. A second well formed in the semiconductor at the main surface thereof laterally outside the first well, the second well being of the second conductivity type, and a first terminal electrically connecting the first well and the second well, and a second terminal connecting the semiconductor such that a depletion region of laterally varying distance to the main surface results from applying a reverse voltage to the first and second terminals. | 05-21-2009 |
20090127598 | IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - An image sensor includes a semiconductor substrate, a photodiode formed in the semiconductor substrate, a first impurity region formed in the semiconductor substrate spaced from the photodiode, a second impurity region formed in the semiconductor substrate spaced from the first impurity region, a first gate formed over the semiconductor substrate between the photodiode and the first impurity region, a second gate formed over the semiconductor substrate between the first impurity region and the second impurity region, a spacer formed over the fourth impurity region and a first sidewall of the second gate, and an insulating film formed over the photodiode, the first gate, the first impurity region and a second sidewall and a portion of the uppermost surface of the second gate. | 05-21-2009 |
20090127599 | Image Sensor and Method of Manufacturing the Same - Provided is an image sensor. The image sensor includes a semiconductor substrate, an interlayer dielectric, metal interconnections, a first electrode, a lower electrode, a second electrode, and a photodiode. The semiconductor substrate has at least one transistor thereon. The interlayer dielectric is on the semiconductor substrate. The metal interconnections pass through the interlayer dielectric. The first electrode is in the interlayer dielectric between the metal interconnections. The lower electrode is on the interlayer dielectric to connect to the metal interconnection. The second electrode is on the interlayer dielectric at a position corresponding to the first electrode, and a gap region is between the second electrode and the lower electrode. The photodiode is on the interlayer dielectric with the lower electrode and the second electrode. | 05-21-2009 |
20090127600 | IMAGE SENSOR AND FABRICATING METHOD THEREOF - An image sensor and fabricating method thereof are disclosed by which damage to a protective layer can be prevented in a manner of reducing thermal stress of an uppermost metal line in performing thermal treatment for enhancing the dark characteristic. Such damage can be prevented by forming a poly layer pattern in an insulating interlayer on at least one side of the uppermost layer metal line. | 05-21-2009 |
20090134437 | IMAGE SENSOR AND CMOS IMAGE SENSOR - In an image sensor, a first electrode, a second electrode, a third electrode and a fourth electrode are formed between a photoelectric conversion portion and a voltage conversion portion and are provided so as not to overlap with at least a part of the photoelectric conversion portion in plan view. | 05-28-2009 |
20090134438 | Image Sensor - A CMOS image sensor includes an impurity region provided under at least the first electrode, the second electrode and the third electrode for forming a path through which the signal charges transfer, wherein the impurity concentration of a region of the impurity region corresponding to a portion located under the first electrode is higher than the impurity concentration of a region of the impurity region corresponding to each of portions located under at least the second electrode and the third electrode. | 05-28-2009 |
20090140304 | SOLID-STATE IMAGING DEVICE AND CAMERA - Disclosed is a solid-state imaging device which includes a plurality of pixels in an arrangement, each of the pixels including a photoelectric conversion element, pixel transistors including a transfer transistor, and a floating diffusion region, in which the channel width of transfer gate of the transfer transistor is formed to be larger on a side of the floating diffusion region than on a side of the photoelectric conversion element. | 06-04-2009 |
20090140305 | IMAGING DEVICE - A solid-state imaging device, a line sensor and an optical sensor for enhancing a wide dynamic range while keeping high sensitivity with a high S/N ratio, and a method of operating a solid-state imaging device for enhancing a wide dynamic range while keeping high sensitivity with a high S/N ratio are provided. The solid-state imaging device comprises an integrated array of a plurality of pixels, each of which comprises a photodiode PD for receiving light and generating photoelectric charges, a transfer transistor Tr | 06-04-2009 |
20090146197 | PHOTO-DETECTOR ARRAY DEVICE WITH ROIC MONOLITHICALLY INTEGRATED FOR LASER-RADAR IMAGE SIGNAL AND MANUFACTURING METHOD THEREOF - A photo-detector array device integrated with a read-out integrated circuit (ROIC) monolithically integrated for a laser-radar image signal and a manufacturing method thereof are provided. According to the photo-detector array device, a photodiode and control devices for selecting and outputting a laser-radar image signal are simultaneously formed on an InP substrate, so that it is possible to simplify manufacturing processes and to greatly increasing yield. In addition, after the photodiode and the control devices are simultaneously formed on the InP substrate, the photodiode and the control devices are electrically speared from each other by using a polyimide. Therefore, a PN junction surface of the photodiode is buried, so that a surface leakage current can be reduced and an electrical reliability can be improved. In addition, a structure of the control devices can be simplified, so that image signal reception characteristics can be improved. | 06-11-2009 |
20090146198 | Photodiodes, image sensing devices and image sensors - Provided are photodiodes, image sensing devices and image sensors. An image sensing device includes a p-n junction photodiode having a metal pattern layer on an upper surface thereof. An image sensor includes the image sensing device and a micro-lens formed above the metal pattern layer. The metal pattern layer filters light having a first wavelength. | 06-11-2009 |
20090146199 | CMOS image sensor and method for fabricating the same - A CMOS image sensor and fabricating method can reduce leakage current of a photodiode reduced by configuring a triangular shape of a photodiode area to minimize an interface contacting the STI or performing deuterium annealing to remove dangling bonds from an interface contacting with oxide. The CMOS image sensor includes a semiconductor substrate, a device isolation layer on the semiconductor substrate, and a plurality of diodes, each having a shape minimizing an area of a boundary contacting with the device isolation layer. | 06-11-2009 |
20090152603 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - Embodiments relate to an image sensor that may include transistors, a first dielectric, a crystalline semiconductor layer on and/or over the first dielectric, a photodiode, a dummy region, via contacts, and a second dielectric. A photodiode may be formed by implanting impurity ions into a crystalline semiconductor layer to correspond the pixel region. A dummy region may be formed in the crystalline semiconductor layer excepting a region for the photodiode. Via contacts may penetrate the dummy region, and may be connected to the first metal interconnections. A second dielectric may include a plurality of second metal interconnections on and/or over the crystalline semiconductor layer. The plurality of second metal interconnections may electrically connect the via contacts to the photodiode. | 06-18-2009 |
20090159940 | STRUCTURE AND METHOD FOR FLEXIBLE SENSOR ARRAY - A method of forming a sensor array. The method includes depositing a source/drain contact layer; depositing a semiconductor layer on the source/drain contact layer; and patterning the source/drain contact layer and the semiconductor layer substantially simultaneously, wherein the patterned semiconductor layer forms part of a sensor of the sensor array. | 06-25-2009 |
20090159941 | CMOS IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - A complementary metal oxide silicon (CMOS) image sensor and a method for fabricating the same. In one example embodiment, a CMOS image sensor includes a substrate, a first dielectric film, a plurality of metal patterns, a second dielectric film, a plurality of via holes, a plurality of metal wires, a plurality of silicon oxide films, a plurality of trenches, and a plurality of photo diodes. The first dielectric film is formed on the substrate. The metal patterns are formed on the first dielectric film. The second dielectric film is formed on the first dielectric film and on the metal patterns. The via holes are formed through the second dielectric film. The metal wires are each formed in one of the via holes. The silicon oxide films are formed on the second dielectric film. The trenches are formed between the silicon oxide films. The photo diodes are formed in the trenches. | 06-25-2009 |
20090159942 | Image Sensor and Method for Manufacturing the Same - An image sensor can include a readout circuitry, a metal interconnection, a metal layer, and an image sensing device. The metal interconnection can be formed over the readout circuitry and the metal layer can be formed over the metal interconnection. The image sensing device can be formed over the metal layer. The metal layer can be formed through a low temperature deposition method at a low temperature. | 06-25-2009 |
20090159943 | Image Sensor and Method for Manufacturing the Same - An image sensor may include a dielectric, a metal interconnection, an align key, a first substrate, a photodiode, and a transparent electrode. The first substrate may include a pixel region, a peripheral circuitry region and a scribe lane. The dielectric may include a metal interconnection and an align key over the first substrate. The photodiode may be formed over the pixel region and the scribe lane. The transparent electrode may be formed over the photodiode. The align key may have a protrusion formed in a center thereof. | 06-25-2009 |
20090159944 | IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - An image sensor comprises a substrate including a photodiode, and an insulation pattern structure making contact with the photodiode on the substrate. An anti-reflection pattern is formed on the insulation pattern structure and the substrate. The anti-reflection pattern includes a first opening through which the insulation pattern structure is exposed corresponding to the photodiode. A first insulation interlayer structure is formed on the anti-reflection pattern, and the first insulation interlayer structure includes at least one insulation layer and a second opening connected to the first opening. A metal wiring structure is formed in the insulation layer, and a transparent insulation pattern is formed in the first and second openings. A color filter is formed on the transparent insulation pattern, and a micro lens is formed on the color filter. | 06-25-2009 |
20090159945 | IMAGE PICKUP APPARATUS AND IMAGE PICKUP SYSTEM - To provide a solid-state image pickup apparatus with little or no difference in the dark currents between adjacent photoelectric conversion elements and providing a high sensitivity and a low dark current even in a high-speed readout operation. | 06-25-2009 |
20090166689 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor includes a first substrate, readout circuitry, an electrical junction region, a metal interconnection and an image sensing device. The readout circuitry is formed on and/or over the first substrate and the electrical junction region is formed in the first substrate and electrically connected to the readout circuitry. The metal interconnection is electrically connected to the electrical junction region. The image sensing device is formed on and/or over the metal interconnection. | 07-02-2009 |
20090166690 | Image Sensor and Method of Manufacturing the Same - An image sensor and manufacturing method thereof are provided. The image sensor can include a gate, a channel region, a first p-type doped region, a second p-type doped region, an n-type doped region, and a floating diffusion region. The gate can be disposed on a semiconductor substrate, and the channel region can be disposed in the semiconductor substrate under the gate. The first p-type doped region can be disposed at a side of the gate and can be adjacent to the channel region. The second p-type doped region can be disposed under the first p-type doped region and spaced apart from the gate. The n-type doped region can be disposed under the first and second p-type doped regions, and the floating diffusion region can be disposed at another side of the gate. | 07-02-2009 |
20090166691 | Image Sensor and Method of Manufacturing the Same - Image sensors and manufacturing methods thereof are provided. An image sensor according to an embodiment comprises a second conductive type diffusion layer formed on a first conductive type substrate; a device isolating layer formed in the second conductive type diffusion layer to isolate the second conductive type diffusion layer according to unit pixel; a gate formed on the second conductive type diffusion layer; a first conductive type area formed on a surface of the second conductive type diffusion layer at one side of the gate; a first conductive type well area formed in the second conductive type diffusion layer at the other side of the gate; and a floating diffusion area formed in the first conductive type well area. | 07-02-2009 |
20090166692 | CMOS IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - A CMOS image sensor may include a dielectric layer formed on a semiconductor substrate, first and second passivation layers sequentially formed on the whole surface of the dielectric layer, a planarization layer, a color filter layer, and an overcoating layer and a microlens sequentially formed on the second passivation layer. The CMOS image sensor may further include a plurality of metal pads arranged on the dielectric layer to surround the microlens, a water barrier formed on the dielectric layer between the microlens and the metal pads, and first and second open parts exposing the metal pads and the water barrier. | 07-02-2009 |
20090166693 | Image Sensor and Manufacturing Method Thereof - An image sensor and manufacturing method thereof are provided. The image sensor can include a gate on a semiconductor substrate, first and second p-type doping areas below the gate, a third p-type doping area adjacent to the first p-type doping area, and a fourth p-type doping area adjacent to the third p-type doping area. An n-type doping area can be provided in the semiconductor substrate such that at least a portion of the n-type doping area is disposed below the first, third, and fourth p-type doping areas. A floating diffusion area can be provided adjacent to the second p-type doping area. | 07-02-2009 |
20090166694 | Image Sensor and Method for Manufacturing the Same - An image sensor and a method for manufacturing the same are provided. In the image sensor, a semiconductor substrate has a pixel region and a peripheral region defined by a first device isolation layer. First and second photodiode patterns are formed on the pixel region and are connected to lower metal lines to first and second readout circuitries. The first photodiode pattern performs as an active photodiode and the second photodiode pattern functions as a dummy pixel. The dummy pixel can measure leakage current. | 07-02-2009 |
20090166695 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SENSOR - A method for manufacturing an image sensor having a peripheral circuit unit and a pixel unit includes forming a device isolation layer that defines an active area in the pixel area, on a semiconductor substrate, forming a gate pattern on the active area of the semiconductor substrate, forming a photodiode area at one side of the gate pattern in the semiconductor substrate, vapor-depositing a plurality of dielectric layers on the whole surface of the substrate including the gate pattern, forming a spacer at lateral sides of the gate pattern by removing part of the plurality of dielectric layers by dry etching, and removing the other dielectric layer disposed between the lowermost dielectric layer and the uppermost dielectric layer by wet etching, while leaving a lowermost dielectric layer among the plurality of dielectric layers on the substrate where a floating diffusion area will be formed. | 07-02-2009 |
20090166696 | CMOS Image Device with Local Impurity Region - According to a CMOS image device and a method of manufacturing same, dark current is decreased by a local impurity region. The image device includes a semiconductor substrate, and a transfer gate formed on a predetermined portion of the semiconductor substrate and electrically insulated from the semiconductor substrate. A photodiode is formed in the semiconductor substrate on one side of the transfer gate, and a floating diffusion region is formed on the semiconductor substrate in the other side of the transfer gate. A local impurity region of a first conductivity type is formed to be partially overlapped the transfer gate between the photodiode and the floating diffusion region. | 07-02-2009 |
20090173974 | TWO-BY-TWO PIXEL STRUCTURE IN AN IMAGING SYSTEM-ON-CHIP - The claimed subject matter provides systems and/or methods that facilitate mitigating an impact resulting from mismatch between signal chains in a CMOS imaging System-on-Chip (iSoC) sensor. Two-by-two pixel structures can be a basic building block upon which a pixel array is constructed. Further, each two-by-two pixel structure can be associated with a read bus that carries a sampled signal to a top end and a bottom end of a chip. Moreover, multiplexers at either end of the chip can select a subset of the read buses from which to receive a subset of the sampled signals. Accordingly, pixels in a first color plane can be read, processed, etc. on the same side of the chip (e.g., utilizing a common signal chain), while pixels in at least one second color plane can be read, processed, etc. on the other side of the chip (e.g., employing a differing signal chain). | 07-09-2009 |
20090173975 | WELL FOR CMOS IMAGER AND METHOD OF FORMATION - A well region of a first conductivity type located in a substrate of the first conductivity type and below about half the channel length of an electrically active portion of a transistor gate is disclosed. The well region is laterally displaced from a charge collection region of a second conductivity type of a pinned photodiode. | 07-09-2009 |
20090173976 | Light-Sensing Device for Multi-Spectral Imaging - A method of fabricating multi-spectral photo-sensors including photo-diodes incorporating stacked epitaxial superlattices monolithically integrated with CMOS devices on a common semiconductor substrate. | 07-09-2009 |
20090179239 | CMOS image sensors and methods of manufacturing the same - A complementary metal-oxide-semiconductor image sensor may include: a semiconductor substrate; a photodiode formed on a first portion of the semiconductor substrate; a transfer gate formed on the semiconductor substrate, near the photodiode, to transfer optical charges accumulated in the photodiode; a floating diffusion area formed on a second portion of the semiconductor substrate, on an opposite side of the transfer gate from the photodiode, to accommodate the optical charges; and/or a channel area formed under the transfer gate and contacting a side of the photodiode to transfer the optical charges. The transfer gate may be formed, at least in part, of transparent material. A method of manufacturing a complimentary metal-oxide-semiconductor image sensor may include: forming the photodiode; forming the floating diffusion area, separate from the photodiode; and/or forming the transfer gate, near the photodiode, to transfer optical charges accumulated in the photodiode. | 07-16-2009 |
20090179240 | DEVICE FOR DETECTING/STORING ELECTROMAGNETIC BEAMS, METHOD FOR MAKING SAME, AND USE THEREOF AND IMAGER INCORPORATING SAME - The invention concerns a device for detecting and storing electromagnetic beams, an imager incorporating same, a method for making said device and use thereof. The inventive device comprises a field-effect phototransistor including: two source and drain contact electrodes, an electrical conduction unit which is connected to the two contact electrodes and which is coated with a photosensitive polymeric coating capable of absorbing the beams, of detecting, of generating in response the loads detected by said unit and of storing said loads, and a gate electrode which is capable of controlling the electric current in the unit as well as spatially distributing the loads in said coating and which is separated from said unit by a gate dielectric. Said device is configured such that the conduction unit comprises at least one semiconductive nanotube or nanowire capable of supplying an electric signal representing a modification of the conductivity of the phototransistor having been exposed to a beam, and that the gate dielectric has a thickness and a permittivity ε, which satisfy ε | 07-16-2009 |
20090179241 | Photosensor and photo IC equipped with same - The present invention provides a photosensor formed in a semiconductor substrate having a silicon substrate, an insulating layer formed over the silicon substrate, and a silicon semiconductor layer formed over the insulating layer, comprising an ultraviolet photosensitive element formed in the silicon semiconductor layer, and at least one visible light photosensitive element formed in the silicon substrate. | 07-16-2009 |
20090179242 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - Embodiments relate to an image sensor. According to embodiments, an image sensor may include a metal interconnection and readout circuitry over a first substrate, a metal layer over the metal interconnection, and an image sensing device electrically connected to the metal layer. According to embodiments, an electric field may not be generated on and/or over an Si surface. This may contribute to a reduction in a dark current of a 3D integrated CMOS image sensor. | 07-16-2009 |
20090184349 | 3D BACKSIDE ILLUMINATED IMAGE SENSOR WITH MULTIPLEXED PIXEL STRUCTURE - A three-dimensional pixel array, a method of manufacturing a pixel array and an imager including the three-dimensional pixel array. The three-dimensional array includes multiple groups of pixels, each group of pixels including a first layer and a second layer. The first layer includes multiple photosensitive elements, one per pixel in the group, at least one floating diffusion region connected to each photosensitive element in the group via at least one respective transfer gate per pixel and multiple transfer gate lines, at least two transfer gate lines connected to each respective transfer gate in each row of pixels. The second layer includes at least a rest transistor per group and a source follower transistor coupled to the shared floating diffusion in the first layer. | 07-23-2009 |
20090189206 | CMOS image sensor and method of fabricating the same - A CMOS image sensor and method for fabricating the same, wherein the CMOS image sensor has minimized dark current at the boundary area between a photodiode and an isolation layer. The present invention includes a first-conductivity-type doping area formed in the device isolation area of the substrate, the first-conductivity-type doping area surrounding the isolation area and a dielectric layer formed between the isolation layer and the first-conductivity-type doping area, wherein the first-conductivity-type doping area and the dielectric layer are located between the isolation layer and a second-conductivity-type diffusion area. | 07-30-2009 |
20090189207 | Multicolor photodiode array and method of manufacturing - Novel structures of the photodetector having broad spectral ranges detection capability are provided. The photodetector offers high quantum efficiency>95% over wide spectral ranges, high frequency response>10 GHz (@3 dB). The photodiode array of N×N (or M×N) elements is also provided. The array also offers wide spectral detection ranges ultraviolet to 2500 nm with high quantum efficiency>95% and high frequency response of >10 GHz, cross-talk of <0.1%. In the array, each photodiode is independently addressable and is made either as top-illuminated or as bottom illuminated type detector. The photodiode and its array provided in this invention, could be used in multiple purpose applications such as telecommunication, imaging, and sensing applications including surveillance, satellite tracking, advanced lidar systems, etc. The advantages of this photodetectors are that they are uncooled and performance will not be degraded under wide range of temperature variation. | 07-30-2009 |
20090189208 | Multicolor photodiode array and method of manufacturing - Novel structures of the photodetector having broad spectral ranges detection capability are provided. The photodetector offers high quantum efficiency>95% over wide spectral ranges, high frequency response>10 GHz (@3 dB). The photodiode array of N×N (or M×N) elements is also provided. The array also offers wide spectral detection ranges ultraviolet to 2500 nm with high quantum efficiency>95% and high frequency response of >10 GHz, cross-talk of <0.1%. In the array, each photodiode is independently addressable and is made either as top-illuminated or as bottom illuminated type detector. The photodiode and its array provided in this invention, could be used in multiple purpose applications such as telecommunication, imaging, and sensing applications including surveillance, satellite tracking, advanced lidar systems, etc. The advantages of this photodetectors are that they are uncooled and performance will not be degraded under wide range of temperature variation. | 07-30-2009 |
20090194799 | Dual-pixel Full Color CMOS Imager - A dual-pixel full color complementary metal oxide semiconductor (CMOS) imager is provided, along with an associated fabrication process. Two stand-alone pixels are used for three-color detection. The first pixel is a single photodiode, and the second pixel has two photodiodes built in a stacked structure. The two photodiode stack includes an n doped substrate, a bottom photodiode, and a top photodiode. The bottom photodiode has a bottom p doped layer overlying the substrate and a bottom n doped layer cathode overlying the bottom p doped layer. The top photodiode has a top p doped layer overlying the bottom n doped layer and a top n doped layer cathode overlying the top p doped layer. The single photodiode includes the n doped substrate, a p doped layer overlying the substrate, and an n doped layer cathode overlying the p doped layer. | 08-06-2009 |
20090194800 | Dual-Pixel Full Color CMOS Imager with Large Capacity Well - A dual-pixel full color CMOS imager is provided. The imager comprises a two-photodiode stack including an n doped substrate, a bottom photodiode, and a top photodiode. The bottom photodiode has a bottom p doped layer at a first depth overlying the substrate and a bottom n doped layer cathode overlying the bottom p doped layer. The top photodiode has a top p doped layer overlying the bottom n doped layer and a top n doped layer cathode overlying the top p doped layer. The imager further includes a single photodiode including a bottom p doped layer overlying the substrate at a third depth, where the third depth is less than, or equal to the first depth. A bottom n doped layer overlies the bottom p doped layer, a top p doped layer directly overlies the bottom n doped layer without an intervening layer, and a top n doped layer overlies the top p doped layer. | 08-06-2009 |
20090200584 | Full Color CMOS Imager Filter - A full color complementary metal oxide semiconductor (CMOS) imaging circuit is provided. The imaging circuit comprises an array of photodiodes including a plurality of pixel groups. Each pixel group supplies 3 electrical color signals, corresponding to 3 detectable colors. The circuit also includes a color filter array overlying the photodiode array employing less than 3 separate filter colors. Each pixel group may be enabled as a dual-pixel including a single photodiode (PD) to supply a first color signal and stacked PDs to supply a second and third color signal. In one aspect, the color filter array employs 1 filter color per pixel group. In another aspect, the color filter array employees 2 filter colors per pixel group. In either aspect, the color filter array forms a checkerboard pattern of color filter pixels. For example, a magenta color filter may overlie the stacked PDs of each dual-pixel, to name one variation. | 08-13-2009 |
20090200585 | BACKSIDE ILLUMINATED IMAGING SENSOR WITH BACKSIDE P+ DOPED LAYER - A backside illuminated imaging sensor includes a semiconductor layer having a P-type region. A frontside and backside P | 08-13-2009 |
20090200586 | BACKSIDE ILLUMINATED IMAGING SENSOR WITH SILICIDE LIGHT REFLECTING LAYER - A backside illuminated imaging sensor includes a semiconductor layer, a metal interconnect layer and a silicide light reflecting layer. The semiconductor layer has a front surface and a back surface. An imaging pixel that includes a photodiode region is formed within the semiconductor layer. The metal interconnect layer is electrically coupled to the photodiode region and the silicide light reflecting layer is coupled between the metal interconnect layer and the front surface of the semiconductor layer. In operation, the photodiode region receives light from the back surface of the semiconductor layer, where a portion of the received light propagates through the photodiode region to the silicide light reflecting layer. The silicide light reflecting layer is configured to reflect the portion of light received from the photodiode region. | 08-13-2009 |
20090200587 | Masked laser anneal during fabrication of backside illuminated image sensors - A technique for fabricating an array of imaging pixels includes fabricating front side components on a front side of the array. After fabricating the front side components, a dopant layer is implanted on a backside of the array. A mask is formed over the dopant layer to selectively expose portions of the dopant layer. Next, the exposed portions of the dopant layer are laser annealed. Alternatively, the mask may be disposed over the backside prior to the formation of the dopant layer and the dopants implanted through the exposed portions and subsequently laser annealed. | 08-13-2009 |
20090200588 | BACKSIDE ILLUMINATED IMAGING SENSOR WITH LIGHT REFLECTING TRANSFER GATE - A backside illuminated imaging sensor includes a semiconductor having an imaging pixel that can include a photodiode region, an insulation layer, and a reflective layer. The photodiode is typically formed in the frontside of the semiconductor substrate. A surface shield layer can be formed on the frontside of the photodiode region. A light reflecting layer can be formed using silicided polysilicon on the frontside of the sensor. The photodiode region receives light from the back surface of the semiconductor substrate. When a portion of the received light propagates through the photodiode region to the light reflecting layer, the light reflecting layer reflects the portion of light received from the photodiode region towards the photodiode region. The silicided polysilicon light reflecting layer also forms a gate of a transistor for establishing a conductive channel between the photodiode region and a floating drain. | 08-13-2009 |
20090200589 | BACKSIDE ILLUMINATED IMAGING SENSOR WITH IMPROVED INFRARED SENSITIVITY - A backside illuminated imaging sensor includes a semiconductor layer and an infrared detecting layer. The semiconductor layer has a front surface and a back surface. An imaging pixel includes a photodiode region formed within the semiconductor layer. The infrared detecting layer is disposed above the front surface of the semiconductor layer to receive infrared light that propagates through the imaging sensor from the back surface of the semiconductor layer. | 08-13-2009 |
20090200590 | IMAGE SENSOR WITH LOW ELECTRICAL CROSS-TALK - An array of pixels is formed using a substrate, where each pixel has a substrate having a backside and a frontside that includes metalization layers, a photodiode formed in the substrate, frontside P-wells formed using frontside processing that are adjacent to the photosensitive region, and an N-type region formed in the substrate below the photodiode. The N-type region is formed in a region of the substrate below the photodiode and is formed at least in part in a region of the substrate that is deeper than the depth of the frontside P-wells. | 08-13-2009 |
20090200591 | IMAGE SENSOR WITH LIGHT RECEIVING REGION HAVING DIFFERENT POTENTIAL ENERGY ACCORDING TO WAVELENGTH OF LIGHT AND ELECTRONIC PRODUCT EMPLOYING THE SAME - There is provided a CMOS image sensor and an electronic product using the same. The CMOS image sensor includes a plurality of pixels for embodying colors having different wavelengths. Each of pixels includes a buried barrier layer disposed in a semiconductor substrate and having a barrier potential energy of a conduction band thereof at an equilibrium state, a first layer disposed at a main surface of the semiconductor substrate separated from the buried barrier layer in a vertical direction and having a first potential energy of a conduction band thereof at the equilibrium state, and a second layer disposed between the first region and the buried barrier layer having a second potential energy of a conduction band thereof at the equilibrium state. The second potential energy is higher than the first potential energy and the barrier potential energy and a thickness of the second layer is thicker as the wavelength is longer | 08-13-2009 |
20090206377 | METHOD AND DEVICE FOR REDUCING CROSSTALK IN BACK ILLUMINATED IMAGERS - A method and resulting device for reducing crosstalk in a back-illuminated imager is disclosed, comprising providing a substrate comprising an insulator layer and a seed layer substantially overlying the insulator layer, an interface being formed where the seed layer comes in contact with the insulator layer; forming an epitaxial layer substantially overlying the seed layer, the epitaxial layer defining plurality of pixel regions, each pixel region outlining a collection well for collecting charge carriers; and forming one of an electrical, optical, and electrical and optical barrier about the outlined collection well extending into the epitaxial layer to the interface between the seed layer and the insulator layer. The seed layer and the epitaxial layer of the device have a net dopant concentration profile which has an initial maximum value at the interface of the seed layer and the insulator layer and which decreases monotonically with increasing distance from an interface within an initial portion of the semiconductor substrate and the epitaxial layer. | 08-20-2009 |
20090206378 | PHOTO SENSOR AND FLAT PANEL DISPLAY USING THE SAME - A photo sensor in a flat panel display includes a first transistor having first, second, and gate electrodes respectively coupled to first, second, and third nodes; a second transistor having first, second, and gate electrodes, respectively coupled to a fourth node, the first node, and a first control signal line; a third transistor having first, second, and gate electrodes, respectively coupled to the second node, the third node, and the first control signal line; a fourth transistor having first, second, and gate electrodes, respectively coupled to a reset power line, the third node, and a reset signal line; a fifth transistor having first, second, and gate electrodes, respectively coupled to a first power source, the first node, and a second control signal line; a sixth transistor having first, second, and gate electrodes, respectively coupled to the second node, output line, and the second control signal line; and a seventh transistor. | 08-20-2009 |
20090212335 | COMPLEMENTARY METAL-OXIDE-SEMICONDUCTOR (CMOS) IMAGE SENSOR AND FABRICATING METHOD THEREOF - A method of fabricating a complementary metal-oxide-semiconductor (CMOS) image sensor is provided. First, an isolation structure is formed in a substrate with a photo-sensitive region and a transistor device region in the substrate. The transistor device region includes at least a region for forming a transfer transistor. A dielectric layer and a conductive layer are sequentially formed on the substrate. An ion implantation process is performed to implant a dopant into the substrate below the position for forming a gate of the transfer transistor and in the photo-sensitive region through the conductive layer and the dielectric layer. The conductive layer and the dielectric layer are patterned to at least form the gate structure of the transfer transistor on the transistor device region. Thereafter, a photo diode is formed in the substrate in the photo-sensitive region. | 08-27-2009 |
20090212336 | PHOTOELECTRIC CONVERSION APPARATUS AND IMAGING SYSTEM USING PHOTOELECTRIC CONVERSION APPARATUS - A photoelectric conversion apparatus includes a photoelectric conversion unit with a semiconductor region of a first conduction type, an amplifying transistor, and a contact. The contact supplies, via a semiconductor region of a second conduction type arranged along a side surface and a bottom surface of an element isolation region, a reference voltage to the semiconductor region of the second conduction-type arranged below source and drain regions of the amplifying transistor in a region below a gate electrode of the amplifying transistor. | 08-27-2009 |
20090212337 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME - A hard mask material film is formed on a semiconductor substrate and a recess is formed immediately below an opening in an upper surface of the semiconductor substrate. Next, a p-type region is formed immediately below the recess by implanting impurities into an imaging region using the hard mask material film as a mask. Moreover, a trench is formed by further processing the recess in a processing region. A half-buried dielectric film and a STI are formed by burying a dielectric material in the recess and the trench to remove the hard mask material film. Next, two electrodes are formed so as to overlap the half-buried dielectric film and the STI, respectively, and impurities are implanted into the imaging region using one electrode and the half-buried dielectric film as a mask, and hence a n-type region constituting a photodiode is formed in a region being in contact with the p-type region in the semiconductor substrate. | 08-27-2009 |
20090218606 | VERTICALLY INTEGRATED LIGHT SENSOR AND ARRAYS - Embodiments hereof include a photosensing device, comprising an isolation layer; a photodetector layer comprising a plurality of pixels, wherein the photodetector layer is in contact with a first side of the isolation layer, wherein the photodetector layer comprises a laser-processed semiconductor material; and a semiconductor layer disposed on a second side of the isolation layer. | 09-03-2009 |
20090224298 | CMOS Image Sensor and Manufacturing Method Thereof - Disclosed are a CMOS image sensor and a manufacturing method thereof. The method includes the steps of: forming an isolation layer on a semiconductor substrate, defining an active region that includes a photo diode region and a transistor region; forming a gate in the transistor region, the gate including a gate electrode and a gate insulating layer; forming a first low-concentration diffusion region in the photo diode region; forming a second low-concentration diffusion region in the transistor region; forming a buffer layer over the substrate, the buffer layer covering the photo diode region; forming first and second insulating layers over the entire surface of the substrate, the first and second insulating layer having a different etching selectivity from each other; forming an insulating sidewall on sides of the gate electrode by selective removal of the second insulating layer; removing the first insulating layer from the transistor region; forming a high-concentration diffusion region in the exposed transistor region, partially overlapping the second low-concentration diffusion region; and forming a metal silicide layer on the high-concentration diffusion region. | 09-10-2009 |
20090230443 | RADIATION IMAGING APPARATUS AND RADIATION IMAGING SYSTEM - A radiation imaging apparatus comprises a pixel region, on an insulating substrate | 09-17-2009 |
20090230444 | CMOS IMAGE SENSOR CONFIGURED TO PROVIDE REDUCED LEAKAGE CURRENT - A complementary metal-oxide-semiconductor (CMOS) image sensor (CIS) includes a semiconductor substrate including a photodiode therein as a light sensing unit. A floating diffusion region of a first conductivity type is provided in the semiconductor substrate, and is configured to receive charges generated in the photodiode. A power supply voltage region of the first conductivity type is also provided in the semiconductor substrate. A reset transistor including a reset gate electrode on a surface of the substrate between the floating diffusion region and a power supply voltage region is configured to discharge charges stored in the floating diffusion region in response to a reset control signal. The reset transistor includes a channel region in the substrate extending between the floating diffusion region and the power supply voltage region such that the floating diffusion region and the power supply voltage regions define source/drain regions for the reset transistor. An impurity region is provided in a first portion of the channel region adjacent to the floating diffusion region. The impurity region has a doping such that the first portion of the channel region adjacent to the floating diffusion region has a different built-in potential than a second portion of the channel region adjacent to the power supply voltage region. | 09-17-2009 |
20090236643 | CMOS IMAGE SENSOR AND METHOD OF MANUFACTURING - A method of manufacturing an image sensor is capable of preventing image lag and suppressing dark current by performing a substantially perfect reset process. Embodiments relate to a CMOS image sensor which includes a P−-type epi layer which is formed over a semiconductor substrate and defines a photodiode region FD, an active region, and a device isolation region. A device isolation film may be formed in the device isolation region and includes an electrode. A gate electrode may be formed over the P−-type epi layer with a gate insulating film interposed therebetween. | 09-24-2009 |
20090236644 | HIGH EFFICIENCY CMOS IMAGE SENSOR PIXEL EMPLOYING DYNAMIC VOLTAGE SUPPLY - A global shutter compatible pixel circuit comprising a reset gate (RG) transistor is provided in which a dynamic voltage is applied to the drain of the reset gate transistor in order to reduce a floating diffusion (FD) leakage therethrough during signal hold time. The drain voltage of the reset gate transistor is held at a lower voltage than a circuit supply voltage to minimize the off-state leakage through the RG transistor, thus reducing the change in the voltage at the floating diffusion during the signal hold time. In addition, a design structure for such a circuit providing a dynamic voltage to the drain of a reset gate of a pixel circuit is also provided. | 09-24-2009 |
20090236645 | CMOS IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - A CIS and a method of manufacturing the same are provided. The CIS includes a device isolation layer formed on a device isolation region of a substrate of a first conductive type, the substrate including an active region and the device isolation region, the active region including a photodiode region and a transistor region; a high-concentration diffusion region of the first conductive type formed around the device isolation layer; a gate electrode formed on the active region of the substrate with a gate insulation layer interposed therebetween; a low-concentration diffusion region of a second conductive type formed on the photodiode region and spaced a predetermined distance apart from the device isolation layer; and a high-concentration diffusion region of the second conductive type formed on the transistor region. | 09-24-2009 |
20090242949 | CMOS IMAGE SENSOR WITH REDUCED DARK CURRENT - A carbon-containing semiconductor layer is formed on exposed surfaces of a p-doped semiconductor layer abutting sidewalls of a shallow trench. Following formation of a dielectric layer on the carbon-containing semiconductor layer, a surface pinning layer having a p-type doping is formed underneath the carbon-containing semiconductor layer. A shallow trench isolation structure and a photodiode are subsequently formed. Diffusion of defects directly beneath the shallow trench isolation structure, now contained in the carbon-containing semiconductor layer, is suppressed. Further, boron diffusion into the shallow trench isolation structure and into the photodiode is also suppressed by the carbon-containing semiconductor layer, providing reduction in dark current and enhancement of performance of the photodiode. | 10-01-2009 |
20090242950 | ACTIVE PIXEL SENSOR HAVING TWO WAFERS - A vertically-integrated image sensor includes a sensor wafer connected to a support circuit wafer. Each pixel region on the sensor wafer includes a photodetector, a charge-to-voltage conversion mechanism, a transfer mechanism for transferring charge from the photodetector to the charge-to-voltage conversion mechanism, and a reset mechanism for discharging the charge-to-voltage conversion mechanism. The support circuit wafer includes an amplifier and other support circuitry for each pixel region on the sensor wafer. An inter-wafer connector directly connects each charge-to-voltage mechanism on the sensor wafer to a respective gate to an amplifier on the support circuit wafer. | 10-01-2009 |
20090242951 | SOLID-STATE IMAGE PICKUP DEVICE - A solid-state image pickup device has a photoelectric conversion element that converts light incident from a first surface of a substrate into a signal charge and accumulates the signal charge, a transistor that is formed on a second surface side opposite to the first surface of the substrate and reads out the signal charge accumulated by the photoelectric conversion element, a supporting substrate stuck to the second surface of the substrate, and an antireflection coating formed on the first surface of the substrate, wherein the first surface of the substrate includes a curved surface or an inclined surface forming a prescribed angle to the second surface. | 10-01-2009 |
20090250733 | PIXEL SENSOR WITH REDUCED IMAGE LAG - A tensile-stress-generating structure is formed above a gate electrode in a CMOS image sensor to apply a normal tensile stress between a charge collection well of a photodiode, which is also a source region of a transfer transistor, and a floating drain in the direction connecting the source region and the floating drain. The tensile stress lowers the potential barrier between the source region and the body of the transfer transistor to effect a faster and more through transfer of the electrical charges in the source region to the floating drain. Image lag is thus reduced in the CMOS image sensor. Further, charge capacity of the source region is also enhanced due to the normal tensile stress applied to the source region. | 10-08-2009 |
20090250734 | PIXEL WITH ASYMMETRIC TRANSFER GATE CHANNEL DOPING - A pixel including a substrate of a first conductivity type and having a surface, a photodetector of a second conductivity type that is opposite the first conductivity type, a floating diffusion region of the second conductivity type, a transfer region between the photodetector and the floating diffusion, a gate positioned above the transfer region and partially overlapping the photodetector, and a pinning layer of the first conductivity type extending at least across the photodetector from the gate. A channel implant of the first conductivity type extending from between a midpoint of the transfer gate and the floating diffusion to at least across the photodiode and having a dopant concentration such that a dopant concentration of the transfer region is greater proximate to the photodetector than the floating diffusion, and wherein a peak dopant concentration of the channel implant is at a level and at a depth below the surface such that a partially-buried channel is formed in the transfer region between the photodiode and floating diffusion when the transfer gate is energized. | 10-08-2009 |
20090267121 | SOLID-STATE IMAGE PICKUP DEVICE - A solid-state image pickup device is provided which includes a substrate; a transistor formed on the substrate; a photoelectric conversion element including a first electrode connected to a drain or a source of the transistor, a semiconductor layer stacked on the first electrode, and a second electrode stacked on the semiconductor layer; an insulating layer disposed on the second electrode; and a bias line formed on the insulating layer to be connected to the second electrode, in which the insulating layer contains at least an inorganic insulating film, and the bias line is connected to the second electrode via a contact hole formed in the insulating layer, and a side surface of the semiconductor layer is in contact with the inorganic insulating film. | 10-29-2009 |
20090278181 | SOLID-STATE IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - A solid-state image sensor includes: a trench isolation region; a photodiode region for converting incident light to signal charges and accumulating the signal charges therein; a floating diffusion region for accumulating the signal charges of the photodiode region; a gate electrode formed over the element formation region located between the photodiode region and the floating diffusion region, and formed so that both ends of the gate electrode respectively overlap a part of the photodiode region and a part of the floating diffusion region; and an inactive layer formed in a region located in a bottom portion and sidewall portions of the trench isolation region. An impurity concentration in a region located under the gate electrode in the inactive layer is lower than that in a region other than the region located under the gate electrode in the inactive layer. | 11-12-2009 |
20090283807 | Anti-Reflection Structures For CMOS Image Sensors - Optical structures having an array of protuberances between two layers having different refractive indices are provided. The array of protuberances has vertical and lateral dimensions less than the wavelength range of lights detectable by a photodiode of a CMOS image sensor. The array of protuberances provides high transmission of light with little reflection. The array of protuberances may be provided over a photodiode, in a back-end-of-line interconnect structure, over a lens for a photodiode, on a backside of a photodiode, or on a window of a chip package. | 11-19-2009 |
20090283808 | Photo Sensor - A photo sensor has an insulator layer for covering a diode stack, and the insulator layer is made of photoresist to reduce a side leakage current. | 11-19-2009 |
20090289286 | CMOS Image Sensor Having improved signal eficiency and method for manufacturing the same - A CMOS image sensor and a method for manufacturing the same improves signal efficiency by reducing a dark signal, and includes a substrate having a first conductive type comprising an image area and a circuit area, a STI isolation layer in the substrate for electrical isolation within the circuit area, and a field oxide in the substrate for electrical isolation within the image area. | 11-26-2009 |
20090289287 | CMOS Image Sensor and Method of Manufacturing the Same - Disclosed herein are a CMOS image sensor and a method of manufacturing the same, which can reduce current leakage through a plug connecting a photodiode and a transfer transistor to each other, and thereby provide low dark current levels. The CMOS image sensor includes a first epitaxial layer on or in a substrate. A photodiode PD is in the first epitaxial layer. A second epitaxial layer is on or in the substrate (e.g., on the first epitaxial layer). A shallow trench isolation region is in an area of the substrate. A plug is in the substrate (e.g., the second epitaxial layer) connected with the photodiode and spaced apart from the shallow trench isolation region. A transfer transistor having a gate electrode and source/drain regions is connected with the plug. | 11-26-2009 |
20090294811 | IMAGE SENSOR WITH BACKSIDE PASSIVATION AND METAL LAYER - An image sensor includes a semiconductor layer that low-pass filters light of different wavelengths. For example, the semiconductor layer proportionately absorbs photons of shorter wavelengths and proportionately passes more photons of longer wavelengths such that the longer wavelength photons often pass through without being absorbed. An imaging pixel having a photodiode is formed on a front surface of the semiconductor layer, where the photodiode is an N | 12-03-2009 |
20090294812 | Optical Sensor Including Stacked Photosensitive Diodes - A complementary metal-oxide-semiconductor (CMOS) image sensor comprises a first photosensitive diode comprising a first semiconductor material is formed in a first semiconductor substrate. A second photosensitive diode comprising a second semiconductor material, which has a different light detection wavelength range than the first semiconductor material, is formed in a second semiconductor substrate. Semiconductor devices for holding and detecting charges comprising a sensing circuit of the CMOS image sensor may also be formed in the second semiconductor substrate. The first semiconductor substrate and the second semiconductor substrate are bonded so that the first photosensitive diode is located underneath the second photosensitive diode. The vertical stack of the first and second photosensitive diodes detects light in the combined detection wavelength range of the first and second semiconductor materials. Sensing devices may be shared between the first and second photosensitive diodes. | 12-03-2009 |
20090294813 | Optical Sensor Including Stacked Photodiodes - A complementary metal-oxide-semiconductor (CMOS) image sensor comprises a first photosensitive diode comprising a first semiconductor material is formed in a first semiconductor substrate. A second photosensitive diode comprising a second semiconductor material, which has a different light detection wavelength range than the first semiconductor material, is formed in a second semiconductor substrate. Semiconductor devices for holding and detecting charges comprising a sensing circuit of the CMOS image sensor may also be formed in the second semiconductor substrate. The first semiconductor substrate and the second semiconductor substrate are bonded so that the first photosensitive diode is located underneath the second photosensitive diode. The vertical stack of the first and second photosensitive diodes detects light in the combined detection wavelength range of the first and second semiconductor materials. Sensing devices may be shared between the first and second photosensitive diodes. | 12-03-2009 |
20090294814 | Three-Dimensional Integrated Circuits and Techniques for Fabrication Thereof - Integrated circuits having complementary metal-oxide semiconductor (CMOS) and photonics circuitry and techniques for three-dimensional integration thereof are provided. In one aspect, a three-dimensional integrated circuit comprises a bottom device layer and a top device layer. The bottom device layer comprises a substrate; a digital CMOS circuitry layer adjacent to the substrate; and a first bonding oxide layer adjacent to a side of the digital CMOS circuitry layer opposite the substrate. The top device layer comprises an analog CMOS and photonics circuitry layer formed in a silicon-on-insulator (SOI) layer having a buried oxide (BOX) with a thickness of greater than or equal to about 0.5 micrometers; and a second bonding oxide layer adjacent to the analog CMOS and photonics circuitry layer. The bottom device layer is bonded to the top device layer by an oxide-to-oxide bond between the first bonding oxide layer and the second bonding oxide layer. | 12-03-2009 |
20090294815 | SOLID STATE IMAGING DEVICE INCLUDING A SEMICONDUCTOR SUBSTRATE ON WHICH A PLURALITY OF PIXEL CELLS HAVE BEEN FORMED - A solid state imaging device including a pixel region where a plurality of pixel cells | 12-03-2009 |
20090294816 | CMOS image sensor and driving method of the same - Provided are a CMOS image sensor and a driving method thereof. The CMOS image sensor may include a photodetector disposed in a semiconductor substrate to accumulate photocharges, a charge transfer element configured to control transfer of the photocharges accumulated in the photodetector, a detecting element configured to detect the photocharges transferred by the charge transfer element, and a well driving contact configured to increase a potential difference between the photodetector and the detecting element while the photocharges are transferred. | 12-03-2009 |
20090302358 | CMOS image sensor with high full-well-capacity - An image sensor with a high full-well capacity includes a photosensitive region, a transfer gate, and sidewall spacers. The photosensitive region is formed to accumulate an image charge in response to light. The transfer gate disposed adjacent to the photosensitive region and coupled to selectively transfer the image charge from the photosensitive region to other pixel circuitry. First and second sidewall spacers are disposed on either side of the transfer gate. The first sidewall spacer closest to the photosensitive region is narrower than the second sidewall spacer. In some cases, the first sidewall spacer may be omitted. | 12-10-2009 |
20090302359 | Anti-blooming protection of pixels in a pixel array for multiple scaling modes - Circuits and methods of generating control signals for transistors in a pixel row of a pixel array are disclosed. The circuits include a transfer transistor control signal row driver that includes a plurality of output branches and a reset transistor control signal row driver that includes a plurality of output branches. The row drivers output positive boosted control signals to selected pixel rows during a photosensor-to-floating diffusion region charge transfer phase and during a floating diffusion region reset phase and to unselected pixel rows during an initial part of an integration phase. The row drivers output positive non-boosted control signals to unselected non-integrating pixel rows. | 12-10-2009 |
20090302360 | PHOTOELECTRIC CONVERSION DEVICE AND IMAGING DEVICE - A photoelectric conversion device adopts the structure reflecting the finding that color separation by the photoelectric conversion, which utilizes the difference of the PN junction depth of a semiconductor region, has the strong tendency that separation of a B signal is easy but separation of a G signal and an R signal becomes imperfect. That is, to cope with the tendency of the imperfect color separation of a G signal and an R signal, PN junction surfaces (JNC_B, JNC_R) of two photodiodes (PDs) for R light and B light are superimposed in the depth direction, and PD to G light is arranged independently. Accordingly, the color separation property of each RGB light wavelength band can be improved, the occupying area can be reduced compared with the case where each PD of RGB light is dispersed in the plane direction, and simplification of the semiconductor layer structure can be realized. | 12-10-2009 |
20090302361 | CMOS Image Sensor and Method for Manufacturing the Same - A CMOS image sensor and a method for manufacturing the same are provided. The CMOS image sensor enlarges an area of a real image and prevents interference between adjacent pixels by forming a plurality of microlenses on a convex surface and forming a light blocking layer in the space between each of color filters. The CMOS image sensor can include photodiodes, a first planarization layer, R, G, B color filter layers, a second planarization layer having holes filled with a light blocking layer, and a plurality of microlenses. | 12-10-2009 |
20090309142 | IMAGER DEVICES HAVING DIFFERING GATE STACK SIDEWALL SPACERS, METHOD FOR FORMING SUCH IMAGER DEVICES, AND SYSTEMS INCLUDING SUCH IMAGER DEVICES - Imager devices have a sensor array and a peripheral region at least partially surrounding the sensor array. At least one transistor in the peripheral region has a gate stack sidewall spacer that differs in composition from a gate stack sidewall spacer on at least one transistor in the sensor array. Imaging systems include such an imager device configured to communicate electrically with at least one electronic signal processor and at least one memory storage device. Methods of forming such imager devices include providing layers of oxide and nitride materials over transistors on a workpiece, and using etching processes to form gate stack sidewall spacers on the transistors. | 12-17-2009 |
20090309143 | PIXEL SENSOR CELL, METHODS AND DESIGN STRUCTURE INCLUDING OPTICALLY TRANSPARENT GATE - A pixel sensor cell, a method for fabricating or operating the pixel sensor cell and a design structure for fabricating the pixel sensor cell each include a semiconductor substrate that includes a photoactive region separated from a floating diffusion region by a channel region. At least one gate dielectric is located upon the semiconductor substrate at least in-part interposed between the photoactive region and the floating diffusion region, and at least one optically transparent gate is located upon the gate dielectric and at least in-part over the channel region. Preferably, the at least one gate dielectric is also located over the photoactive region and the at least one optically transparent gate is also located at least in-part over the photoactive region, to provide enhanced charge transfer capabilities within the pixel sensor cell, which is typically a CMOS pixel sensor cell. | 12-17-2009 |
20090309144 | CMOS Image sensor having a crosstalk prevention structure - In a method of manufacturing a CMOS image sensor, a P type epitaxial layer is formed on an N type substrate. A deep P | 12-17-2009 |
20090315086 | IMAGE SENSOR AND CMOS IMAGE SENSOR - An image sensor includes a first electrode for applying a voltage to a charge storage portion, a second electrode for applying a voltage to a charge increasing portion, a third electrode provided between the first electrode and the second electrode and an impurity region of a first conductive type for forming a path through which the signal charges are transferred, wherein an impurity concentration of a region of the impurity region corresponding to a portion located under the second electrode is higher than an impurity concentration of a region of the impurity region corresponding to a portion located under the third electrode. | 12-24-2009 |
20090315087 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing an image sensor includes forming an isolation area in a semiconductor substrate, forming a plurality of gate insulating layers and a plurality of gates over a transistor area of the semiconductor substrate, forming a photodiode over the semiconductor substrate between the gates and the isolation area, forming a nitride layer over the semiconductor substrate such that tensile stress is applied to the transistor area of the semiconductor substrate, forming a floating diffusion layer over the semiconductor substrate between the gates, and removing the nitride layer over the photodiode, and forming an oxide layer over the photodiode. | 12-24-2009 |
20090321799 | Method and apparatus for increasing conversion gain in imagers - A method, apparatus, and system providing a pixel having increased conversion gain by decreasing the size of an output charge storage region to less than that of a photosensor. A pixel readout is executed by multiple sampling signals based on portions of charge transferred from the photosensor to the storage region and combining the sampled signals in either the analog domain or the digital domain into a representative pixel output signal. | 12-31-2009 |
20090321800 | SEMICONDUCTOR DEVICE INCLUDING SOLID STATE IMAGE PICKUP DEVICE, AND PORTABLE ELECTRONIC APPARATUS - A semiconductor device includes: a plurality of pixel units disposed in a matrix shape, each of the plurality of pixel units including: a first photoelectric conversion element for converting incident light of a first color into signal charges; a second photoelectric conversion element for converting incident light of a second color into signal charges; a third photoelectric conversion element for converting incident light of a third color into signal charges; and a detector circuit shared by the first to third photoelectric conversion elements for detecting the signal charges converted by each of the first to third photoelectric conversion elements, wherein the plurality of pixel units are pixel units adjacently disposing a row (column) juxtaposing the first photoelectric conversion element and detector circuit and a row (column) juxtaposing the second and third photoelectric conversion elements. | 12-31-2009 |
20100006909 | COLOR FILTER ARRAY ALIGNMENT MARK FORMATION IN BACKSIDE ILLUMINATED IMAGE SENSORS - A backside illuminated image sensor includes a sensor layer comprising photosensitive elements of the pixel array, an epitaxial layer formed on a frontside surface of the sensor layer, and a color filter array formed on a backside surface of the sensor layer. The epitaxial layer comprises polysilicon color filter array alignment marks formed in locations corresponding to respective color filter array alignment mark openings in the frontside surface of the sensor layer. The color filter array is aligned to the color filter array alignment marks of the epitaxial layer. The image sensor may be implemented in a digital camera or other type of digital imaging device. | 01-14-2010 |
20100006910 | IMAGE SENSOR - An image sensor includes a photoelectric conversion portion generating signal charges, a voltage conversion portion for converting the signal charges to a voltage, a charge increasing portion for increasing the number of the signal charges stored in the photoelectric conversion portion, a first light shielding film formed to cover at least one part of the charge increasing portion and a second light shielding film provided separately from the first light shielding film and formed to cover the voltage conversion portion. | 01-14-2010 |
20100006911 | CMOS Image Sensor and Manufacturing Method Thereof - Disclosed are a CMOS image sensor and a manufacturing method thereof. The method includes forming an isolation layer in a semiconductor substrate, defining an active region including a photo diode region and a transistor region; forming a gate insulating layer and a gate electrode on the transistor region; forming a first low-concentration diffusion region in the photo diode region; forming a second low-concentration diffusion region in the transistor region; forming an insulating layer over an entire surface of the substrate; implanting fluorine ions in an upper surface of the photo diode region; etching the insulating layer to form insulating sidewalls on sides of the gate electrode; forming a high-concentration diffusion region in the transistor region partially overlapping with the second low-concentration diffusion region; and forming a third low-concentration diffusion region on the upper surface of the photo diode region, the third low-concentration diffusion region having a conductivity type opposite to the first low-concentration diffusion region. | 01-14-2010 |
20100012993 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes a photodiode array having a plurality of photodiodes, read transistors each having one terminal and the other terminal of a current path, one terminal of the current path being connected to each of four photodiodes corresponding to two photodiodes adjacent in a row direction and two photodiodes adjacent in a column direction, the other terminal of the current path being connected in common to a first node, the first node provided as a set of four photodiodes being in a floating-state, read control lines to connect the gate of the read transistor corresponding to each set of the read transistors in common, and independently supplied with a read signal, and vertical signal lines supplied with a signal converted by two photodiodes adjacent in a row direction of the photodiodes for an independent period within one horizontal blanking period of image scanning. | 01-21-2010 |
20100019294 | METHOD AND APPARATUS FOR DECREASING STORAGE NODE PARASITIC CHARGE IN ACTIVE PIXEL IMAGE SENSORS - Methods, systems and apparatuses for an imager that improve the quality of a captured image. The imager includes a pixel having a photosensor that generates charge in response to receiving electromagnetic radiation and a storage region that stores the generated charge. A protection region assists in keeping undesirable charge from reaching the storage region. | 01-28-2010 |
20100019295 | SINGLE PHOTON AVALANCHE DIODES - A CMOS single photon avalanche diode (SPAD) design uses conventional, or at least known, CMOS processes to produce a device having a breakdown region in which the main p-n junction is formed of a deep n-well layer, and optionally on the other side, a p-add layer. The SPAD may also have a guard ring region which comprises the p-epi layer without any implant. The SPAD may have curved or circular perimeters. A CMOS chip comprises SPADs as described and other NMOS devices all sharing the same deep n-well. | 01-28-2010 |
20100019296 | IMAGE SENSOR HAVING NANODOT - An image sensor includes a plurality of pixels disposed in an array, each pixel comprising a first region and a second region, the first region and the second region separated from each other in a semiconductor layer, and doped with impurities having different conductivities from each other, a photoelectric conversion region formed between the first and second regions, and at least one metal nanodot that focuses an incident light onto the photoelectric conversion region. | 01-28-2010 |
20100025746 | METHODS, STRUCTURES AND SYSTEMS FOR INTERCONNECT STRUCTURES IN AN IMAGER SENSOR DEVICE - Methods, structures and systems for a substantially non-light blocking conductive interconnect structure for an imager sensor device. | 02-04-2010 |
20100032734 | MINIATURE IMAGE SENSOR - An image sensor including at least one photodiode and at least one transistor formed in and on a silicon substrate, the assembly of the photodiode and of the transistor being surrounded with a heavily-doped insulating wall, wherein the silicon substrate has a crystal orientation (110). | 02-11-2010 |
20100032735 | CMOS Image Sensor and Manufacturing Method Thereof - A CMOS image sensor includes isolation regions and a photo diode region formed in a substrate, gate electrodes formed on the substrate, impurity injection regions formed in the substrate respectively positioned between the gate electrodes and the isolation regions, silicide regions formed on upper surfaces of the gate electrodes and the impurity injection regions, a first insulating layer formed on a surface of the photodiode region and sides of the gate electrodes, a second insulating layer formed on the first insulating layer, a third insulating layer formed on the second insulating layer, an interlayer insulating layer formed to cover the third insulating layer, and via plugs vertically passing through the interlayer insulating layer and connected to the silicide regions. | 02-11-2010 |
20100038688 | CMOS image sensor, method of making the same, and method of suppressing dark leakage and crosstalk for CMOS image sensor - A CMOS image sensor, in which an implantation process is performed on substrate under isolation structures each disposed between two adjacent photosensor cell structures. The implantation process is a destructive implantation to form lattice effects/trap centers. No defect repair process is carried out after the implantation process is performed. The implants can reside at the isolation structures or in the substrate under the isolation structures. Dark leakage and crosstalk are thus suppressed. | 02-18-2010 |
20100038689 | INTEGRATING FABRICATION OF PHOTODETECTOR WITH FABRICATION OF CMOS DEVICE ON A SILICON-ON-INSULATOR SUBSTRATE - A method and semiconductor device for integrating the fabrication of a photodetector with the fabrication of a CMOS device on a SOI substrate. The SOI substrate is divided into two regions, a CMOS region and an optical detecting region. After the CMOS device is fabricated in the CMOS region, the optical detecting region is patterned and etched through the top silicon layer and the buried oxide layer to the base silicon layer. The pattern is etched to a depth so that after a material of a photodetector is deposited in the etched pattern, the material grows to the surface level of the SOI substrate. After the formation of a photodetector structure in the optical detecting region, the metallization process is performed on the CMOS device and the photodetector. In this manner, the fabrication of a photodetector is integrated with the fabrication of a CMOS device on the SOI substrate. | 02-18-2010 |
20100038690 | IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - An image sensor can include a gate insulation layer, a gate electrode, a photodiode, and a floating diffusion region. The gate insulation layer can be formed on and/or over a semiconductor substrate for a transfer transistor. The gate insulation layer includes a first gate insulation layer having a central opening and a second gate insulation layer formed on and/or over an uppermost surface of the first gate insulation layer including the opening. The gate electrode can be formed on and/or over the gate insulation layer. The photodiode can be formed in the semiconductor substrate at one side of the gate electrode so as to generate an optical charge. The floating diffusion region can be formed in the semiconductor at the other side of the gate electrode opposite to the photodiode. The floating diffusion region can be electrically connected to the photodiode through a channel so as to store the optical charge generated from the photodiode. | 02-18-2010 |
20100038691 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor and a method for fabricating the same are provided. The image sensor includes a first conductive type substrate including a trench formed in a predetermined portion of the first conductive type substrate, a second conductive type impurity region for use in a photodiode, formed below a bottom surface of the trench in the first conductive type substrate, and a first conductive type epitaxial layer for use in the photodiode, buried in the trench. | 02-18-2010 |
20100044763 | Method and apparatus providing an imager with a shared power supply and readout line for pixels - A method and apparatus providing an imager with shared power supply and readout lines. A pixel array has a plurality of pixels arranged in rows and columns. Each column of the array comprises a column line coupled to receive pixel signals from the pixels in the column and selectively operated to provide a supply voltage to at least one pixel in a different column. | 02-25-2010 |
20100044764 | COMPLEMENTARY METAL OXIDE SEMICONDUCTOR IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - A complementary metal oxide semiconductor (CMOS) device and a method for fabricating the same are provided. The CMOS image sensor includes: a first conductive type substrate including a trench; a channel stop layer formed by using a first conductive type epitaxial layer over an inner surface of the trench; a device isolation layer formed on the channel stop layer to fill the trench; a second conductive type photodiode formed in a portion of the substrate in one side of the channel stop layer; and a transfer gate structure formed on the substrate adjacent to the photodiode to transfer photo-electrons generated from the photodiode. | 02-25-2010 |
20100052020 | SEMICONDUCTOR SUBSTRATE AND MOS BASED PIXEL STRUCTURE - The invention relates to a semiconductor substrate | 03-04-2010 |
20100059802 | IMAGE SENSOR WITH RAISED PHOTOSENSITIVE ELEMENTS - An image sensor having a pixel array comprises periphery elements formed over a substrate, an oxide layer formed over the periphery elements, an epitaxial layer formed in an opening in the oxide layer in a pixel array area, and a plurality of photosensitive elements of the pixel array formed in the epitaxial layer. Formation of an initial metallization layer occurs after the formation of the photosensitive elements in the epitaxial layer. The photosensitive elements can thus be formed in the epitaxial layer at a higher level within an image sensor stack than that of the initial metallization layer. This advantageously allows stack height and pixel size to be reduced, and fill factor to be increased. The image sensor may be implemented in a digital camera or other type of digital imaging device. | 03-11-2010 |
20100059803 | LIGHT REFLECTING CMOS IMAGE SENSOR - An image sensor comprising at least:
| 03-11-2010 |
20100059804 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD OF MANUFACTURING THE SAME - A photoelectric conversion device includes a thin film transistor that is placed on a substrate, a photodiode that is connected to a drain electrode of the thin film transistor and includes an upper electrode, a lower electrode and a photoelectric conversion layer placed between the upper and lower electrodes, a first interlayer insulating film that covers at least the upper electrode, a second interlayer insulating film that is placed in an upper layer of the first interlayer insulating film and covers the thin film transistor and the photodiode, and a line that is connected to the upper electrode through a contact hole disposed in the first interlayer insulating film and the second interlayer insulating film. | 03-11-2010 |
20100065896 | Image sensor including a pixel cell having an epitaxial layer, system having the same, and method of forming a pixel cell - A pixel cell includes a substrate, an epitaxial layer, and a photo converting device in the epitaxial layer. The epitaxial layer has a doping concentration profile of embossing shape, and includes a plurality of layers that are stacked on the substrate. The photo converting device does not include a neutral region that has a constant potential in the vertical direction. Therefore, the image sensor including the pixel cell has high quantization efficiency, and a crosstalk between photo-converting devices is decreased. | 03-18-2010 |
20100078692 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - Disclosed are an image sensor and a method for manufacturing the same. The image sensor includes a first pixel having a first photodiode and a first readout circuit and a second pixel having a second photodiode and a second readout circuit. The second pixel is aligned at one side of the first pixel, and a light receiving area of the first photodiode is different from a light receiving area of the second photodiode. | 04-01-2010 |
20100084694 | IMAGE SENSOR MODULE AND METHOD OF MANUFACTURING THE SAME - An image sensor module includes a semiconductor chip. Photodiode units are disposed in an active region of the semiconductor chip to convert light into electric signals. Pads are disposed in a peripheral region formed around the active region and the pads are electrically connected to the photodiode units. A connecting region is formed around the peripheral region. Re-distribution layers are electrically connected to respective pads and extend to the connecting region. A transparent substrate covers the photodiode units and the pads and exposes at least a portion of the re-distribution layers. Connecting layers are electrically connected to the respective re-distribution layers and extend to a top surface of the transparent substrate. Connecting members are connected to the respective connecting layers disposed on the top surface of the transparent substrate. | 04-08-2010 |
20100084695 | METHOD OF FABRICATING CMOS IMAGE SENSOR - A CMOS image sensor and a method of fabricating the same. The CMOS image sensor may minimize disappearance of electrons generated by light without transmission of electrons to a transfer gate. A method of manufacturing a CMOS image sensor may include forming a trench over an isolation region of a semiconductor substrate to define an active region including a photodiode region and a transistor region. The method may include forming first conductivity-type ion implanted regions over a trench side wall of a photodiode region and over a region adjacent to the transistor region. The method may include forming second conductivity-type ion implanted regions between a first conductivity-type ion implanted region and a trench, and between a lower part of a transistor region and a first conductivity-type ion implanted region. The method may include forming an isolation layer, forming a gate electrode and a spacer, and/or forming a photodiode. | 04-08-2010 |
20100096675 | BACKSIDE ILLUMINATED CMOS IMAGE SENSOR WITH PHOTO GATE PIXEL - A pixel for a CMOS photo sensor with increased full well capacity is disclosed. The pixel having a photosensitive element, a photo gate, potential well and a readout circuit. The photosensitive element having a front side and a back side, for releasing charge when light strikes the back side of the photosensitive element. The potential well receives the released charge from the photosensitive element. The photo gate located on the front side of the photosensitive element, for transferring the released charge from the potential well to a sense node. The readout circuit coupled to the sense node, for measuring a voltage corresponding to the released charge transferred to the sense node. | 04-22-2010 |
20100096676 | PHOTOELECTRIC CONVERSION DEVICE, METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE, AND IMAGE PICKUP SYSTEM - A photoelectric conversion device comprising a semiconductor substrate of a first conduction type, and a photoelectric conversion element having an impurity region of the first conduction type and a plurality of impurity regions of a second conduction type opposite to the first conduction type. The plurality of second-conduction-type impurity regions include at least a first impurity region, a second impurity region provided between the first impurity region and a surface of the substrate, and a third impurity region provided between the second impurity region and the surface of the substrate. A concentration C | 04-22-2010 |
20100109060 | IMAGE SENSOR WITH BACKSIDE PHOTODIODE IMPLANT - An array of pixels is formed using a substrate. Each pixel can be formed on the substrate, which has a backside and a frontside that includes metalization layers. A photodiode is formed in the substrate and frontside P-wells are formed using frontside processing that are adjacent to the photosensitive region. A first N-type region is formed in the substrate below the photodiode. A second N-type region is formed in a region of the substrate below the first N-type region and is formed using backside processing. | 05-06-2010 |
20100117126 | SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - A solid-state imaging device includes a substrate, a plurality of photodiodes arranged in the substrate in a depth direction of the substrate, a vertical readout gate electrode for reading signal charges in the photodiodes, the vertical readout gate electrode being embedded in the substrate such that the readout gate electrode extends in the depth direction of the substrate, a dark-current suppressing area which covers a bottom portion and a side surface of the readout gate electrode, the dark-current suppressing area including a first-conductivity-type semiconductor area having a uniform thickness on the side surface of the readout gate electrode, and a reading channel area disposed between the first-conductivity-type semiconductor area and the photodiodes, the reading channel area including a second-conductivity-type semiconductor area. | 05-13-2010 |
20100127313 | PIXEL FOR PICKING UP IMAGE SIGNAL AND METHOD OF MANUFACTURING THE PIXEL - Provided is a pixel for picking up an image signal capable of suppressing an occurrence of a cross-talk. The pixel for picking up an image signal includes a substrate surrounded by a trench, a photodiode, and a pass transistor. The photodiode is formed at an upper portion of the substrate and includes a P-type diffusion area and an N-type diffusion area which are joined with each other in a longitudinal direction. The pass transistor is formed at the upper portion of the substrate and includes the one terminal that is the joined P-type diffusion area and the N-type diffusion area, the other terminal that is a floating diffusion area, and a gate terminal disposed between the two terminals. The pixel for picking up an image signal is surrounded by the trench which penetrates the substrate from the upper portion to the lower portion of the substrate, and the trench is tilled with an insulator. | 05-27-2010 |
20100127314 | PHOTODIODES AND FABRICATION THEREOF - A photodiode includes an anode ( | 05-27-2010 |
20100127315 | CMOS IMAGE SENSOR - A complementary metal-oxide semiconductor (CMOS) image sensor includes a photodiode, a gate pattern of a transfer transistor contacting one side of the photodiode, a gate pattern of a drive transistor disposed to have a predetermined spacing distance from the gate pattern of the transfer transistor, and a floating diffusion node disposed between the gate pattern of the transfer transistor and the gate pattern of the drive transistor. | 05-27-2010 |
20100133596 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes pixels arranged in a matrix on a semiconductor substrate, the pixels each including: a photodiode for photoelectric-converting an incident light beam; a readout transistor for reading out a signal charge from the photodiode; and a floating diffusion region for converting the read out signal charge into a voltage, wherein the semiconductor substrate is of an n-type, a first p-type well is provided below an n-type forming layer of the photodiode so as to be located at a distant position from a surface of the n-type substrate at the photodiode side, and partially or entirely below the readout transistor, the first p-type well is formed so as to reach the surface of the semiconductor substrate. | 06-03-2010 |
20100140675 | CMOS IMAGE SENSOR WITH IMPROVED BACKSIDE SURFACE TREATMENT - An apparatus and method for fabricating an array of backside illuminated (“BSI”) image sensors is disclosed. Front side components of the BSI image sensors are formed into a front side of the array. A dopant layer is implanted into a backside of the array. The dopant layer establishes a dopant gradient to encourage photo-generated charge carriers to migrate towards the front side of the array. At least a portion of the dopant layer is annealed. A surface treatment is formed on the backside of the dopant layer to cure surface defects. | 06-10-2010 |
20100155796 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device includes a semiconductor substrate, a back side drawn electrode formed by embedding a first conductive material in a contact hole penetrating the semiconductor substrate through an insulating film formed to include a uniform thickness, used also as an alignment mark, and configured to draw out an electrode to the back side of the semiconductor substrate. The device further includes a pad provided on the back side of the semiconductor substrate, and connected to the back side drawn electrode. | 06-24-2010 |
20100155797 | CMOS image sensors - CMOS image sensors and methods of manufacturing the same are provided, the CMOS image sensors include an epitaxial layer, a photodiode, a transfer transistor, CMOS transistors, first metal wirings and a second metal wiring formed on a substrate. The substrate may have a photodiode region, a floating diffusion region, an active pixel sensor (APS) array circuit region and a peripheral circuit region. The photodiode may be formed on the epitaxial layer in the photodiode region. The transfer transistor may be formed on the epitaxial layer in the floating diffusion region. The CMOS transistors may be formed on the epitaxial layer in the APS array circuit region and the peripheral circuit region. The first metal wirings may be formed over the photodiode region. The second metal wiring may be formed on one of the first metal wirings. The second metal wiring may be located higher than the first metal wirings. | 06-24-2010 |
20100163940 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - Provided are an image sensor and a method for manufacturing the same. The image sensor comprises a photodiode, a floating diffusion region, a reset transistor, and a drive transistor. The photodiode generates photocharges. The floating diffusion region accumulates the photocharges. The reset transistor has a source connected to the floating diffusion region, and has a gate and a drain connected to each other to perform a reset function. The drive transistor receives the photocharges and serves as a source follower buffer amplifier. | 07-01-2010 |
20100163941 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor and a method for manufacturing the same that includes readout circuitry, an electrical junction region, an interconnection, an image sensing device, and an infrared filter. The readout circuitry and the electrical junction region are formed in a first substrate and are electrically connected to each other. The interconnection is formed over the electrical junction region and the image sensing device is formed over the interconnection. The infrared filter is formed on the image sensing device and includes a plurality of thin films. | 07-01-2010 |
20100163942 | CMOS IMAGE SENSOR HAVING DOUBLE GATE INSULATOR THEREIN AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a CMOS image sensor includes: preparing a semiconductor substrate incorporating therein a p-type epitaxial layer by epitaxially growing up an upper portion of the semiconductor substrate; forming a pixel array in one predetermined location of the semiconductor substrate, the pixel array having a plurality of transistors and a photodiode therein, wherein each transistor employs a gate insulator with a thickness ranging from 40 Å to 90 Å; and forming a logic circuit in the other predetermined location of the semiconductor substrate, the logic circuit having at least one transistor, wherein the transistor employs a gate insulator with a thickness ranging from 5 Å to 40 Å. | 07-01-2010 |
20100171157 | IMAGE SENSOR WITH COMPACT PIXEL LAYOUT - Solid-state image sensors, specifically image sensor pixels, which have three or four transistors, high sensitivity, low noise, and low dark current, are provided. The pixels have separate active regions for active components, row-shared photodiodes and may also contain a capacitor to adjust the sensitivity, signal-to-noise ratio and dynamic range. The low dark current is achieved by using pinned photodiodes. | 07-08-2010 |
20100181604 | STRUCTURE AND METHOD FOR FLEXIBLE SENSOR ARRAY - A method of forming a sensor array. The method includes depositing a source/drain contact layer; depositing a semiconductor layer on the source/drain contact layer; and patterning the source/drain contact layer and the semiconductor layer substantially simultaneously, wherein the patterned semiconductor layer forms part of a sensor of the sensor array. | 07-22-2010 |
20100187581 | SOLID-STATE IMAGE SENSING DEVICE AND CAMERA SYSTEM USING THE SAME - A solid-state image sensing device includes a plurality of pixels. Each pixel has a photodiode, a first transistor, and a second transistor. The photodiode is constituted by a first-conductivity-type semiconductor region and a second-conductivity-type semiconductor region. The first and second conductivity types are opposite to each other. The first transistor has a first-conductivity-type drain region formed in the second-conductivity-type semiconductor region to transfer signal charge to the drain region. The second transistor has a source region and a drain region which are formed in the second-conductivity-type semiconductor region and which have the first conductivity type. At least one second-conductivity-type potential barrier is provided under the drain region of the first transistor and the source region and/or the drain region of the second transistor. | 07-29-2010 |
20100187582 | SOLID-STATE IMAGING DEVICE HAVING TRANSMISSION GATES WHICH PASS OVER PART OF PHOTO DIODES WHEN SEEN FROM THE THICKNESS DIRECTION OF THE SEMICONDUCTOR SUBSTRATE - A solid-state imaging device having a plurality of image pixels arranged along a main surface of a semiconductor substrate, wherein each of the plurality of image pixels includes a photodiode that converts incident light into an electric charge and a transmission gate that is formed so as to have a crossing area that partially passes over the photodiode when seen from the thickness direction of the semiconductor substrate. The transmission gate of the solid-state imaging device is formed in a manner that (i) a first region including a laminated body of a silicon film and a silicide film, and (ii) a second region that includes the silicon film and does not include the silicide film, both arranged along a main surface of the semiconductor substrate, and the second region in the transmission gate is formed in at least one part of the crossing area. | 07-29-2010 |
20100219457 | SOLID-STATE IMAGING DEVICE - It is an object to provide an image sensor having a sufficiently-large ratio of a surface area of a light-receiving section to an overall surface area of one pixel. This object is achieved by a solid-state imaging device comprising: a signal line formed on a substrate; an island-shaped semiconductor arranged on the signal line; and a pixel selection line connected to a top of the island-shaped semiconductor, wherein the island-shaped semiconductor includes: a first semiconductor layer formed as a bottom portion of the island-shaped semiconductor and connected to the signal line; a second semiconductor layer formed above and adjacent to the first semiconductor layer; a gate connected to the second semiconductor layer through a dielectric film; a charge storage section comprised of a third semiconductor layer connected to the second semiconductor layer and adapted, in response to receiving light, to undergo a change in amount of electric charges therein; and a fourth semiconductor layer formed above and adjacent to the second and third semiconductor layers, and wherein the pixel selection line is comprised of a transparent conductive film, and a part of the gate is disposed inside a depression formed in a sidewall of the second semiconductor layer. | 09-02-2010 |
20100244108 | CMOS IMAGE SENSOR ON A SEMICONDUCTOR-ON-INSULATOR SUBSTRATE AND PROCESS FOR MAKING SAME - Methods and apparatus for producing a CMOS image sensor result in: a glass or glass ceramic substrate having first and second spaced-apart surfaces; a semiconductor layer disposed on the first surface of the glass or glass ceramic substrate; and a plurality of pixel structures formed in the semiconductor layer, each pixel structure including: at least first, second, and third semiconductor islands, each island operating as a color sensitive photo-detector and each being of a different thickness such that each is sensitive to a respective range of light wavelengths, and a fourth semiconductor island on which at least one transistor is disposed, the at least one transistor operating to at least one of buffer, select, and reset one or more of the photo-detectors. | 09-30-2010 |
20100252871 | LIGHT SENSORS WITH INFRARED SUPPRESSION - Embodiments of the present invention are directed to light sensors, that primarily respond to visible light while suppressing infrared light. Such sensors are especially useful as ambient light sensors because such sensors can be used to provide a spectral response similar to that of a human eye. Embodiments of the present invention are also directed to methods of providing such light sensors, and methods for using such light sensors. | 10-07-2010 |
20100264473 | ANTI-REFLECTION STRUCTURES FOR CMOS IMAGE SENSORS - Optical structures having an array of protuberances between two layers having different refractive indices are provided. The array of protuberances has vertical and lateral dimensions less than the wavelength range of lights detectable by a photodiode of a CMOS image sensor. The array of protuberances provides high transmission of light with little reflection. The array of protuberances may be provided over a photodiode, in a back-end-of-line interconnect structure, over a lens for a photodiode, on a backside of a photodiode, or on a window of a chip package. | 10-21-2010 |
20100264474 | SOLID-STATE IMAGE PICKUP DEVICE, ELECTRONIC APPARATUS USING SUCH SOLID-STATE IMAGE PICKUP DEVICE AND METHOD OF MANUFACTURING SOLID-STATE IMAGE PICKUP DEVICE - A back-illuminated type solid-state image pickup device ( | 10-21-2010 |
20100276737 | PIXEL OF IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - A pixel of an image sensor includes a polysilicon layer, and an active region which needs to be electrically coupled with the polysilicon layer, wherein the polysilicon layer extends over a portion of the active region, such that the polysilicon layer and the active region are partially overlapped, and the polysilicon layer and the active region are coupled through a buried contact structure. | 11-04-2010 |
20100308384 | METAL OXIDE SEMICONDUCTOR (MOS) SOLID STATE IMAGING DEVICE THAT INCLUDES A SURFACE LAYER FORMED BY IMPLANTING A HIGH CONCENTRATION OF IMPURITY DURING CREATION OF A PHOTODIODE, AND MANUFACTURING METHOD THEREOF - A photodiode has a carrier accumulation layer of a second conductivity type and a surface area of a first conductivity type deposited in order from an inside towards a surface of a first conductivity type well region. A transfer transistor is formed so that a transfer gate electrode of the transfer transistor partially overlaps the surface layer of the photodiode and is formed above a surface of the first conductivity type well region with a gate insulating film therebetween. The surface layer includes a first surface layer, which partially overlaps the transfer gate electrode in the direction of the x-axis, and a second surface layer adjacent to the first surface layer. A concentration of the impurity of the first conductivity type is higher in the second surface layer than in the first surface layer. | 12-09-2010 |
20100308385 | SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING THE SAME, AND SOLID-STATE IMAGE PICKUP ELEMENT - Disclosed herein is a semiconductor device having a vertical MOS transistor having a channel of a first conductivity type and formed by burying a gate electrode in a semiconductor substrate, a planar MOS transistor having a channel of the first conductivity and having a gate electrode formed on the semiconductor substrate, and a planar MOS transistor having a channel of a second conductivity and having a gate electrode formed on the semiconductor substrate, the semiconductor device, including other circuit element(s), other than a transistor, formed either below or above the vertical MOS transistor having the channel of the first conductivity type. | 12-09-2010 |
20100308386 | SOLID STATE IMAGE PICKUP DEVICE AND METHOD OF PRODUCING SOLID STATE IMAGE PICKUP DEVICE - Forming a back-illuminated type CMOS image sensor, includes process for formation of a registration mark on the wiring side of a silicon substrate during formation of an active region or a gate electrode. A silicide film using an active region may also be used for the registration mark. Thereafter, the registration mark is read from the back side by use of red light or near infrared rays, and registration of the stepper is accomplished. It is also possible to form a registration mark in a silicon oxide film on the back side (illuminated side) in registry with the registration mark on the wiring side, and to achieve the desired registration by use of the registration mark thus formed. | 12-09-2010 |
20100308387 | Solid state imaging device - A solid state imaging device having a light receiving region on a first surface side of a semiconductor substrate, incident light from an object to be imaged being illuminated on a second surface side of the semiconductor substrate, the solid state imaging device including an impurity diffusion layer formed on the first surface side of the semiconductor substrate, a surface of the impurity diffusion layer being silicided, and a gate electrode formed on the first surface side of the semiconductor substrate. The impurity diffusion layer includes the light receiving region disposed on the first surface side of the semiconductor substrate, a surface of the light receiving region being silicided, and the impurity diffusion layer includes at least a surface adjacent to the gate electrode. | 12-09-2010 |
20100314672 | SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING SAME, AND SOLID-STATE IMAGE SENSING DEVICE - Disclosed herein is a semiconductor device including: a semiconductor substrate; a gate insulating film formed on surfaces of the semiconductor substrate including an internal surface of a hole formed in the semiconductor substrate and formed by radical oxidation or plasma oxidation; and a gate electrode formed as buried in the hole. The gate insulating film and the gate electrode form a vertical MOS. | 12-16-2010 |
20100320515 | High sensitivity image sensors and methods of operating the same - A high sensitivity image sensor including a pixel, the pixel including a single electron field effect transistor (SEFET), the SEFET including a first conductive type well in a second conductive type substrate, second conductive type source and drain regions in the well and a first conductive type gate region in the well between the source and the drain regions.) | 12-23-2010 |
20100320516 | IMAGE SENSOR HAVING FOUR-TRANSISTOR OR FIVE-TRANSISTOR PIXELS WITH RESET NOISE REDUCTION - The invention relates to image sensors produced with CMOS technology, whose individual pixels, arranged in an array of rows and columns, each consist of a photodiode associated with a charge storage region which receives the photogenerated charge before a charge readout phase. To eliminate the risk of introducing kTC-type noise into the signal, during the reset of the storage zone at the end of a readout cycle, the invention proposes that the storage zone be divided into two parts one of which, adjacent to the reset gage, is covered by a diffused region of the same type of conductivity as the substrate in which the photodiode is formed, this region being brought to the fixed potential of the substrate, and the other of which is not covered by such a region and is not adjacent to the reset gate. | 12-23-2010 |
20100320517 | SOLID-STATE IMAGING APPARATUS AND METHOD FOR MANUFACTURING THE SAME - A solid-state imaging apparatus comprises: a plurality of photoelectric conversion elements for converting light into an electric charge, including a first photoelectric conversion element; a first semiconductor region from which the electric charge is transferred from a first photoelectric conversion element; an amplifying MOS transistor including a gate electrode connected to the first semiconductor region to amplify the potential of the first semiconductor region; an insulating film; a metal wiring layer above the insulating film; a local interconnect of a first conductor, formed in the insulating film, for connecting the gate electrode of the amplifying MOS transistor to the first semiconductor region not through the metal wiring layer; a second semiconductor region, different from the first semiconductor region; and a second conductor for connecting the second semiconductor region to at least a part of the metal wiring layer. | 12-23-2010 |
20100327332 | SOLID STATE IMAGING DEVICE - A solid state imaging device having a pixel area in which a plurality of light receiving elements are arranged, and a peripheral circuit area adjacent to the pixel area includes: a semiconductor substrate | 12-30-2010 |
20110018042 | UNIT PIXEL, AND CMOS IMAGE SENSOR HAVING THE SAME - A unit pixel of a CMOS image sensor include a photodiode that transforms light to an electric charge, and accumulates the electric charge, and a plurality of transistors that generate an electric signal based on the accumulated electric charge. The photodiode has a slope shape based on incident angle of the light in a semiconductor substrate. | 01-27-2011 |
20110024807 | SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING SAME - A semiconductor device includes a semiconductor substrate having at least one surface provided with a semiconductor element, wherein the semiconductor substrate includes a region of a first conductivity type, the region being formed in a surface layer portion of the semiconductor substrate; a first diffusion region of a second conductivity type, the first diffusion region having a first impurity concentration and being formed in the surface layer portion, and a pn junction being formed between the first diffusion region and the region of the first conductivity type; and a first metal silicide film formed on part of a portion of the surface corresponding to the first diffusion region. | 02-03-2011 |
20110024808 | SUBSTRATE BIAS FOR CMOS IMAGERS - A CMOS image sensor is disclosed. The CMOS imager includes a lightly doped semiconductor substrate of a first conductivity type. At least one CMOS pixel of a second conductivity type is formed in the semiconductor substrate. The semiconductor substrate is configured to receive a bias voltage applied for substantially depleting the semiconductor substrate and for forming a depletion edge within the semiconductor substrate. A well of the second conductivity type substantially surrounds the at least one CMOS pixel to form a depletion region about the at least one CMOS pixel operable to form a minimum predetermined barrier to the depletion edge within the semiconductor substrate to pinch off substrate bias in proximity to the return contact. | 02-03-2011 |
20110024809 | RING PIXEL FOR CMOS IMAGERS - A CMOS pixel is disclosed. The CMOS pixel includes a semiconductor substrate; a sense node formed in the semiconductor substrate and positioned substantially in the center of the CMOS pixel; a transfer gate formed about the sense node; and at least one photodiode formed about the transfer gate. A reset transistor, a source follower transistor, and a row select transistor are located substantially to one side of the CMOS pixel substantially adjacent to the photodiode. The sense node is operable to be floating. An implant may be formed about the photodiode configured to step potential in a direction toward the sense node. | 02-03-2011 |
20110024810 | SOI-BASED CMOS IMAGERS EMPLOYING FLASH GATE/CHEMISORPTION PROCESSING - A method of manufacturing a CMOS image sensor is disclosed. A silicon-on-insulator substrate is provided, which includes providing a silicon-on-insulator substrate including a mechanical substrate, an insulator layer substantially overlying the mechanical substrate, and a seed layer substantially overlying the insulator layer. A semiconductor substrate is epitaxially grown substantially overlying the seed layer. The mechanical substrate and at least a portion of the insulator layer are removed. An ultrathin oxide layer is formed substantially underlying the semiconductor substrate. A mono layer of metal is formed substantially underlying the ultrathin oxide layer. | 02-03-2011 |
20110031542 | METHOD TO OPTIMIZE SUBSTRATE THICKNESS FOR IMAGE SENSOR DEVICE - Provided is a method for fabricating an image sensor device that includes providing a substrate having a front side and a back side; patterning a photoresist on the front side of the substrate to define an opening having a first width, the photoresist having a first thickness correlated to the first width; performing an implantation process through the opening using an implantation energy correlated to the first thickness thereby forming a first doped isolation feature; forming a light sensing feature adjacent to the first doped isolation feature, the light sensing feature having a second width; and thinning the substrate from the back side so that the substrate has a second thickness that does not exceed twice a depth of the first doped isolation feature. A pixel size is substantially equal to the first and second widths. | 02-10-2011 |
20110031543 | IMAGING DEVICE BY BURIED PHOTODIODE STRUCTURE - An n-type region as a charge storage region of a photodiode is buried in a substrate. The interface between silicon and a silicon oxide film is covered with a high concentration p-layer and a lower concentration p-layer is formed only in the portion immediately below a floating electrode for signal extraction. Electrons generated by light are stored in the charge storage region, thereby changing the potential of the portion of the p-layer at the surface of the semiconductor region. The change is transmitted through a thin insulating film to the floating electrode by capacitive coupling and read out by a buffer transistor. Initialization of charges is executed by adding a positive high voltage to the gate electrode of a first transfer transistor such that the electrons stored in the charge storage region are transferred to the n+ region and generation of reset noise is protected. | 02-10-2011 |
20110037107 | Silicon Photon Detector - A silicon photon detector device and methodology are provided for detecting incident photons in a partially depleted floating body SOI field-effect transistor ( | 02-17-2011 |
20110049589 | BACKSIDE ILLUMINATED IMAGE SENSOR HAVING CAPACITOR ON PIXEL REGION - A backside illuminated image sensor includes a semiconductor substrate having a front side and backside, a sensor element formed overlying the frontside of the semiconductor substrate, and a capacitor formed overlying the sensor element. | 03-03-2011 |
20110049590 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - A solid-state imaging device that includes at least one pixel. The pixel includes a photodiode, a floating diffusion element in a region of the photodiode and a read out gate electrode at least partially surrounding the floating diffusion element in plan view. | 03-03-2011 |
20110049591 | SOLID-STATE IMAGING DEVICE, PROCESS OF MAKING SOLID STATE IMAGING DEVICE, DIGITAL STILL CAMERA, DIGITAL VIDEO CAMERA, MOBILE PHONE, AND ENDOSCOPE - A solid-state imaging device includes an array of pixels, each pixel includes: a pixel electrode; an organic layer; a counter electrode; a sealing layer; a color filter; a readout circuit; and a light-collecting unit as defined herein, the photoelectric layer contains an organic p type semiconductor and an organic n type semiconductor, the organic layer further includes a charge blocking layer as defined herein, an ionization potential of the charge blocking layer and an electron affinity of the organic n type semiconductor in the photoelectric layer has a difference of at least 1 eV, and the sealing layer includes a first sealing sublayer formed by atomic layer deposition and a second sealing sublayer formed by physical vapor deposition and containing one of a metal oxide, a metal nitride, and a metal oxynitride. | 03-03-2011 |
20110068381 | IMAGE SENSOR PIXEL CIRCUIT - A pixel circuit of an image sensor includes a sense node for storing a charge transferred from one or more photodiodes, a source follower transistor having its gate coupled to the sense node and its source node coupled to an output line of the pixel circuit via a read transistor, wherein a body contact of the source follower transistor is connected to the output line. | 03-24-2011 |
20110068382 | TWO-DIMENSIONAL TIME DELAY INTEGRATION VISIBLE CMOS IMAGE SENSOR - A two dimensional time delay integration CMOS image sensor having a plurality of pinned photodiodes, each pinned photodiode collects a charge when light strikes the pinned photodiode, a plurality of electrodes separating the plurality of pinned photodiodes, the plurality of electrodes are configured for two dimensional charge transport between two adjacent pinned photodiodes, and a plurality of readout nodes connected to the plurality of pinned photodiodes via address lines. | 03-24-2011 |
20110079833 | Semiconductor device and method for manufacturing same - A hard mask material film is formed on a semiconductor substrate and a recess is formed immediately below an opening in an upper surface of the semiconductor substrate. Next, a p-type region is formed immediately below the recess by implanting impurities into an imaging region using the hard mask material film as a mask. Moreover, a trench is formed by further processing the recess in a processing region. A half-buried dielectric film and a STI are formed by burying a dielectric material in the recess and the trench to remove the hard mask material film. Next, two electrodes are formed so as to overlap the half-buried dielectric film and the STI, respectively, and impurities are implanted into the imaging region using one electrode and the half-buried dielectric film as a mask, and hence a n-type region constituting a photodiode is formed in a region being in contact with the p-type region in the semiconductor substrate. | 04-07-2011 |
20110084322 | CMOS IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - Disclosed is a CMOS image sensor and a manufacturing method thereof. According to an aspect of the present invention, each pixel of CMOS image sensor includes a photo detector that includes an electon Collection layer doped with a concentration of 5×10 | 04-14-2011 |
20110101430 | CMOS IMAGE SENSOR - A CIS and a method of manufacturing the same, the CIS including a substrate having a first surface and second surface opposite thereto, the substrate including an APS array region including a photoelectric transformation element and a peripheral circuit region; an insulating interlayer on the first surface of the substrate and including metal wirings electrically connected to the photoelectric transformation element; a light blocking layer on the peripheral circuit region of the second surface of the substrate, exposing the APS array region, and including a plurality of metal wiring patterns spaced apart from one another to form at least one drainage path along a boundary region between the APS array region and the peripheral circuit region; a color filter layer on the second surface of the substrate covering the APS array region and the light blocking layer; and a microlens on the color filter layer on the APS array region. | 05-05-2011 |
20110108897 | IMAGE SENSOR - An image sensor includes an active region including a photoelectric conversion region and a floating diffusion region, which are separated from each other, defined by a device isolation region on a semiconductor substrate, and a transfer transistor including a first sub-gate provided on an upper surface of the semiconductor substrate and a second sub-gate extending within a recessed portion of the semiconductor substrate on the active region between the photoelectric conversion region and the floating diffusion region, wherein the photoelectric conversion region includes a plurality of photoelectric conversion elements, which vertically overlap each other within the semiconductor substrate and are spaced apart from the recessed portion. | 05-12-2011 |
20110115004 | EMBEDDED PHOTODETECTOR APPARATUS IN A 3D CMOS CHIP STACK - An embedded photodetector apparatus for a three-dimensional complementary metal oxide semiconductor (CMOS) stacked chip assembly having a CMOS chip and one or more thinned CMOS layers is provided. At least one of the one or more thinned CMOS layers includes an active photodiode area defined within the one or more thinned CMOS layers, the active photodiode area being receptive of an optical signal incident thereon, and the active photodiode area comprising a bulk substrate portion of the thinned CMOS layer. The bulk substrate portion has a diode photodetector formed therein. | 05-19-2011 |
20110121371 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SAME, AND ELECTRONIC APPARATUS - A solid-state imaging device includes a plurality of photoelectric conversion units configured to receive light and generate signal charge, the plurality of photoelectric conversion units being provided in such a manner as to correspond to a plurality of pixels in a pixel area of a semiconductor substrate; and pixel transistors configured to output the signal charge generated by the photoelectric conversion units as electrical signals. Each of the pixel transistors includes at least a transfer transistor that transfers the signal charge generated in the photoelectric conversion unit to a floating diffusion corresponding to a drain. A gate electrode of the transfer transistor is formed in such a manner as to extend with a gate insulating film in between from a channel formed area to a portion where the photoelectric conversion unit has been formed on the surface of the semiconductor substrate. | 05-26-2011 |
20110127593 | PHOTOELECTRIC CONVERSION DEVICE AND ITS MANUFACTURING METHOD - A photoelectric conversion device in accordance with an aspect of the present invention includes a thin-film transistor formed on a substrate, and a photo diode electrically connected to the thin-film transistor, wherein the photo diode includes a lower electrode connected to a drain electrode of the thin-film transistor, a photoelectric conversion layer formed on the lower electrode, an upper electrode formed from a transparent conductive film on the photoelectric conversion layer, the upper electrode being formed so as to be contained within an upper surface of the photoelectric conversion layer as viewed from a top, and a protective film (compound layer or the like) formed so as to protect a part of an upper surface of the photoelectric conversion layer located outside the upper electrode. | 06-02-2011 |
20110133260 | METHOD AND DEVICE TO REDUCE DARK CURRENT IN IMAGE SENSORS - A method to fabricate an image sensor includes providing a semiconductor substrate having a pixel area and a logic area, forming a light sensing element in the pixel area, and forming a first transistor in the pixel area and a second transistor in the logic area. The step of forming the first transistor in the pixel area and the second transistor in the logic area includes performing a first implant process in the pixel area and the logic area, performing a second implant process in the pixel area and the logic area, and performing a third implant process only in the logic area. | 06-09-2011 |
20110140182 | SOLID-STATE IMAGING DEVICE WHICH CAN EXPAND DYNAMIC RANGE - According to one embodiment, a solid-state imaging device includes an area and color filters. The area includes pixels. Each of the pixels includes a first photodiode, a first read transistor, a second photodiode, a second read transistor, a floating diffusion, a reset transistor, and an amplifying transistor. The first photodiode performs photoelectric conversion. The first read transistor reads a signal charge. The second photodiode has a photosensitivity lower than the first photodiode. The second read transistor reads a signal charge. The floating diffusion stores the signal charges. The reset transistor resets a potential of the floating diffusion. The amplifying transistor amplifies the potential of the floating diffusion. The color filters include a first and a second filters. The relationship QSAT | 06-16-2011 |
20110156111 | Solid-state image pickup device, electronic apparatus using such solid-state image pickup device and method of manufacturing solid-state image pickup device - A back-illuminated type solid-state image pickup device ( | 06-30-2011 |
20110156112 | IMAGE SENSOR WITH DOPED TRANSFER GATE - An image sensor includes an array of pixels, with at least one pixel including a photodetector formed in a substrate layer and a transfer gate disposed adjacent to the photodetector. The substrate layer further includes multiple charge-to-voltage conversion regions. A single photodetector can transfer collected charge to a single charge-to-voltage conversion region, or alternatively multiple photodetectors can transfer collected charge to a common charge-to-voltage conversion region shared by the photodetectors. An implant region formed when dopants are implanted into the substrate layer to form source/drain implant regions is disposed in only a portion of each transfer gate while each charge-to-voltage conversion region is substantially devoid of the implant region. | 06-30-2011 |
20110156113 | BACK SIDE ILLUMINATION IMAGE SENSOR REDUCED IN SIZE AND METHOD FOR MANUFACTURING THE SAME - A back side illumination image sensor reduced in chip size has a capacitor disposed in a vertical upper portion of a pixel region in the back side illumination image sensor in which light is illuminated from a back side of a subscriber, thereby reducing a chip size, and a method for manufacturing the back side illumination image sensor. The capacitor of the back side illumination image sensor reduced in chip size is formed in the vertical upper portion of the pixel region, not in the outside of a pixel region, so that the outside area of the pixel region for forming the capacitor is not required, thereby reducing a chip size. | 06-30-2011 |
20110163361 | SOLID STATE IMAGING DEVICE - A solid state imaging device in which γ characteristic is obtained and enlargement of dynamic range is provided. The solid state imaging device includes a vertical overflow function and has a feature in which potential of a semiconductor substrate is changed from a high potential to a low potential in a stepwise manner during a period from an exposure start to an exposure end. | 07-07-2011 |
20110163362 | Methods of fabricating image sensors and image sensors fabricated thereby - A method of fabricating an image sensor may include providing a substrate including light-receiving and non-light-receiving regions; forming a plurality of gates on the non-light-receiving region; ion-implanting a first-conductivity-type dopant into the light-receiving region to form a first dopant region of a pinned photodiode; primarily ion-implanting a second-conductivity-type dopant, different from the first-conductivity-type dopant, into an entire surface of the substrate, using the gates as a first mask; forming spacers on both side walls of the gates; and secondarily ion-implanting the second-conductivity-type dopant into the entire surface of the substrate, using the plurality of gates including the spacers as a second mask, to complete a second dopant region of the pinned photodiode. An image sensor may include the substrate; a transfer gate formed on the non-light-receiving region; a first dopant region in the light-receiving region; and a second dopant region formed on a surface of the light-receiving region. | 07-07-2011 |
20110163363 | COMS image sensors and methods of manufacturing the same - Complementary metal-oxide semiconductor (CMOS) image sensors (CIS) and methods of manufacturing the same are provided, the sensors include an epitaxial layer on a substrate in which a first, second, third and fourth region are defined. A photodiode may be formed at an upper portion of the epitaxial layer in the first region. A plurality of gate structures may be formed on the epitaxial layer in the second, third and fourth regions. A first blocking layer may be formed on the gate structures and the epitaxial layer in the first and second regions. A first impurity layer may be formed at an upper portion of the epitaxial layer adjacent to the gate structures in the second region, and a second impurity layer at upper portions of the epitaxial layer adjacent to the gate structures in the third and fourth regions. A color filter layer may be formed over the photodiode. A microlens may be formed on the color filter layer. | 07-07-2011 |
20110186917 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, ELECTRONIC APPARATUS, AND SEMICONDUCTOR DEVICE - A semiconductor device includes a substrate, a region including a semiconductor element on the substrate, and at least one guard ring structure provided around the region. The guard ring structure includes a guard ring and at least one portion comprised of the substrate. | 08-04-2011 |
20110186918 | SHALLOW TRENCH ISOLATION STRUCTURE HAVING AIR GAP, CMOS IMAGE SENSOR USING THE SAME AND METHOD OF MANUFACTURING CMOS IMAGE SENSOR - Disclosed is a shallow trench isolation structure having an air gap for suppressing the dark currents and cross-talk which occur in CMOS image sensors. The shallow trench isolation structure suppresses photons injected from neighboring pixels and dark current, so that high-quality images are obtained. Since impurities are removed from a p type ion implantation region for a photodiode when an inner wall oxide layer is etched to form the air gap, the p type ion implantation region has a uniform doping profile, thereby suppressing the diffusion of electrons towards the surface and achieving an image having a high quality. | 08-04-2011 |
20110193147 | BACKSIDE ILLUMINATION CMOS IMAGE SENSORS AND METHODS OF MANUFACTURING THE SAME - Backside illumination CMOS image sensors having convex light-receiving faces and methods of manufacturing the same. A backside illumination CMOS image sensor includes a metal layer, an insulating layer and a photodiode. The insulating layer is on the metal layer. The photodiode is on the insulating layer, and a top face of the photodiode, which receives light, is curved. A method of manufacturing a backside illumination CMOS image sensor including a photodiode having a convex surface includes forming an island smaller than the photodiode on a portion of a light-receiving face of the photodiode, and annealing the island to form the photodiode having the convex light-receiving face. | 08-11-2011 |
20110204425 | METHOD AND DEVICE FOR CMOS IMAGE SENSING WITH MULTIPLE GATE OXIDE THICKNESSES - A method and device for image sensing. The method includes forming a first well and a second well in a substrate, forming a gate oxide layer with at least a first part and a second part on the substrate, and depositing a first gate region and a second gate region on the gate oxide layer. The first part of the gate oxide layer is associated with a first thickness, and the second part of the gate oxide layer is associated with a second thickness. The first thickness and the second thickness are different. The first gate region is located on the first part of the gate oxide layer associated with the first thickness, while the second gate region is located on both the first part of the gate oxide layer associated with the first thickness and the second part of the gate oxide layer associated with the second thickness. The first gate region is associated with the first well, and the second gate region is associated with the second well. Additionally, the method includes forming a third well in the substrate, implanting a first plurality of ions to form a first lightly doped source region and a first lightly doped drain region in the first well, implanting a second plurality of ions to form at least a second lightly doped drain region in the second well, and implanting a third plurality of ions to form a source in the second well. | 08-25-2011 |
20110210383 | IMAGING DEVICE - First diffusion region constituting a photodiode in each pixel stores carriers generated according to incident light. Second diffusion region is formed at a surface of the first diffusion region to cover a peripheral part of the first diffusion region. In the peripheral part of the first diffusion region, crystal defects tend to occur by a process of forming an isolation region and a gate electrode, so that dark current noise tends to occur. The second diffusion region functioning as a protection layer prevents crystal defects in a manufacturing process. The second diffusion region isn't formed on a center of the surface of the first diffusion region where crystal defects don't tend to occur. In the first diffusion region where the second diffusion region isn't formed, the thickness of a depletion layer increases, which improves light detection sensitivity. This improves detection sensitivity of the photodiode without increasing the dark current noise. | 09-01-2011 |
20110241090 | HIGH FULL-WELL CAPACITY PIXEL WITH GRADED PHOTODETECTOR IMPLANT - Embodiments of a process for forming a photodetector region in a CMOS pixel by dopant implantation, the process comprising masking a photodetector area of a surface of a substrate for formation of the photodetector region, positioning the substrate at a plurality of twist angles, and at each of the plurality of twist angles, directing dopants at the photodetector area at a selected tilt angle. Embodiments of a CMOS pixel comprising a photodetector region formed in a substrate, the photodetector region comprising overlapping first and second dopant implants, wherein the overlap region has a different dopant concentration than the non-overlapping parts of the first and second implants, a floating diffusion formed in the substrate, and a transfer gate formed on the substrate between the photodetector and the transfer gate. Other embodiments are disclosed and claimed. | 10-06-2011 |
20110254065 | PHOTOELECTRIC CONVERSION DEVICE, METHOD FOR MANUFACTURING THE SAME AND IMAGE PICKUP SYSTEM - An object of the present invention is to provide a photoelectric conversion device, wherein improvement of charge transfer properties when charge is output from a charge storage region and suppression of dark current generation during charge storage are compatible with each other. This object is achieved by forming a depletion voltage of a charge storage region in the range from zero to one half of a power source voltage (V), forming a gate voltage of a transfer MOS transistor during a charge transfer period in the range from one half of the power source voltage to the power source voltage (V) and forming a gate voltage of the transfer MOS transistor during a charge storage period in the range from minus one half of the power source voltage to zero (V). | 10-20-2011 |
20110260223 | STRESS ENGINEERING TO REDUCE DARK CURRENT OF CMOS IMAGE SENSORS - The active pixel cell structures and methods of preparing such structures described above enable reduction of dark current and white cell counts for active pixel cells. The process of preparing active pixel cell structures introduces stress on the substrate, which could lead to increased dark current and white cell counts of active pixel cells. By depositing a stress layer as part of a pre-metal dielectric layer with a stress that counters the stress induced, both the dark current and the white cell counts can be reduced. If the transistors of the active pixel cells are NMOS, the carrier mobility can also be increased by a tensile stress layer. Raman Spectroscopy can be used to measure the stress exerted on the substrate prior to the deposition of the stress layer. | 10-27-2011 |
20110266598 | Solid-state image pickup device - In a rear surface incidence type CMOS image sensor having a wiring layer | 11-03-2011 |
20110266599 | SOLID-STATE IMAGE PICKUP DEVICE - A solid-state image pickup device is provided which includes a substrate; a transistor formed on the substrate; a photoelectric conversion element including a first electrode connected to a drain or a source of the transistor, a semiconductor layer stacked on the first electrode, and a second electrode stacked on the semiconductor layer; an insulating layer disposed on the second electrode; and a bias line formed on the insulating layer to be connected to the second electrode, in which the insulating layer contains at least an inorganic insulating film, and the bias line is connected to the second electrode via a contact hole formed in the insulating layer, and a side surface of the semiconductor layer is in contact with the inorganic insulating film. | 11-03-2011 |
20110272751 | SOLID-STATE IMAGING DEVICE - In each photosensitive cell, a photodiode | 11-10-2011 |
20110278653 | SOLID-STATE IMAGE PICKUP DEVICE - In a solid-state image pickup device according to this invention, because a photodiode | 11-17-2011 |
20120001241 | CMOS Image Sensor Including PNP Triple Layer And Method Of Fabricating The CMOS Image Sensor - A CMOS image sensor (CIS) for sensing visible light and infrared (IR) light, capable of effectively preventing increase in electrical crosstalk that is caused when photodiodes are formed deeply and the thickness of an epitaxial layer is increased due to deep permeation of IR light, and a method of fabricating the CIS. The CIS includes a substrate; the PNP triple layer including a P-type lower layer, an N-type intermediate layer, and a P-type upper layer that are sequentially stacked on the substrate; a plurality of photodiodes formed in the P-type upper layer and isolated from each other by isolation regions; a wiring layer formed on the P-type upper layer and the plurality of photodiodes and including a plurality of wirings; and a plurality of lenses for focusing light to transfer the light to the photodiodes. | 01-05-2012 |
20120001242 | SINGLE POLY CMOS IMAGER - More complete charge transfer is achieved in a CMOS or CCD imager by reducing the spacing in the gaps between gates in each pixel cell, and/or by providing a lightly doped region between adjacent gates in each pixel cell, and particularly at least between the charge collecting gate and the gate downstream to the charge collecting gate. To reduce the gaps between gates, an insulator cap with spacers on its sidewalls is formed for each gate over a conductive layer. The gates are then etched from the conductive layer using the insulator caps and spacers as hard masks, enabling the gates to be formed significantly closer together than previously possible, which, in turn increases charge transfer efficiency. By providing a lightly doped region on between adjacent gates, a more complete charge transfer is effected from the charge collecting gate. | 01-05-2012 |
20120007156 | METHOD AND STRUCTURE TO REDUCE DARK CURRENT IN IMAGE SENSORS - A method to fabricate an image sensor includes providing a semiconductor substrate having a pixel region and a periphery region, forming a light sensing element on the pixel region, and forming at least one transistor in the pixel region and at least one transistor in the periphery region. The step of forming the at least one transistor in the pixel region and periphery region includes forming a gate electrode in the pixel region and periphery region, depositing a dielectric layer over the pixel region and periphery region, partially etching the dielectric layer to form sidewall spacers on the gate electrode and leaving a portion of the dielectric layer overlying the pixel region, and forming source/drain (S/D) regions by ion implantation. | 01-12-2012 |
20120007157 | IMAGE SENSOR WITH COMPACT PIXEL LAYOUT - Solid-state image sensors, specifically image sensor pixels, which have three or four transistors, high sensitivity, low noise, and low dark current, are provided. The pixels have separate active regions for active components, row-shared photodiodes and may also contain a capacitor to adjust the sensitivity, signal-to-noise ratio and dynamic range. The low dark current is achieved by using pinned photodiodes. | 01-12-2012 |
20120018787 | SOLID-STATE IMAGE PICKUP DEVICE - A solid-state image pickup device includes a pixel array having a plurality of photodiodes that are disposed in a matrix, electric charge transfer gates, and a floating diffusion (FD), and further includes a reset transistor and an amplifier transistor each shared by the four adjacent photodiodes. In the solid-state image pickup device, the photodiodes include first to fourth photodiodes. In a state where the amplifier transistor is activated, electric charge transfer gates connected respectively to the first to fourth photodiodes are sequentially turned ON and electric charges accumulated in the photodiodes are sequentially read out through the FD. Accordingly, a readout blanking period can be minimized to and signal charges can be read out at high speed. Moreover, readout signal lines need only to be provided for every two columns of the photodiodes, so that openings of the photodiodes can be increased in size. | 01-26-2012 |
20120025280 | SOLID-STATE IMAGE SENSING DEVICE - A solid-state imaging device comprises a plurality of pixels that includes a photoelectric conversion portion, a charge-voltage converter that receives the charge and converts the charge to a voltage, an amplifier that outputs a signal corresponding to a potential of the charge-voltage converter, a transfer portion that transfers a charge from the photoelectric conversion portion to the charge-voltage converter, and a reset transistor that resets a potential of the charge-voltage converter; a connection transistor that connects or disconnects the charge-voltage converter of at least one of the pixels and the charge-voltage converter of at least one of the other pixels. A threshold voltage of the connection transistor is higher than a threshold voltage of the reset transistor. | 02-02-2012 |
20120025281 | SOLID-STATE IMAGING DEVICE - A pixel includes at least first to fourth semiconductor tiers. The first semiconductor tier includes a first semiconductor region that is electrically connected to a first external circuit, a second semiconductor region, and a third semiconductor region that is isolated from the first semiconductor region by the second semiconductor region and that is electrically connected to a second external circuit. The second semiconductor tier includes a MOS transistor that has insulating films and gate conductive electrodes that are electrically connected to a third external circuit. The third semiconductor tier includes a photodiode formed of the second and fourth semiconductor regions. A junction transistor is formed in which the fourth semiconductor region serves as a gate and in which one of the first and fifth semiconductor regions serves as a drain and the other serves as a source. | 02-02-2012 |
20120037967 | CMOS PIXEL SENSOR CELLS WITH POLY SPACER TRANSFER GATES AND METHODS OF MANUFACTURE - CMOS pixel sensor cells with spacer transfer gates and methods of manufacture are provided herein. The method includes forming a middle gate structure on a gate dielectric. The method further includes forming insulation sidewalls on the middle gate structure. The method further includes forming spacer transfer gates on the gate dielectric on opposing sides of the middle gate, adjacent to the insulation sidewalls which isolate the middle gate structure from the spacer transfer gates. The method further includes forming a photo-diode region in electrical contact with one of the spacer transfer gates and a floating diffusion in electrical contact with another of the spacer transfer gates. | 02-16-2012 |
20120037968 | SOLID-STATE IMAGE SENSING DEVICE AND METHOD OF MANUFACTURING THE SAME - By selectively anisotropically etching a stack film formed to cover a plurality of photodiodes and a gate electrode layer of a MOS transistor, the stack film remains on each of the plurality of photodiodes to form a lower antireflection coating and the stack film remains on a sidewall of the gate electrode layer to form a sidewall. Using the gate electrode layer and the sidewall as a mask, an impurity is introduced to form a source/drain region of the MOS transistor. After the impurity was introduced, an upper antireflection coating is formed at least on a lower antireflection coating. At least any of the upper antireflection coating and the lower antireflection coating is etched such that the antireflection coatings on the two respective photodiodes are different in thickness from each other. | 02-16-2012 |
20120056251 | SEMICONDUCTOR INTEGRATED CIRCUIT, ELECTRONIC DEVICE, SOLID-STATE IMAGING APPARATUS, AND IMAGING APPARATUS - A semiconductor integrated circuit includes a first semiconductor substrate in which a part of an analog circuit is formed between the analog circuit and a digital circuit which subjects an analog output signal output from the analog circuit to digital conversion; a second semiconductor substrate in which the remaining part of the analog circuit and the digital circuit are formed; and a substrate connection portion which connects the first and second semiconductor substrates to each other. The substrate connection portion transmits an analog signal which is generated by a part of the analog circuit of the first semiconductor substrate to the second semiconductor substrate. | 03-08-2012 |
20120056252 | ELECTRONIC DEVICE - An object is to provide a pixel structure of a display device including a photosensor which prevents changes in an output of the photosensor and a decrease in imaging quality. The display device has a pixel layout structure in which a shielding wire is disposed between an FD and an imaging signal line (a PR line, a TX line, or an SE line) or between the FD and an image-display signal line in order to reduce or eliminate parasitic capacitance between the FD and a signal line for the purpose of suppressing changes in the potential of the FD. An imaging power supply line, image-display power supply line, a GND line, a common line, or the like whose potential is fixed, such as a common potential line, is used as a shielding wire. | 03-08-2012 |
20120080731 | PHOTODETECTOR ISOLATION IN IMAGE SENSORS - A first shallow trench isolation region is disposed in the silicon semiconductor layer laterally adjacent to a photodetector while a second shallow trench isolation region is disposed in the silicon semiconductor layer laterally adjacent to other electrical components in a pixel. The first and second shallow trench isolation regions each include a trench disposed in the silicon semiconductor layer that is filled with a dielectric material. An isolation layer having the second conductivity is disposed only along a portion of a bottom and only along a sidewall of the trench immediately adjacent to the photodetector. The isolation layer is not disposed along the other portion of the bottom and along the other sidewall of the trench adjacent the photodetector. The isolation layer is not disposed along the bottom and sidewalls of the trench adjacent to the other electrical components. | 04-05-2012 |
20120080732 | ISOLATION STRUCTURES FOR GLOBAL SHUTTER IMAGER PIXEL, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - Pixel sensor cells, e.g., CMOS optical imagers, methods of manufacturing and design structures are provided with isolation structures that prevent carrier drift to diffusion regions. The pixel sensor cell includes a photosensitive region and a gate adjacent to the photosensitive region. The pixel sensor cell further includes a diffusion region adjacent to the gate. The pixel sensor cell further includes an isolation region located below a channel region of the gate and about the photosensitive region, which prevents electrons collected in the photosensitive region to drift to the diffusion region. | 04-05-2012 |
20120080733 | PHOTODETECTOR ISOLATION IN IMAGE SENSORS - Shallow trench isolation regions are disposed in an n-type silicon semiconductor layer laterally adjacent to a collection region of a photodetector and laterally adjacent to a charge-to-voltage conversion region. The shallow trench isolation regions each include a trench disposed in the silicon semiconductor layer and a first dielectric structure disposed along an interior bottom and sidewalls of each trench. A second dielectric structure is disposed over the pinning layer. The dielectric structures include a silicon nitride layer disposed over an oxide layer. An n-type isolation layer is disposed along only a portion of the exterior bottom of the trench and the exterior sidewall of the trench immediately adjacent to the photodetector. The n-type isolation layer is not disposed along the remaining portion of the bottom or the opposing exterior sidewall of the trench. | 04-05-2012 |
20120091515 | Semiconductor Devices Having Backside Illuminated Image Sensors - A semiconductor substrate includes a photodiode on a support substrate. An insulating layer is provided between the support substrate and the semiconductor substrate. A first conductive pattern is provided in the insulating layer. A first through electrode penetrates the support substrate to be in contact with the first conductive pattern. | 04-19-2012 |
20120098044 | SOLID-STATE IMAGING DEVICE - Capacitance between a detection capacitor and a reset transistor is the largest among the capacitances between the detection capacitor and transistors placed around the detection capacitor. In order to reduce this capacitance, it is effective to reduce the channel width of the reset transistor. It is possible to reduce the effective channel width by distributing, in the vicinity of the channel of the reset transistor and the boundary line between an active region and an element isolation region, ions which enhance the generation of carriers of an opposite polarity to the channel. | 04-26-2012 |
20120104478 | SOLID STATE IMAGING DEVICE - An island-shaped semiconductor constituting a pixel includes a first semiconductor N | 05-03-2012 |
20120104479 | SOLID-STATE IMAGING DEVICE, METHOD OF PRODUCING THE SAME, AND IMAGING DEVICE - A solid-state imaging device includes a semiconductor substrate including a pixel portion having a photoelectric conversion portion and a peripheral circuit portion; a first sidewall composed of a sidewall film and disposed on each sidewall of gate electrodes of MOS transistors in the pixel portion; a second sidewall composed of the sidewall film and disposed on each sidewall of gate electrodes of MOS transistors in the peripheral circuit portion; a first silicide blocking film composed of the sidewall film and disposed on the photoelectric conversion portion and a part of the MOS transistors in the pixel portion; and a second silicide blocking film disposed on the MOS transistors in the pixel portion so as to overlap with a part of the first silicide blocking film, wherein the MOS transistors in the pixel portion are covered with the first and second silicide blocking films. | 05-03-2012 |
20120112254 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - A solid-state imaging device includes a photoelectric conversion unit that is formed on a semiconductor substrate, a reading unit that reads signal charges of the photoelectric conversion unit, a gate insulating film and an electrode disposed thereon that constitute the reading unit, a light shielding film that covers the electrode, and an antireflection film that is formed on the photoelectric conversion unit and is constituted by films of four or more layers. The film of the lower layer of the antireflection film is also used as a stopper film during patterning, and a gap between the end of the light shielding film and the semiconductor substrate which is defined by interposing a plurality of films of the lower layer of the antireflection film is set so as to be smaller than the thickness of the gate insulating film. | 05-10-2012 |
20120112255 | Solid-State Image Sensor - A floating diffusion region is formed at an edge of a light-receiving surface of an embedded photodiode, with a transfer gate electrode located therebetween. A first region, with radially extending portions centered on the FD region, and a second region, located to the outside of the first region, are created in the substantially sector-shaped light-receiving surface. A dopant whose conductivity type is the same as the signal charges to be collected in the first region are introduced, whereby an electric field for moving the signal charges from the radially extending sections towards the center is created due to a three-dimensional field effect. As a result, the charge-transfer time is reduced. Additionally, since a circuit element in the subsequent stage can be placed adjacent to the floating diffusion region, the parasitic capacitance of the floating diffusion region can be reduced and a highly sensitive element can be obtained. | 05-10-2012 |
20120119271 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a solid-state imaging device includes an imaging region including unit pixels which are two-dimensionally arranged on a semiconductor layer and each of which includes a photoelectric conversion unit and a signal scanning circuit unit. The unit pixel includes a transfer gate provided on the semiconductor layer, a photogate provided on the semiconductor layer, a first semiconductor layer of a first conductivity type, which is provided in the semiconductor layer below the photogate, and a second semiconductor layer of the first conductivity type, which is adjacent to the first semiconductor layer and provided in the semiconductor layer between the transfer gate and the photogate. | 05-17-2012 |
20120119272 | SOLID-STATE IMAGE SENSOR, METHOD OF MANUFACTURING THE SAME, AND IMAGING SYSTEM - A solid-state image sensor includes a pixel region and peripheral circuit region arranged on a semiconductor substrate. The pixel region includes pixels. Each pixel includes a photoelectric conversion element and an amplification MOS transistor that outputs a signal corresponding to charges of the photoelectric conversion element to a column signal line. The peripheral circuit region includes a circuit that drives the pixel or processes the signal output to the column signal line. A resistance of a source region of the amplification MOS transistor is lower than a resistance of a drain region of the amplification MOS transistor. | 05-17-2012 |
20120139018 | Solid-state imaging device and method of manufacturing solid-state imaging device - A solid-state imaging device includes: a gate electrode arranged over an upper surface of a semiconductor substrate; a photoelectric conversion portion formed over the semiconductor substrate to position under the gate electrode; an overflow barrier formed over the semiconductor substrate to position in a portion other than a position facing the gate electrode in a planar direction and adjoin a side face of the photoelectric conversion portion; and a drain formed over the semiconductor substrate to adjoin a side face of the overflow barrier opposite to a side face adjoining the photoelectric conversion portion. | 06-07-2012 |
20120146116 | BACK SIDE ILLUMINATION TYPE SOLID STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, an imaging device includes a semiconductor substrate having a first conductivity type, a well region which is arranged on a front surface side of the semiconductor substrate and has the first conductivity type, photodiodes which are arranged in the well region and have a second conductivity type, a diffusion layer which is arranged between the photodiodes, supplies a potential to the well region, and has the first conductivity type, an overflow drain layer which is arranged on a back surface side of the semiconductor substrate and has the second conductivity type, an overflow drain electrode which extends from the front surface side of the semiconductor substrate to the overflow drain layer and supplies a bias potential to the overflow drain layer from the front surface side of the semiconductor substrate, and a wiring layer which is arranged on the front surface of the semiconductor substrate. | 06-14-2012 |
20120146117 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes: a first photodiode receiving light of a first color; a second photodiode that is arranged next to the first photodiode in a first direction and receives light of a second color; a third photodiode that is arranged next to the second photodiode in a second direction and receives light of the first color; a fourth photodiode that is arranged next to the third photodiode in the first direction and receives light of a third color; a first reset transistor for discharging a charge generated in the first photodiode and the second photodiode; and a second reset transistor for discharging a charge generated in the third photodiode and the fourth photodiode. The first photodiode and the third photodiode have a small difference in area. | 06-14-2012 |
20120161213 | MATRIX IMAGING DEVICE HAVING PHOTOSITES WITH GLOBAL SHUTTER CHARGE TRANSFER - An imaging device is formed in a semiconductor substrate. The device includes a matrix array of photosites. Each photosite is formed of a semiconductor region for storing charge, a semiconductor region for reading charge specific to said photosite, and a charge transfer circuit configured so as to permit a transfer of charge between the charge storage region and the charge reading region. Each photosite further includes at least one buried first electrode. At least one part of that buried first electrode bounds at least one part of the charge storage region. The charge transfer circuit for each photosite includes at least one second buried electrode. | 06-28-2012 |
20120161214 | CMOS IMAGE SENSOR WITH SHARED SENSING MODE - A CMOS image sensor has a pixel array provided with a plurality of unit pixels arranged in a matrix shape of rows and columns. Each of the unit pixel includes a photocharge generation means for generating photocharges by absorbing an external light; and a sensing node for receiving the photocharges transferred from the photocharge generation means, wherein the sensing node of the unit pixel in a previous scan line is shared with a sensing node of a unit pixel in a current scan line in response to a line select signal of the current line. | 06-28-2012 |
20120175691 | HOLE-BASED ULTRA-DEEP PHOTODIODE IN A CMOS IMAGE SENSOR AND A PROCESS THEREOF - A hole-based ultra-deep photodiode in a CMOS image sensor and an associated process are disclosed. A p-type substrate is grounded or connected to a negative power supply. An n-type epitaxial layer is grown on the p-type substrate, and is connected to a positive power supply. An ultra-deep p-type photodiode implant region is formed in the n-type epitaxial layer. Thermal steps are added to insure a smooth and deep doping profile. | 07-12-2012 |
20120181589 | CMOS IMAGE SENSOR WITH NON-CONTACT STRUCTURE - A complementary metal oxide semiconductor (CMOS) image sensor device includes a capacitive coupled photodiode that is formed within a region of a semiconductor substrate. The photodiode receives an incident light and generates a corresponding electric charge. The CMOS image sensor device includes a reset transistor coupled to the photodiode for reverse biasing the photodiode with a predetermined voltage. The CMOS image sensor device further includes a buffer circuit and a capacitor, which is interposed between the photodiode and the buffer circuit. The capacitor is configured to transfer the electric charge to the buffer circuit. The buffer circuit may include an emitter follower or a source follower transistor. | 07-19-2012 |
20120181590 | IMAGE PICKUP APPARATUS AND IMAGE PICKUP SYSTEM - A solid-state image pickup apparatus with little or no difference in the dark currents between adjacent photoelectric conversion elements, and that provides a high sensitivity and a low dark current even in a high-speed readout operation, includes a well formed on a wafer, and semiconductor layers formed in the well to constitute photodiodes. A well contact is formed between the semiconductor layers. Element isolation regions are provided between the well contact and the semiconductor layers, and channel stop layers are provided under the element isolation regions. A conductive layer is provided on the element isolation region, and a side wall is provided on a side face of the conductive layer. A distance a between an end of the element isolation region and the conductive layer, a width b of the side wall and a device isolation width c satisfy a relation c>a≧b. | 07-19-2012 |
20120187462 | HIGH OPTICAL EFFICIENCY CMOS IMAGE SENSOR - High optical efficiency CMOS image sensors capable of sustaining pixel sizes less than 1.2 microns are provided. Due to high photodiode fill factors and efficient optical isolation, microlenses are unnecessary. Each sensor includes plural imaging pixels having a photodiode structure on a semiconductor substrate adjacent a light-incident upper surface of the image sensor. An optical isolation grid surrounds each photodiode structure and defines the pixel boundary. The optical isolation grid extends to a depth of at least the thickness of the photodiode structure and prevents incident light from penetrating through the incident pixel to an adjacent pixel. A positive diffusion plug vertically extends through a portion of the photodiode structure. A negative diffusion plug vertically extends into the semiconductor substrate for transferring charge generated in the photodiode to a charge collecting region within the semiconductor substrate. Pixel circuitry positioned beneath the photodiode controls charge transfer to image readout circuitry. | 07-26-2012 |
20120187463 | CMOS IMAGE SENSORS INCLUDING BACKSIDE ILLUMINATION STRUCTURE AND METHOD OF MANUFACTURING IMAGE SENSOR - An image sensor having a backside illumination structure can include a photo diode unit in a first wafer, where the photo diode unit includes photo diodes and transfer gate transistors coupled to respective ones of the photo diodes. A wiring line unit can be included on a second wafer that is bonded to the photo diode unit, where the wiring line unit includes wiring lines and transistors configured to process signals provided by the photo diode unit and configured to control the photo diode unit. A supporting substrate is bonded to the wiring line unit and a filter unit is located under the first wafer. | 07-26-2012 |
20120187464 | ELECTROMAGNETIC WAVE DETECTING ELEMENT - The present invention is to provide an electromagnetic wave detecting element that can prevent a decrease in light utilization efficiency at sensor portions. The sensor portions are provided so as to correspond to respective intersection portions of scan lines and signal lines, and have semiconductor layer that generate charges due to electromagnetic waves being irradiated, and at whose electromagnetic wave irradiation surface sides upper electrodes are formed, and at whose electromagnetic wave non-irradiation surface sides lower electrodes are formed. Bias voltage is supplied to the respective upper electrodes via respective contact holes by a common electrode line that is formed further toward an electromagnetic wave downstream side than the semiconductor layer. | 07-26-2012 |
20120193691 | BACK-SIDE ILLUMINATION IMAGE SENSOR - A back side illumination (BSI) image sensor includes at least one pixel. The pixel area includes a photo diode and a transfer transistor. The transfer transistor has a control electrode made of a gate poly and a gate oxide for receiving a control instruction, a first electrode coupled to the photo diode, and a second electrode, wherein an induced conduction channel of the transfer transistor partially surrounds a recessed space which is filled with the gate poly and the gate oxide of the first transistor. | 08-02-2012 |
20120193692 | SEMICONDUCTOR ELEMENT AND SOLID-STATE IMAGING DEVICE - A semiconductor element includes a base-body region of p-type; a charge-generation buried region of a n-type, implementing a photodiode together with the base-body region, configured to create a first potential valley in the base-body region; an accumulation region of n-type, being buried in a part of the upper portion of the base-body region, configured to create a second potential valley deeper than the first potential valley; a transfer-gate insulation film provided on a surface of the base-body region; a transfer-gate electrode provided on the transfer-gate insulation film, configured to control a potential of a transfer channel formed in the base-body region between the charge-generation buried region and the accumulation region; and a recessed-potential creation mechanism configured to create a stair-like-shaped potential barrier for electronic shuttering. | 08-02-2012 |
20120199894 | SOLID-STATE IMAGING DEVICE - According to one embodiment, a solid-state imaging device includes a first element formation region surrounded by an element isolation region in a semiconductor substrate having a first and a second surface, an upper element isolation layer on the first surface in the element formation region, a lower element isolation layer between the second surface and the upper element isolation layer, a first photodiode in the element formation region, a floating diffusion in the element formation region, and a first transistor disposed between the first photodiode and the floating diffusion. A side surface of the lower element isolation layer protrudes closer to the transistor than a side surface of the upper element isolation layer. | 08-09-2012 |
20120205730 | TRANSPARENT CONDUCTIVE FILM FOR IMPROVING CHARGE TRANSFER IN BACKSIDE ILLUMINATED IMAGE SENSOR - The present disclosure provides an image sensor device and a method of forming the image sensor device. In an example, an image sensor device includes a substrate having a front surface and a back surface; a sensor element disposed at the front surface of the substrate, the sensor element being operable to sense radiation projected toward the back surface of the substrate; and a transparent conductive layer disposed over the back surface of the substrate, the transparent conductive layer at least partially overlying the sensor element. The transparent conductive layer is configured for being electrically coupled to a bottom portion of the sensor element. | 08-16-2012 |
20120205731 | SINGLE PHOTON AVALANCHE DIODES - A CMOS single photon avalanche diode (SPAD) design uses conventional, or at least known, CMOS processes to produce a device having a breakdown region in which the main p-n junction is formed of a deep n-well layer, and optionally on the other side, a p-add layer. The SPAD may also have a guard ring region which comprises the p-epi layer without any implant. The SPAD may have curved or circular perimeters. A CMOS chip comprises SPADs as described and other NMOS devices all sharing the same deep n-well. | 08-16-2012 |
20120217558 | IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A solid-state imaging device includes: a substrate which is formed of a semiconductor and includes a first surface and a second surface which face opposite sides; a gate insulation film which is formed on a trench formed in the substrate to penetrate the first surface and the second surface; and a gate electrode which is embedded in the trench through the gate insulation film to be exposed to a second surface side of the substrate. A step difference is formed from the second surface of the substrate to a tip end surface of the gate electrode on the second surface side. | 08-30-2012 |
20120248514 | SOLID-STATE IMAGE SENSING DEVICE - A solid-state image sensing device has a unit pixel containing a photoelectric conversion element for detecting a light to generate photoelectrons and pixel drive circuits for driving the unit pixel. The photoelectric conversion element has a photogate structure, and the pixel drive circuits apply a voltage selected from three voltages to the photogate of the photoelectric conversion element to generate or transfer the photoelectrons. The three voltages include at least a first voltage, a second voltage higher than the first voltage, and a third voltage higher than the first voltage and lower than the second voltage. | 10-04-2012 |
20120248515 | STRESS ENGINEERING TO REDUCE DARK CURRENT OF CMOS IMAGE SENSORS - This disclosure relates to an active pixel cell including a shallow trench isolation (STI) structure. The active pixel cell further includes a photodiode neighboring the STI structure, where a first stress resulted from substrate processing prior to deposition of a pre-metal dielectric layer increases dark current and white cell counts of a photodiode of the active pixel cell. The active pixel cell further includes a transistor, where the transistor controls the operation of the active pixel cell. The active pixel cell further includes a stress layer over the photodiode, the STI structure, and the transistor, and the stress layer has a second stress that counters the first stress exerted on the substrate, and the second stress reduces the dark current and the white cell counts caused by the first stress. | 10-04-2012 |
20120248516 | MULTILINEAR IMAGE SENSOR WITH CHARGE INTEGRATION - The invention relates to linear time-delay and integration sensors (or TDI sensors). According to the invention, adjacent pixels of the same rank comprise, alternately, at least one photodiode and one transfer gate adjacent to the photodiode, the photodiodes comprising a common reference region of a first conductivity type, in which an individual region of opposite conductivity type is formed, itself covered by a individual surface region of the first conductivity type, characterized in that the surface regions of two photodiodes located on either side of a transfer gate are electrically separated so as to be able to be brought to different potentials in order to create potential wells and potential barriers allowing accumulation and transfer of charges as desired. | 10-04-2012 |
20120261731 | IMAGE SENSOR - An image sensor is disclosed. The image sensor includes a substrate, at least a color filter, and a microlens disposed on the color filter. The substrate includes a passivation layer thereon, and the color filter is disposed on the passivation layer, in which the color filter is truncated. | 10-18-2012 |
20120261732 | METHOD FOR FORMING A BACK-SIDE ILLUMINATED IMAGE SENSOR - A method for forming a back-side illuminated image sensor from a semiconductor substrate, including the steps of: a) thinning the substrate from its rear surface; b) depositing, on the rear surface of the thinned substrate, an amorphous silicon layer of same conductivity type as the substrate but of higher doping level; and c) annealing at a temperature enabling to recrystallized the amorphous silicon to stabilize it. | 10-18-2012 |
20120267695 | SOLID STATE IMAGING DEVICE - According to one embodiment, a solid-state imaging device includes a pixel array unit arrayed unit pixels in a matrix pattern, each of the unit pixels including a photoelectric conversion element and a floating diffusion region, signal lines provided for respective pixel columns and configured to read signals from the unit pixels, capacitive interconnections provided for the respective pixel columns and capacitively coupled to the floating diffusion regions, first switch elements configured to switch a connection state between the signal lines and the capacitive interconnections, and second switch elements configured to switch a connection state between the capacitive interconnections and a power supply line. | 10-25-2012 |
20120273855 | UNIT PIXEL, AND CMOS IMAGE SENSOR HAVING THE SAME - A unit pixel of a CMOS image sensor include a photodiode that transforms light to an electric charge, and accumulates the electric charge, and a plurality of transistors that generate an electric signal based on the accumulated electric charge. The photodiode has a slope shape based on incident angle of the light in a semiconductor substrate. | 11-01-2012 |
20120299071 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREOF - According to one embodiment, a solid-state imaging device includes a photodiode includes an N-type region and a P-type region, a floating diffusion region, and a transfer transistor. The N-type diffusion region of the photodiode comprises a first semiconductor region and a second semiconductor region formed shallower than the first semiconductor region. An end portion of the first semiconductor region is positioned on the floating diffusion region side rather than an end portion of a gate electrode of the transfer transistor. An end portion of the second semiconductor region is set in substantially the same position as that of the end portion of the gate electrode of the transfer transistor. | 11-29-2012 |
20130001661 | HIGH FULL-WELL CAPACITY PIXEL WITH GRADED PHOTODETECTOR IMPLANT - Embodiments of a process for forming a photodetector region in a CMOS pixel by dopant implantation, the process comprising masking a photodetector area of a surface of a substrate for formation of the photodetector region, positioning the substrate at a plurality of twist angles, and at each of the plurality of twist angles, directing dopants at the photodetector area at a selected tilt angle. Embodiments of a CMOS pixel comprising a photodetector region formed in a substrate, the photodetector region comprising overlapping first and second dopant implants, wherein the overlap region has a different dopant concentration than the non-overlapping parts of the first and second implants, a floating diffusion formed in the substrate, and a transfer gate formed on the substrate between the photodetector and the transfer gate. Other embodiments are disclosed and claimed. | 01-03-2013 |
20130009224 | SOLID-STATE IMAGING DEVICE, ELECTRONIC DEVICE, AND MANUFACTURING METHOD FOR SOLID-STATE IMAGING DEVICE - In a manufacturing method for a solid-state imaging device, a photoelectric conversion portion including a first impurity layer whose carrier polarity is a first conductivity type is formed within a substrate, a second impurity layer, whose carrier polarity is a second conductivity type opposite to the first conductivity type, is formed on a surface of the first impurity layer so as to be in contact with the surface located on one surface side of the substrate, a third impurity layer, whose carrier polarity is the first conductivity type, is formed on the second impurity layer so as to be in contact therewith, a gate electrode is formed above the third impurity layer so as to cover the third impurity layer, and an impurity region portion, whose carrier polarity is the first conductivity type, is formed within the substrate so as to be connected to the third impurity layer. | 01-10-2013 |
20130015513 | SOLID-STATE IMAGING DEVICE, SOLID-STATE IMAGING DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICEAANM Kido; HideoAACI KanagawaAACO JPAAGP Kido; Hideo Kanagawa JPAANM Enomoto; TakayukiAACI KanagawaAACO JPAAGP Enomoto; Takayuki Kanagawa JPAANM Togashi; HideakiAACI KanagawaAACO JPAAGP Togashi; Hideaki Kanagawa JP - A solid-state imaging device includes: a first photodiode made up of a first first-electroconductive-type semiconductor region formed on a first principal face side of a semiconductor substrate, and a first second-electroconductive-type semiconductor region formed within the semiconductor substrate adjacent to the first first-electroconductive-type semiconductor region; a second photodiode made up of a second first-electroconductive-type semiconductor region formed on a second principal face side of the semiconductor substrate, and a second second-electroconductive-type semiconductor region formed within the semiconductor substrate adjacent to the second first-electroconductive-type semiconductor region; and a gate electrode formed on the first principal face side of the semiconductor substrate; with impurity concentration of a connection face between the second first-electroconductive-type semiconductor region and the second second-electroconductive-type semiconductor region being equal to or greater than impurity concentration of a connection face of an opposite layer of the second first-electroconductive-type semiconductor region of the second second-electroconductive-type semiconductor region. | 01-17-2013 |
20130020620 | OPTICAL WAVEGUIDES IN IMAGE SENSORS - An embodiment relates to an image sensor comprising (a) a optical pipe comprising a core and a cladding, and (b) a pair of photosensitive elements comprising a central photosensitive element and a peripheral photosensitive element, wherein the central photosensitive element is operably coupled to the core and the peripheral photosensitive element is operably coupled to the cladding, and methods of fabricating and using the same. The image sensor could further comprise a lens structure or an optical coupler or an optical coupler over the optical pipe, wherein the lens structure or the optical coupler or the optical coupler is operably coupled to the optical pipe. | 01-24-2013 |
20130020621 | SOLID-STATE IMAGING DEVICE - In each photosensitive cell, a photodiode | 01-24-2013 |
20130026547 | ACTIVE PIXEL SENSOR WITH A DIAGONAL ACTIVE AREA - An imaging device formed as a CMOS semiconductor integrated circuit having two adjacent pixels in a row connected to a common column line and a processor based system with such an imaging device. By having adjacent pixels of a row share column lines, the CMOS imager circuit eliminates half the column lines of a traditional imager allowing the fabrication of a smaller imager. The imaging device also may be fabricated to have a diagonal active area to facilitate contact of two adjacent pixels with the single column line and allow linear row select lines, reset lines and column lines. | 01-31-2013 |
20130049083 | SOLID-STATE IMAGING DEVICE AND CAMERA - A solid-state imaging device including is provided. The solid-state imaging device includes: pixels arrayed; a photoelectric conversion element in each of the pixels; a read transistor for reading electric charges photoelectrically-converted in the photoelectric conversion elements to a floating diffusion portion; a shallow trench element isolation region bordering the floating diffusion portion; and an impurity diffusion isolation region for other element isolation regions than the shallow trench element isolation region. | 02-28-2013 |
20130056808 | Isolation Area Between Semiconductor Devices Having Additional Active Area - An isolation area that provides additional active area between semiconductor devices on an integrated circuit is described. In one embodiment, the invention includes a complementary metal oxide semiconductor transistor of an image sensor having a source, a drain, and a gate between the source and the drain, the transistor having a channel to couple the source and the drain under the influence of the gate, and an isolation barrier surrounding a periphery of the source and the drain to isolate the source and the drain from other devices, wherein the isolation barrier is distanced from the central portion of the channel. | 03-07-2013 |
20130056809 | Image Sensor with Reduced Noiseby Blocking Nitridation Over Selected Areas - An image sensor is described in which the imaging pixels have reduced noise by blocking nitridation in selected areas. In one example, an imaging pixel of an image sensor includes a photodiode region to accumulate an image charge in response to incident light, a first transistor having a gate oxide layer, the gate oxide layer having a first level of nitridation, and a second transistor having a gate oxide layer, the gate oxide layer having a second level of nitridation that is higher than the first level of nitridation. | 03-07-2013 |
20130069130 | SOLID STATE IMAGING DEVICE - According to one embodiment, a solid state imaging device includes a semiconductor substrate having a first surface on a light incident side and a second surface on a side opposite to the light incident side, a photodiode in the semiconductor substrate, a functional layer which covers the entire photodiode on the side of the first surface of the semiconductor substrate, and has a function of transmitting the light traveling from an exterior to an interior of the semiconductor substrate, and reflecting the light traveling from the interior to the exterior of the semiconductor substrate, and a reflecting layer which covers the entire second surface of the semiconductor substrate, and has a function of reflecting the light traveling from the interior to the exterior of the semiconductor substrate. | 03-21-2013 |
20130082312 | Transistors, Methods of Manufacturing Thereof, and Image Sensor Circuits with Reduced RTS Noise - Transistors, methods of manufacturing thereof, and image sensor circuits with reduced random telegraph signal (RTS) noise are disclosed. In one embodiment, a transistor includes a channel disposed between two isolation regions in a workpiece. The channel has edge regions proximate the isolation regions and a central region between the edge regions. The transistor includes a gate dielectric disposed over the channel, and a gate disposed over the gate dielectric. The transistor includes a voltage threshold modification feature proximate the edge regions configured to increase a voltage threshold of the transistor proximate edge regions relative to the central region of the channel. | 04-04-2013 |
20130082313 | CMOS IMAGE SENSOR WITH RESET SHIELD LINE - Techniques and mechanisms to improve potential well characteristics in a pixel cell. In an embodiment, a coupling portion of a pixel cell couples a reset transistor of the pixel cell to a floating diffusion node of the pixel cell, the reset transistor to reset a voltage of the floating diffusion node. In another embodiment, the pixel cell includes a shield line which extends athwart the coupling portion, where the shield line is to reduce a parasitic capacitance of the reset transistor to the floating diffusion node. | 04-04-2013 |
20130105870 | SOLID-STATE IMAGE DEVICE, MANUFACTURING METHOD THEREOF, AND IMAGE CAPTURING APPARATUS | 05-02-2013 |
20130105871 | SOLID-STATE IMAGING DEVICE | 05-02-2013 |
20130126952 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME, AND IMAGING APPARATUS - A solid-state imaging device includes: a semiconductor substrate; and a plurality of pixels arrayed two-dimensionally in the semiconductor substrate, each of the pixels having a photoelectric conversion element that performs photoelectric conversion, the photoelectric conversion element having a first impurity region, formed in the semiconductor substrate, containing an impurity of a first conductivity type; a second impurity region formed in the semiconductor substrate so as to be in contact with the first impurity region, containing an impurity of a second conductivity type different from the first conductivity type; and a PN junction portion in which the first impurity region and the second impurity region are in contact with each other, formed in a protruding shape projecting toward a surface side of the semiconductor substrate. | 05-23-2013 |
20130140613 | SOI-BASED CMOS IMAGERS EMPLOYING FLASH GATE/CHEMISORPTION PROCESSING - A method of manufacturing a CMOS image sensor is disclosed. A silicon-on-insulator substrate is provided, which includes providing a silicon-on-insulator substrate including a mechanical substrate, an insulator layer substantially overlying the mechanical substrate, and a seed layer substantially overlying the insulator layer. A semiconductor substrate is epitaxially grown substantially overlying the seed layer. The mechanical substrate and at least a portion of the insulator layer are removed. An ultrathin oxide later is formed substantially underlying the semiconductor substrate. A mono layer of metal is formed substantially underlying the ultrathin oxide layer. | 06-06-2013 |
20130168750 | PHOTODIODE ARRAY AND METHODS OF FABRICATION - Photodiode arrays and methods of fabrication are provided. One photodiode array includes a silicon wafer having a first surface and an opposite second surface and a plurality of conductive vias through the silicon wafer. The photodiode array further includes a patterned doped epitaxial layer on the first surface, wherein the patterned doped epitaxial layer and the substrate form a plurality of diode junctions. A patterned etching defines an array of the diode junctions. | 07-04-2013 |
20130187210 | PHOTOELECTRIC CONVERSION APPARATUS AND IMAGING SYSTEM USING THE SAME - In a photoelectric conversion apparatus including charge storing portions in its imaging region, isolation regions for the charge storing portions include first isolation portion each having a PN junction, and second isolation portions each having an insulator. A second isolation portion is arranged between a charge storing portion and at least a part of a plurality of transistors. | 07-25-2013 |
20130193495 | LIGHT RECEIVING CIRCUIT - According to an embodiment, a light-receiving circuit includes a MOSFET, a first light-receiving element and a second light-receiving element. The first light-receiving element controls a state of the MOSFET between ON state and OFF state by applying a voltage induced by a light signal between a gate of the MOSFET and a source of the MOSFET; and a second light-receiving element controls a threshold voltage of the MOSFET. | 08-01-2013 |
20130193496 | IMAGE SENSORS - In image sensors and methods of manufacturing the same, a substrate has a photoelectric conversion area, a floating diffusion area and a recess between the photoelectric conversion area and the floating diffusion area. A plurality of photodiodes is vertically arranged inside the substrate in the photoelectric conversion area. A transfer transistor is arranged along a surface profile of the substrate having the recess and configured to transfer electric charges generated from the plurality of photodiodes to the floating diffusion area. The transfer transistor includes a gate insulation pattern on a sidewall and a bottom of the recess and on a surface of the substrate around the recess, and a gate conductive pattern including polysilicon doped with impurities and positioned on the gate insulation pattern along the surface profile of the substrate having the recess, wherein a cavity is in an upper surface of the gate conductive pattern. | 08-01-2013 |
20130193497 | PHOTOELECTRIC CONVERSION APPARATUS AND IMAGING SYSTEM USING THE SAME - A photoelectric conversion apparatus includes: a first semiconductor region forming a part of a photoelectric conversion element; a second semiconductor region stacked on the first semiconductor region, and forming a part of the photoelectric conversion element; a third semiconductor region to which a signal charge transferred from the photoelectric conversion element; a fourth semiconductor region of the first conductivity type having an higher impurity concentration, between the first and third semiconductor region and between the second and third semiconductor regions, closer to a main surface than the first semiconductor region, and connected to the first semiconductor region; a first gate electrode over the fourth semiconductor region, an insulating film on the main surface and between the first gate electrode and the fourth semiconductor region; and a second gate electrode between the third and fourth semiconductor regions, and over the insulating film. | 08-01-2013 |
20130207169 | ACTIVE MATRIX IMAGE SENSING PANEL AND APPARATUS - An active matrix image sensing panel includes a substrate and an image sensing pixel. The image sensing pixel is disposed on the substrate and includes a data line, a first thin film transistor (TFT) device and a second TFT device. The first TFT device includes a first electrode, a second electrode and a first gate electrode. The second electrode is coupled to the data line through a first via. The second TFT device includes a third electrode, a fourth electrode and a second gate electrode. The fourth electrode is electrically connected to the data line through a second via. The second electrode and the fourth electrode are connected with each other and overlap the data line. | 08-15-2013 |
20130221416 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SOLID-STATE IMAGING DEVICE, AND SOLID-STATE IMAGING APPARATUS - A method of manufacturing a semiconductor device includes the steps of forming a gate electrode of a transistor on an insulator layer on a surface of a semiconductor substrate, forming an isolation region by performing ion implantation of an impurity of a first conductivity type into the semiconductor substrate, forming a lightly doped drain region by performing, after forming a mask pattern including an opening portion narrower than a width of the gate electrode on an upper layer of the gate electrode of the transistor, ion implantation of an impurity of a second conductivity type near the surface of the semiconductor substrate with the mask pattern as a mask, and forming a source region and a drain region of the transistor by performing ion implantation of an impurity of the second conductivity type into the semiconductor substrate after forming the gate electrode of the transistor. | 08-29-2013 |
20130234220 | SOLID-STATE IMAGING DEVICE AND IMAGING APPARATUS - An imaging device includes a basic cell having two or more the pixels that share floating diffusion. The imaging device also includes a transistor shared by the two or more pixels in the basic cell and arranged on the outside of the two or more pixels. The imaging device further includes a light receiving unit connected to the floating diffusion shared by the pixels in the basic cell through a transfer gate. In the imaging device, on-chip lenses are arranged substantially at regular intervals. Also, an optical waveguide is formed so that the position thereof in the surface of the solid-state imaging device is located at a position shifted from the center of the light receiving unit to the transistor and in the inside of the light receiving unit and the inside of the on-chip lens. | 09-12-2013 |
20130240961 | SOLID-STATE IMAGE CAPTURING ELEMENT - In one embodiment, a solid-state image capturing element of an embodiment has: a semiconductor substrate; a photodiode formed on the semiconductor substrate; a capacitor formed on the semiconductor substrate and including a first electrode layer, an insulating layer, and a second electrode layer which are stacked in sequence; a transistor formed on the semiconductor substrate and including a floating gate and a control gate; and a first electrode portion electrically connecting the second electrode layer and an n-type diffusion layer or a p-type diffusion layer constituting the photodiode. Further, the first electrode layer of the capacitor is constituted by the floating gate of the transistor, and the second electrode layer of the capacitor and the control gate of the transistor are discontinuous. | 09-19-2013 |
20130240962 | Photosensitive Imaging Device and Method for Forming Semiconductor Device - A photosensitive imaging device and a method for forming a semiconductor device are provided. The method includes: providing a first device layer formed on a first substrate, wherein a conductive top bonding pad layer is formed on the first device layer; providing a continuous second device layer formed on a second substrate, wherein a continuous conductive adhesion layer is formed on the continuous second device layer; bonding the first device layer with the second device layer, where the top bonding pad layer on the first device layer is directly connected with the conductive continuous adhesion layer on the continuous second device layer; removing the second substrate; selectively etching the continuous second device and the continuous conductive adhesion layer to form a groove array; and filling up the groove array with an insulation material to form a plurality of second devices. Alignment accuracy may be improved. | 09-19-2013 |
20130248954 | Unit Pixel of Image Sensor and Image Sensor Including the Same - Unit pixels included in an image sensor are provided. The unit pixel including a photoelectric conversion region in a semiconductor substrate, the photoelectric conversion region configured to generate photo-charges corresponding to incident light; a transfer gate on a first surface of the semiconductor substrate, the transfer gate configured to transmit the photo-charges from the photoelectric conversion region to a floating diffusion region in the semiconductor substrate; and a suppression gate on the first surface of the semiconductor substrate, the suppression gate configured to correspond to the photoelectric conversion region, the suppression gate including polysilicon and a negative voltage applied to the suppression gate to reduce dark currents is generated adjacent to the first surface of the semiconductor substrate. | 09-26-2013 |
20130256768 | Floating diffusion pre-charge - An array comprises a plurality of pixels logically arranged in rows and columns. The pixels comprise a photoreceptor ( | 10-03-2013 |
20130264619 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND IMAGING APPARATUS - A solid-state imaging device includes a semiconductor substrate; a first conductive region of the semiconductor substrate; a first conductive region on an upper surface side of the first conductive region of the semiconductor substrate; a second conductive region below the first conductive region on the upper surface side of the first conductive region of the semiconductor substrate. The solid-state imaging device further includes a photoelectric conversion region including the first conductive region located on the upper surface side of the first conductive region of the semiconductor substrate and the second conductive region and a transfer transistor transferring charges accumulated in the photoelectric conversion region to a readout region; and a pixel including the photoelectric conversion region and the transfer transistor. The first conductive region, which is included in the photoelectric conversion region, extends to the lower side of a sidewall of a gate electrode of the transfer transistor. | 10-10-2013 |
20130292751 | IMAGE SENSOR WITH SEGMENTED ETCH STOP LAYER - An apparatus includes a semiconductor layer having an array of pixels arranged therein. A passivation layer is disposed proximate to the semiconductor layer over the array of pixels. A segmented etch stop layer including a plurality of etch stop layer segments is disposed proximate to the passivation layer over the array of pixels. Boundaries between each one of the plurality of etch stop layer segments are aligned with boundaries between pixels in the array of pixels. | 11-07-2013 |
20130299886 | Backside Structure and Methods for BSI Image Sensors - BSI image sensors and methods. In an embodiment, a substrate is provided having a sensor array and a periphery region and having a front side and a back side surface; a bottom anti-reflective coating (BARC) is formed over the back side to a first thickness, over the sensor array region and the periphery region; forming a first dielectric layer over the BARC; a metal shield is formed; selectively removing the metal shield from over the sensor array region; selectively removing the first dielectric layer from over the sensor array region, wherein a portion of the first thickness of the BARC is also removed and a remainder of the first thickness of the BARC remains during the process of selectively removing the first dielectric layer; forming a second dielectric layer over the remainder of the BARC and over the metal shield; and forming a passivation layer over the second dielectric layer. | 11-14-2013 |
20130299887 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device that includes a pixel including a photoelectric conversion section, and a conversion section that converts an electric charge generated by photoelectric conversion into a pixel signal. In the solid-state imaging device, substantially only a gate insulation film is formed on a substrate corresponding to an area under a gate electrode of at least one transistor in the pixel. | 11-14-2013 |
20130299888 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - In a CMOS image sensor in which a plurality of pixels is arranged in a matrix, a transistor in which a channel formation region includes an oxide semiconductor is used for each of a charge accumulation control transistor and a reset transistor which are in a pixel portion. After a reset operation of the signal charge accumulation portion is performed in all the pixels arranged in the matrix, a charge accumulation operation by the photodiode is performed in all the pixels, and a read operation of a signal from the pixel is performed per row. Accordingly, an image can be taken without a distortion. | 11-14-2013 |
20130307039 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - A method for fabricating an image sensor having a pixel region and a logic region, which includes one of: (1) forming a photodiode in a substrate at the pixel region, (2) forming a first interlayer insulating layer on the substrate, (3) forming a first stop film on the first interlayer insulating layer, (4) forming an insulating film on the first stop film, (5) forming a second stop film on the insulating film, (6) forming at least one trench by selective etching of the second stop film and the insulating film positioned at the pixel region for exposing the first stop film, (7) forming conductive material on the second stop film to fill the at least one trench, and (8) forming a zero wiring layer in the at least one trench by planarizing the conductive material until the second stop film is exposed. | 11-21-2013 |
20130307040 | IMAGE SENSORS AND METHODS OF FABRICATING THE SAME - Provided are image sensors and methods of fabricating the same. The image sensor has a transfer gate, which may be configured to include a buried portion having a flat bottom surface and a rounded lower corner. This structure of the buried portion enables to transfer electric charges stored in the photoelectric conversion part effectively. | 11-21-2013 |
20130307041 | METHOD OF MANUFACTURING DETECTION DEVICE, DETECTION DEVICE, AND DETECTION SYSTEM - Before transmitting a print job to a printing apparatus, a CPU of a print processing apparatus determines whether paper information designated in the print job has been registered in a paper information database of the print processing apparatus. If the paper information has not been registered, the CPU extracts paper information similar to the paper information designated in the print job from those stored in the paper information database of the print processing apparatus. Furthermore, the CPU copies information about the dependency on the printing apparatus, which is included in the extracted paper information (printer dependency information) to the paper information designated in the print job. Then, the CPU registers the paper information designated in the print job, to which the printer dependency information has been copied, in a paper information database of the printing apparatus and transmits the print job to the printing apparatus. | 11-21-2013 |
20130313621 | IMAGE PICKUP UNIT AND IMAGE PICKUP DISPLAY SYSTEM - A device for image sensing includes a photoelectric conversion unit and at least one transistor. The photoelectric conversion unit is configured to convert incident electromagnetic radiation into an electric signal. The at least one transistor includes a first gate electrode and a second gate electrode above the first gate electrode. The first gate electrode and the second gate electrode do not overlap each other within a non-overlapping region. | 11-28-2013 |
20130313622 | PHOTOELECTRIC CONVERSION APPARATUS - A photoelectric conversion apparatus in which each of a plurality of pixels includes a photoelectric conversion element configured to generate an electric charge by a photoelectric conversion, an impurity diffusion region, and a gate electrode configured to transfer the electric charge from the photoelectric conversion element to the impurity diffusion region. The photoelectric conversion apparatus includes a gate control line composed of a metal wiring extending in a first direction and being connected electrically to the gate electrode. Some or all of the impurity diffusion regions of the plurality of pixels are mutually connected. A read out circuit region is arranged in an outside in a second direction from a pixel arranged at a most outside among all of the plurality of pixels. A metal wiring layer arranged in a pixel array region is composed of only a single wiring layer including a plurality of wirings in the same height. | 11-28-2013 |
20130320420 | CMOS Image Sensors and Methods for Forming the Same - A device includes a diode, which includes a first, a second, and a third doped region in a semiconductor substrate. The first doped region is of a first conductivity type, and has a first impurity concentration. The second doped region is of the first conductivity type, and has a second impurity concentration lower than the first impurity concentration. The second doped region encircles the first doped region. The third doped region is of a second conductivity type opposite the first conductivity type, wherein the third doped region overlaps a portion of the first doped region and a portion of the second doped region. | 12-05-2013 |
20130341692 | Novel [N] Profile in Si-Ox Interface for CMOS Image Sensor Performance Improvement - A semiconductor device including first and second isolation regions supported by a substrate, a first array well supported by the first isolation region, the first array well having a first field implant layer embedded therein, the first field implant layer surrounding a first shallow trench isolation region, a second array well supported by the second isolation region, the second array well supporting a doped region and a drain and having a second field implant layer embedded therein, the second field implant layer surrounding a second shallow trench isolation region, a stack of photodiodes disposed in the substrate between the first and second isolation regions, and a gate oxide formed over an uppermost photodiode of the stack of the photodiodes, the gate oxide and a silicon of the uppermost photodiode forming an interface, a nitrogen concentration at the interface offset from a peak nitrogen concentration. | 12-26-2013 |
20130341693 | SEMICONDUCTOR DEVICE - Provided is a semiconductor device having good properties. Particularly, the semiconductor device is provided which can improve imaging properties. The semiconductor device (CMOS image sensor) includes a plurality of pixels, each having a photodiode PD for generating a charge by receiving light, and a transfer transistor TX for transferring the charge generated by the photodiode PD. The semiconductor device further includes an active region AcTP with the photodiode, and an active region AcG located on an upper side of the region AcTP in the planar direction and having a contact Pg to which a ground potential is applied. A gettering region GET is disposed in the active region AcG. | 12-26-2013 |
20140001523 | STRESS ENGINEERING TO REDUCE DARK CURRENT OF CMOS IMAGE SENSORS | 01-02-2014 |
20140008708 | CMOS IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - A CMOS image sensor includes a substrate, a gate electrode formed over the substrate, a photodiode formed over the substrate to be substantially aligned with one side of the gate electrode, a floating diffusion region formed over the substrate to be substantially aligned with the other side of the gate electrode, and a blooming pass region formed below the photodiode. | 01-09-2014 |
20140008709 | CMOS IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - A CMOS image sensor includes a substrate, a punch-through prevention layer formed over the substrate, an epitaxial layer formed over the punch-through prevention layer, a gate electrode formed over the epitaxial layer; a photodiode formed in the epitaxial layer to be substantially aligned with one side of the gate electrode, a floating diffusion region formed in the epitaxial layer to be substantially aligned with the other side of the gate electrode, and an extended photodiode region formed below the photodiode to be coupled with the punch-through prevention layer. | 01-09-2014 |
20140015024 | SOLID-STATE IMAGE PICKUP DEVICE - According to one embodiment, a solid-state image pickup device includes a photoelectric converter, transfer, reset and amplifier transistors and a floating diffusion layer formed on a semiconductor substrate. The photoelectric converter coverts incident light to a signal charge. The transfer transistor transfers the signal charge converted by the photoelectric converter. The floating diffusion layer stores the signal charge transferred by the transfer transistor. The reset transistor resets the signal charge stored in the floating diffusion layer. The amplifier transistor amplifies the signal charge stored in the floating diffusion layer. Source and drain regions of the reset transistor, and its channel region are formed in an L-shape on the semiconductor substrate. | 01-16-2014 |
20140015025 | IMAGE SENSORS INCLUDING CHANNEL STOP REGIONS SURROUNDING PHOTODIODES AND METHODS OF FABRICATING THE SAME - Image sensors are provided. In the image sensor, an area of a device isolation layer may be reduced and elements may be isolated from each other by a channel stop region extending between the photoelectric conversion region and the device isolation layer, such that a dark current property of the image sensor may be improved. | 01-16-2014 |
20140015026 | IMAGE SENSORS INCLUDING WELL REGIONS OF DIFFERENT CONCENTRATIONS - An image sensor includes a high concentration well region in contact with a device isolation layer extending along a periphery of a photoelectric converting part, which can improve dark current properties of the image sensor. The image sensor also includes a low concentration well region in contact with a sidewall of the device isolation layer overlapped with a transfer gate, which can improve image lag properties of the image sensor. Related fabrication methods are also discussed. | 01-16-2014 |
20140021519 | UNIT PIXEL OF IMAGE SENSOR AND PHOTO DETECTOR THEREOF - A unit pixel of an image sensor and a photo detector are disclosed. The photo detector of the present invention configured to absorb light can include: a light-absorbing part configured to absorb light by being formed in a floated structure; an oxide film being in contact with one surface of the light-absorbing part; a source being in contact with one side of the other surface of the oxide film and separated from the light-absorbing part with the oxide film therebetween; a drain facing the source so as to be in contact with the other side of the other surface of the oxide film and separated from the light-absorbing part with the oxide film therebetween; and a channel interposed between the source and the drain and configured to form flow of an electric current between the source and the drain. | 01-23-2014 |
20140027827 | GROUND CONTACT STRUCTURE FOR A LOW DARK CURRENT CMOS PIXEL CELL - Pixel array structures to provide a ground contact for a CMOS pixel cell. In an embodiment, an active area of a pixel cell includes a photodiode disposed in a first portion of an active area, where a second portion of the active area extends from a side of the first portion. The second portion includes a doped region to provide a ground contact for the active area. In another embodiment, the pixel cell includes a transistor to transfer the charge from the photodiode, where a gate of the transistor is adjacent to the second portion and overlaps the side of the first portion. | 01-30-2014 |
20140027828 | X-RAY DETECTOR - An X-ray detector including: a substrate that is divided into a light detection area and a non-detection area and includes a plurality of pixels; a photodiode disposed on the light detection area; a thin film transistor that is disposed on the non-detection area and is electrically connected to a lower portion of the photodiode; a plurality of wires that are electrically connected to the thin film transistor and are positioned on the non-detection area; at least one insulating layer disposed so as to cover at least the thin film transistor and the plurality of wires; a scintillator layer disposed on the at least one insulating layer over an entire surface of the substrate; and a shielding part disposed between the at least one insulating layer and the scintillator layer to shield the non-detection area. | 01-30-2014 |
20140027829 | UNIT PIXEL OF IMAGE SENSOR AND PHOTO DETECTOR THEREOF - A unit pixel of an image sensor and a photo detector are disclosed. The photo detector of the present invention configured to absorb light can include: a light-absorbing part configured to absorb light by being formed in a floated structure; an oxide film being in contact with one surface of the light-absorbing part; a source being in contact with one side of the other surface of the oxide film and separated from the light-absorbing part with the oxide film therebetween; a drain facing the source so as to be in contact with the other side of the other surface of the oxide film and separated from the light-absorbing part with the oxide film therebetween; and a channel interposed between the source and the drain and configured to form flow of an electric current between the source and the drain. | 01-30-2014 |
20140035012 | LIGHT SENSOR HAVING IR CUT INTERFERENCE FILTER WITH COLOR FILTER INTEGRATED ON-CHIP - Techniques are described to furnish a light sensor that includes a patterned IR interference filter integrated with a patterned color pass filter. In one or more implementations, the light sensor includes a substrate having a surface. An IR interference filter configured to block infrared light is disposed over the surface of the substrate. The light sensor also includes one or more color pass filters placed above or below the IR interference filter. The color pass filters are configured to filter visible light to pass light in a limited spectrum of wavelengths to the one or more photodetectors. | 02-06-2014 |
20140042506 | Transistors, Methods of Manufacture Thereof, and Image Sensor Circuits - Transistors, methods of manufacturing thereof, and image sensor circuits are disclosed. In one embodiment, a transistor includes a buried channel disposed in a workpiece, a gate dielectric disposed over the buried channel, and a gate layer disposed over the gate dielectric. The gate layer comprises an I shape in a top view of the transistor. | 02-13-2014 |
20140054663 | SOLID-STATE IMAGE SENSOR AND IMAGING SYSTEM - At least one exemplary embodiment is directed to a solid state image sensor including at least one antireflective layer and/or non rectangular shaped wiring layer cross section to reduce dark currents and 1/f noise. | 02-27-2014 |
20140061738 | Method to Form a CMOS Image Sensor - The present disclosure relates to a method and composition to limit crystalline defects introduced in a semiconductor device during ion implantation. A high-temperature low dosage implant is performed utilizing a tri-layer photoresist which maintains the crystalline structure of the semiconductor device while limiting defect formation within the semiconductor device. The tri-layer photoresist comprises a layer of spin-on carbon deposited onto a substrate, a layer of silicon containing hard-mask formed above the layer of spin-on carbon, and a layer of photoresist formed above the layer of silicon containing hard-mask. A pattern formed in the layer of photoresist is sequentially transferred to the silicon containing hard-mask, then to the spin-on carbon, and defines an area of the substrate to be selectively implanted with ions. | 03-06-2014 |
20140061739 | SEMICONDUCTOR DEVICE AND DRIVING METHOD THEREOF - A transistor a gate of which, one of a source and a drain of which, and the other are electrically connected to a selection signal line, an output signal line, and a reference signal line, respectively and a photodiode one of an anode and a cathode of which and the other are electrically connected to a reset signal line and a back gate of the transistor, respectively are included. The photodiode is forward biased to initialize the back-gate potential of the transistor, the back-gate potential is changed by current of the inversely-biased photodiode flowing in an inverse direction in accordance with the light intensity, and the transistor is turned on to change the potential of the output signal line, so that a signal in accordance with the intensity is obtained. | 03-06-2014 |
20140070288 | IMAGE PICKUP DEVICE AND METHOD OF MANUFACTURING THE SAME - To prevent deterioration in the sensitivity of a pixel part caused by variation in the distance between a waveguide and a photo diode and by decay of light due to suppression of reflection of entering light. In a pixel region, there is formed a waveguide which penetrates through a fourth interlayer insulating film or the like and reaches a sidewall insulating film. The sidewall insulating film is configured to have a stacked structure of a silicon oxide film and a silicon nitride film. The waveguide is formed so as to penetrate through even the silicon nitride film of the sidewall insulating film and to reach the silicon oxide film of the sidewall insulating film, or so as to reach the silicon nitride film of the sidewall. | 03-13-2014 |
20140077283 | IMAGE SENSORS HAVING BURIED LIGHT SHIELDS WITH ANTIREFLECTIVE COATING - An image sensor with an array of image sensor pixels is provided. Each image pixel may include a photodiode and associated pixel circuits formed in a semiconductor substrate. Buried light shielding structures may be formed on the substrate to prevent pixel circuitry that is formed in the substrate between two adjacent photodiodes from being exposed to incoming light. The buried light shielding structures may be lined with absorptive antireflective coating material to prevent light from being reflected off the surface of the buried light shielding structures. Forming buried light shielding structures with absorptive antireflective coating material can help reduce optical pixel crosstalk and enhance global shutter efficiency. | 03-20-2014 |
20140091377 | Implant Isolated Devices and Method for Forming the Same - A device includes a semiconductor substrate and implant isolation region extending from a top surface of the semiconductor substrate into the semiconductor substrate surrounding an active region. A gate dielectric is disposed over an active region of the semiconductor substrate, wherein the gate dielectric extends over the implant isolation region. A gate electrode is disposed over the gate dielectric and an end cap dielectric layer is between the gate dielectric and the gate electrode over the implant isolation region. | 04-03-2014 |
20140103410 | PARTIAL BURIED CHANNEL TRANSFER DEVICE IN IMAGE SENSORS - An image sensor pixel includes a photosensitive element, a floating diffusion (“FD”) region, and a transfer device. The photosensitive element is disposed in a substrate layer for accumulating an image charge in response to light. The FD region is dispose in the substrate layer to receive the image charge from the photosensitive element. The transfer device is disposed between the photosensitive element and the FD region to selectively transfer the image charge from the photosensitive element to the FD region. The transfer device includes a gate, a buried channel dopant region and a surface channel region. The gate is disposed between the photosensitive element and the FD region. The buried channel dopant region is disposed adjacent to the FD region and underneath the gate. The surface channel region is disposed between the buried channel dopant region and the photosensitive element and disposed underneath the gate. | 04-17-2014 |
20140103411 | STACKED CHIP IMAGE SENSOR WITH LIGHT-SENSITIVE CIRCUIT ELEMENTS ON THE BOTTOM CHIP - An example imaging sensor system includes a backside-illuminated CMOS imaging array formed in a first semiconductor layer of a first wafer. The CMOS imaging array includes an N number of pixels, where each pixel includes a photodiode region. The first wafer is bonded to a second wafer at a bonding interface between a first metal stack of the first wafer and a second metal stack of the second wafer. A storage device is disposed in a second semiconductor layer of the second wafer. The storage device includes at least N number of storage cells, where each of the N number of storage cells are configured to store a signal representative of image charge accumulated by a respective photodiode region. Each storage cell includes a circuit element that is sensitive to light-induced leakage. | 04-17-2014 |
20140103412 | THREE-DIMENSIONAL IMAGE SENSORS AND METHODS OF FABRICATING THE SAME - A three-dimensional image sensor includes a first photoelectric converter in a first pixel region of a substrate, a second photoelectric converter in a second pixel region of the substrate, a first transfer gate structure disposed on the substrate at one side of the first photoelectric converter, a second transfer gate structure and a drain gate structure disposed on the substrate at opposite sides of the second photoelectric converter and whose gate insulating layers are thinner the gate insulating layer of the first transfer gate structure. The gate insulating layers can be fabricated by forming a first insulating layer on the pixel regions of the substrate, removing part of the first insulating layer from the second pixel region, and subsequently forming a second insulating layer on the substrate including over a part of the first insulating layer which remains on the first pixel region. | 04-17-2014 |
20140103413 | CMOS IMAGE SENSORS WITH PHOTOGATE STRUCTURES AND SENSING TRANSISTORS, OPERATION METHODS THEREOF, AND IMAGE PROCESSING SYSTEMS INCLUDING THE SAME - ACMOS image sensor includes a pixel array having a plurality of pixels. Each of the plurality of pixels includes: a photogate structure configured to be controlled based on a first gate voltage; and a sensing transistor including a charge pocket region formed in a substrate region, the sensing transistor being configured to be controlled based on a second gate voltage. Based on the first gate voltage, the photogate structure is configured to integrate charges generated in response to light incident on the substrate region. The sensing transistor is configured to adjust at least one of a threshold voltage of the sensing transistor and a current flow in the sensing transistor according to charges transferred from the photogate structure to the charge pocket region based on a difference between the first gate voltage and the second gate voltage. | 04-17-2014 |
20140117428 | Image Sensor - Disclosed is an image sensor including a photodiode region on a first conductive type semiconductor substrate; a first floating diffusion region having a second conductive type, separate from the photodiode region; a second floating diffusion region having the second conductive type, separate from the first floating diffusion region; a first gate on the semiconductor substrate between the photodiode region and the first floating diffusion region; and a second gate on the semiconductor substrate between the first floating diffusion region and the second floating diffusion region, wherein the semiconductor substrate and the first floating diffusion region forms a junction area that is larger than that of the semiconductor substrate and the second floating diffusion region. | 05-01-2014 |
20140117429 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes a substrate, a photoelectric conversion section, a first impurity layer having a carrier polarity of a second conductivity type, a charge-to-voltage converting section, an amplifying section, and a second impurity layer having a carrier polarity of the second conductivity type. The second impurity layer is disposed in a region between the photoelectric conversion section and the amplifying section. The second impurity concentration of the second P-type impurity layer is made higher than the first impurity concentration of the first impurity layer. | 05-01-2014 |
20140124843 | Photo Sensor - Disclosed is a photo sensor including a first conductive type semiconductor substrate, a photodiode region in a light receiving region of the semiconductor substrate, a first transistor including a first gate, a first source region and a first drain region, the first transistor being adjacent to the photodiode region, and a light-absorption control layer in a first region of the photodiode region, the light-absorption control layer exposing a second region of the photodiode region, wherein the first region is spaced apart from the first source region, and the second region is another portion of the photodiode region contacting the first source region. | 05-08-2014 |
20140131779 | IMAGING ELEMENT AND METHOD OF MANUFACTURING THE SAME - A solid-state image sensor including a substrate having a photoelectric conversion element disposed therein, the photoelectric conversion element converting an amount of incident light into a charge amount, a memory unit disposed at a side of the photoelectric conversion element, the memory unit receiving the charge amount from the photoelectric conversion element, a first light-shielding section formed at a first side of the memory unit and disposed between the charge accumulation region and the photoelectric conversion element, and a second light-shielding section formed at a second side of the memory unit such that the second side is opposite the first side. | 05-15-2014 |
20140145252 | Thin Film Transistor Array Substrate for Digital Photo-Detector - A thin film transistor array substrate for a digital photo-detector is provided. The photo-detector includes a plurality of gate lines to supply a scan signal; a plurality of data lines to output data, the data lines arranged in a direction crossing the gate lines, wherein cell regions are defined by the gate lines and the data lines; a photodiode in each of the cell regions to perform photoelectric conversion; a thin film transistor at each intersection between the gate lines and the data lines to output a photoelectric conversion signal from the photodiode to the data lines in response to a scan signal supplied by the gate lines; and a light-shielding layer over each channel region of the respective thin film transistors. Each light-shielding layer is electrically connected to the respective gate line. | 05-29-2014 |
20140159129 | NEAR-INFRARED-VISIBLE LIGHT ADJUSTABLE IMAGE SENSOR - The disclosure belongs to the field of semiconductor photoreceptors, in particular to a near-infrared-visible light adjustable image sensor. By adding a transfer transistor, the disclosure integrates a silicon-based photoelectric diode and a silicon germanium-based photoelectric diode on the same chip to realize that the silicon-based photoelectric diode and a silicon germanium-based photoelectric diode are controlled by the same readout circuit at different time, thus widening the spectrum response scope of the photoreceptor, realizing high integration and multifunction of the chip and reducing the manufacturing cost of the chip. The disclosure is applicable for intermediate and high-end products with low power consumption and photoreceptors for specific wave bands, in particular to military, communicative and other special fields. | 06-12-2014 |
20140183607 | Complementary Metal-Oxide-Semiconductor (CMOS) X-Ray Detector With A Repaired CMOS Pixel Array - A digital X-ray detector includes a scintillator that is configured to absorb radiation emitted from an X-ray radiation source and to emit light photons in response to the absorbed radiation. The detector also includes a complementary metal-oxide-semiconductor (CMOS) light imager that is configured to absorb the light photons emitted by the scintillator. The CMOS light imager includes a first surface and a second surface. The first surface is disposed opposite the second surface. The scintillator contacts the first surface of the CMOS light imager. The CMOS light imager further includes a repaired CMOS pixel array with at least one defective CMOS pixel isolated from a common column data line, a row select scan line, or a rest line within the CMOS pixel array. | 07-03-2014 |
20140183608 | MAGNETIC RANDOM ACCESS MEMORY HAVING PERPENDICULAR ENHANCEMENT LAYER AND INTERFACIAL ANISOTROPIC FREE LAYER - The present invention is directed to a spin transfer torque (STT) MRAM device having a perpendicular magnetic tunnel junction (MTJ) memory element. The memory element includes a perpendicular MTJ structure in between a non-magnetic seed layer and a non-magnetic cap layer. The MTJ structure comprises a magnetic free layer structure and a magnetic reference layer structure with an insulating tunnel junction layer interposed therebetween, an anti-ferromagnetic coupling layer formed adjacent to the magnetic reference layer structure, and a magnetic fixed layer formed adjacent to the anti-ferromagnetic coupling layer. At least one of the magnetic free and reference layer structures includes a non-magnetic perpendicular enhancement layer, which improves the perpendicular anisotropy of magnetic layers adjacent thereto. | 07-03-2014 |
20140191303 | SEMICONDUCTOR DEVICES INCLUDING BACK-SIDE INTEGRATED CIRCUITRY - Semiconductor devices may include a semiconductor substrate comprising at least one of transistors and capacitors may be located at an active surface of the semiconductor substrate. An imperforate dielectric material may be located on the active surface, the imperforate dielectric material covering the at least one of transistors and the capacitors. Electrically conductive material in contact openings may be electrically connected to the at least one of transistors and capacitors and extend to a back side surface of the semiconductor substrate. Laterally extending conductive elements may extend over the back side surface of the semiconductor substrate and may be electrically connected to the conductive material in the contact openings. At least one laterally extending conductive element may be electrically connected to a first transistor or capacitor and may extend laterally underneath a second, different transistor or capacitor to which the laterally extending conductive element is not electrically connected. | 07-10-2014 |
20140239361 | METHODS AND APPARATUS FOR SUPPRESSING CROSS TALK IN CMOS IMAGE SENSORS - A CMOS image sensor with reduced crosstalk includes a semiconductor substrate formed with a plurality of photodiodes formed therein, a dielectric layer formed on the semiconductor substrate, a reflective layer formed on the dielectric layer, and an insulating layer formed on the reflective layer. A plurality of grooves is formed in the dielectric layer, the reflective layer, and the insulating layer above a corresponding photodiode. Each groove is filled with a color filter material to form a color filter above the photodiode. The image sensor also includes a planarization layer formed on the insulating layer and color filter. A microlens is formed on the planarizing layer. The light reflecting layer prevents stray light diffraction line crosstalk into an adjacent photodiode. The color filter grooves confine the target image light only through the filters in the groove window to reach the photodiode. | 08-28-2014 |
20140246713 | SEMICONDUCTOR STRUCTURE, METHOD FOR FORMING THE SAME AND METHOD FOR SUPPRESSING HOT CLUSTER - A semiconductor structure for suppressing hot clusters includes a substrate of a first dopant concentration, an epitaxial layer having a second dopant concentration smaller than the first dopant concentration and directly disposed on the substrate, a dopant gradient region disposed in the epitaxial layer and having a gradient decreasing from the substrate to the epitaxial layer, a shallow trench isolation disposed between a first element region and a second element region, and a shallow trench doping region surrounding the shallow trench isolation and near the dopant gradient region to suppress a hot cluster formed by the first element region to jeopardize the second element region. | 09-04-2014 |
20140252437 | DEPTH PIXEL INCLUDED IN THREE-DIMENSIONAL IMAGE SENSOR AND THREE-DIMENSIONAL IMAGE SENSOR INCLUDING THE SAME - A depth pixel includes a photo detection region, first and second photo gates and first and second floating diffusion regions. The photo detection region collects photo charges based on light reflected by an object. The collected photo charges are drifted in a first direction and a second direction different from the first direction based on an internal electric field in the photo detection region. The first photo gate is activated in response to a first photo control signal. The first floating diffusion region accumulates first photo charges drifted in the first direction if the first photo gate is activated. The second photo gate is activated in response to the first photo control signal. The second floating diffusion region accumulates second photo charges drifted in the second direction if the second photo gate is activated. | 09-11-2014 |
20140264503 | Active Pixel Sensor Having a Raised Source/Drain - An integrated circuit having an array of APS cells. Each cell in the array has at least one transistor source or drain region that is raised relative to a channel region formed in a semiconductor substrate. The raised source or drain region includes doped polysilicon deposited on the surface of the semiconductor body and a region of the bodyextending to the channel region that has been doped to an opposite doping type from that of the channel region by diffusion of dopants from the deposited polysilicon. | 09-18-2014 |
20140264504 | Method and Apparatus for Low Resistance Image Sensor Contact - A method and apparatus for a low resistance image sensor contact, the apparatus comprising a photosensor disposed in a substrate, a first ground well disposed in a first region of the substrate, the first ground well having a resistance lower than the substrate, and a ground line disposed in a region adjacent to the first ground well. The first ground well is configured to provide a low resistance path to the ground line from the substrate for excess free carriers in the first region of the substrate. The apparatus may optionally comprise a second ground well having a lower resistance than the first ground well and disposed between the first ground well and the ground line, and may further optionally comprise a third ground well having a lower resistance than the second ground well and disposed between the second ground well and the ground line. | 09-18-2014 |
20140264505 | Methods for Measuring the Full Well Capacity of CMOS Image Sensors - An integrated circuit device includes a transfer-gate transistor, and a photo diode connected to a source/drain region of the transfer-gate transistor. An electrical fuse is electrically coupled to a gate of the transfer-gate transistor. A diode is electrically coupled to the electrical fuse. | 09-18-2014 |
20140264506 | METHODS AND APPARATUS FOR CMOS SENSORS - Methods and apparatus for a sensor are disclosed. An oxide layer is formed on a substrate, followed by a spacer layer and a buffer layer. A photoresist layer is formed on the buffer layer over a pixel region, with an opening exposing a first part of the buffer layer. A first etching is performed to remove the first part of the buffer layer to expose a first part of the spacer layer. A second etching is performed to remove the first part of the spacer layer, the remaining buffer layer, and partially remove a second part of the spacer layer so that the result spacer layer will have an end with a shape substantially similar to a triangle, a height of the end is in a substantially same range as a length of the end. | 09-18-2014 |
20140264507 | Fluorine Passivation in CMOS Image Sensors - CMOS imaging sensors having fluorine-passivated structures to reduce dark current are disclosed together with methods of making thereof. The CIS comprises an array of pixels on a substrate, each pixel comprising a pinned photodiode, an isolation trench adjacent to the pinned photodiode, and a plurality of transistors. Methods of preparing a CIS comprise providing a source of fluorine (F) atoms, and annealing in the presence of the source of F atoms. After the annealing, at least one silicon-containing surface or region in the CIS comprises Si—F bonds and is fluorine passivated. | 09-18-2014 |
20140264508 | Structure and Method for 3D Image Sensor - The present disclosure provides an embodiment of an image sensor structure that includes a first semiconductor substrate having a plurality of imaging sensors; a first interconnect structure formed on the first semiconductor substrate; a second semiconductor substrate having a logic circuit; a second interconnect structure formed on the second semiconductor substrate, wherein the first and the second semiconductor substrates are bonded together in a configuration that the first and second interconnect structures are sandwiched between the first and second semiconductor substrates; and a backside deep contact (BDCT) feature extended from the first interconnect structure to the second interconnect structure, thereby electrically coupling the logic circuit to the image sensors. | 09-18-2014 |
20140264509 | Image Sensors Including Hydrophobic Interfaces and Methods of Fabricating the Same - A method of fabricating an image sensor device includes forming an insulating layer on a substrate including a photodiode therein, and forming a wiring structure on the insulating layer. The wiring structure includes at least one wiring layer and at least one insulating interlayer. A cavity is formed extending into the wiring structure over the photodiode to expose a surface of the at least one insulating interlayer. The surface of the at least one insulating interlayer exposed by the cavity is modified to define a hydrophobic surface. Related systems and devices are also discussed. | 09-18-2014 |
20140291743 | PHOTOELECTRIC CONVERSION APPARATUS, IMAGING APPARATUS USING THE SAME, AND MANUFACTURING METHOD THEREOF - A photoelectric conversion apparatus includes: an active matrix-type TFT array substrate on which photoelectric conversion elements and thin film transistors are arranged in a matrix shape, wherein the photoelectric conversion element connects with a drain electrode via a contact hole opened through a first interlayer insulation film provided above the thin film transistor, wherein a data line and a bias line are connected with the source electrode and the photoelectric conversion element via respective contact holes opened through the second interlayer insulation, and wherein at least a part of the photoelectric conversion element is fixed to have a shape different from a normal pixel between pixels adjacent to each other in an extending direction of the gate line, and an electrical connection between the photoelectric conversion element and the data line is cut off in the transistor of the pixel having the different shape. | 10-02-2014 |
20140299925 | CMOS IMAGE SENSOR WITH RESET SHIELD LINE - Techniques and mechanisms to improve potential well characteristics in a pixel cell. In an embodiment, a coupling portion of a pixel cell couples a reset transistor of the pixel cell to a floating diffusion node of the pixel cell, the reset transistor to reset a voltage of the floating diffusion node. In another embodiment, the pixel cell includes a shield line which extends athwart the coupling portion, where the shield line is to reduce a parasitic capacitance of the reset transistor to the floating diffusion node. | 10-09-2014 |
20140306276 | SOLID-STATE IMAGING DEVICE AND IMAGING APPARATUS - The present technique relates to a solid-state imaging device and an imaging apparatus that enable provision of a solid-state imaging device having superior color separation and high sensitivity. | 10-16-2014 |
20140319588 | SEMICONDUCTOR DEVICE - Provided is a semiconductor device having good properties. Particularly, the semiconductor device is provided which can improve imaging properties. The semiconductor device (CMOS image sensor) includes a plurality of pixels, each having a photodiode PD for generating a charge by receiving light, and a transfer transistor TX for transferring the charge generated by the photodiode PD. The semiconductor device further includes an active region AcTP with the photodiode, and an active region AcG located on an upper side of the region AcTP in the planar direction and having a contact Pg to which a ground potential is applied. A gettering region GET is disposed in the active region AcG. | 10-30-2014 |
20140327060 | Semiconductor Sensor Structures with Reduced Dislocation Defect Densities and Related Methods for the Same - Non-silicon based semiconductor devices are integrated into silicon fabrication processes by using aspect-ratio-trapping materials. Non-silicon light-sensing devices in a least a portion of a crystalline material can output electrons generated by light absorption therein. Exemplary light-sensing devices can have relatively large micron dimensions. As an exemplary application, complementary-metal-oxide-semiconductor photodetectors are formed on a silicon substrate by incorporating an aspect-ratio-trapping technique. | 11-06-2014 |
20140327061 | SUBSTRATE STACKED IMAGE SENSOR HAVING A DUAL DETECTION FUNCTION - The present invention relates to a substrate stacked image sensor having a dual detection function, in which when first to fourth photodiodes are formed in a first substrate, a fifth photodiode is formed in a second substrate, and the substrates are stacked and combined with each other, the first to fourth photodiodes and the fifth photodiode are combined with each other to obtain a complete photodiode as an element of one pixel, and signals individually detected in each photodiode are selectively read or added to be read according to necessity. To this end, the first to fourth photodiodes are formed in the first substrate, the fifth photodiode is formed in the second substrate, the first to fourth photodiodes and the fifth photodiode make electrical contact with each other, and pixel array sizes of the first substrate and the second substrate are allowed to be different from each other, so that sensor resolution of the first substrate and sensor resolution of the second substrate are different from each other. | 11-06-2014 |
20140332869 | SOLID-STATE IMAGE PICKUP DEVICE - A solid-state image pickup device | 11-13-2014 |
20140339614 | IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - The present invention provides an image sensor and a method of fabricating the same. The image sensor comprises a semiconductor substrate, a photosensitive component, and a pixel-readout circuit, characterized in that, the semiconductor substrate comprises a supporting substrate, a first insulating buried layer, a first semiconductor layer, a second insulating buried layer, and a second semiconductor layer covered on the semiconductor substrate in sequence; the first semiconductor layer and the second semiconductor layer have different thicknesses, such that the photosensitive component is in the thicker semiconductor layer, and the pixel-readout circuit is in the thinner semiconductor layer. To realize the image sensor mentioned above, two different methods are provided. Ion implantation and bonding method are used respectively to provide the first and second insulating buried layers, and the first and second semiconductor layer substrates, and then the image sensor is fabricated. The image sensor in the present invention has a well anti-radiation character and a well semiconductor character, and a photosensitive zone that has higher light absorption rate. | 11-20-2014 |
20140346578 | SOLID-STATE IMAGE SENSOR, METHOD OF MANUFACTURING THE SAME, AND IMAGE CAPTURING SYSTEM - A solid-state image sensor including a pixel unit arranged on a semiconductor substrate and including a plurality of photoelectric converters, and a peripheral circuit unit arranged on the semiconductor substrate and including MOS transistors and a capacitive element portion, wherein a gate insulating film of the MOS transistor in the peripheral circuit unit and an insulating film between facing electrodes of the capacitive element portion are nitrided, and a density of nitrogen atoms in the nitrided insulating film of the capacitive element portion is higher than the density of the nitrogen atoms in the nitrided insulating film of the MOS transistor in the peripheral circuit unit. | 11-27-2014 |
20140361355 | CMOS IMAGE SENSORS INCLUDING AN ISOLATION REGION ADJACENT A LIGHT-RECEIVING REGION - CMOS image sensors are provided. A CMOS image sensor may include a semiconductor substrate including a light-receiving region and a logic region adjacent the light-receiving region. The CMOS image sensor may include a photoelectric conversion region in the light-receiving region. Moreover, the CMOS image sensor may include an isolation region including an interface with a sidewall of the photoelectric conversion region. The isolation region may include a first refractive index that is smaller than a second refractive index of the semiconductor substrate, and the isolation region may be between the logic region and the sidewall of the photoelectric conversion region. | 12-11-2014 |
20140361356 | ACTIVE PIXEL SENSOR WITH NANOWIRE STRUCTURED PHOTODETECTORS - An imaging device formed as an active pixel array combining a CMOS fabrication process and a nanowire fabrication process. The pixels in the array may include a single or multiple photogates surrounding the nanowire. The photogates control the potential profile in the nanowire, allowing accumulation of photo-generated charges in the nanowire and transfer of the charges for signal readout. Each pixel may include a readout circuit which may include a reset transistor, charge transfer switch transistor, source follower amplifier, and pixel select transistor. A nanowire is generally structured as a vertical rod on the bulk semiconductor substrate to receive light energy impinging onto the tip of the nanowire. The nanowire may be configured to function as either a photodetector or a waveguide configured to guild the light to the substrate. Light of different wavelengths can be detected using the imaging device. | 12-11-2014 |
20150008493 | ACTIVE PIXEL STRUCTURE WITH IMPROVED CHARGE TRANSFER - The invention relates to an active CMOS pixel structure comprising: at least one photoelectric conversion zone (NPD) defined by n-doping of the substrate, said zone accumulating an amount of charge during an exposure to light and comprising a p-doped surface zone (PIN); and at least one MOS transfer transistor (TX), the gate of said transfer transistor (TX) being electrically insulated from the substrate and being used to control transfer of said charge from said photoelectric conversion zone (NPD) to said floating diffusion node (FD), in which the gate of said transfer transistor (TX) partially covers said p-doped surface zone (PIN), and said photoelectric conversion zone (NPD) extends under said gate of said transfer transistor (TX) at least as far as the end of the p-doped surface zone (PIN). | 01-08-2015 |
20150008494 | SOLID-STATE IMAGE SENSOR AND IMAGING SYSTEM - At least one exemplary embodiment is directed to a solid state image sensor including at least one antireflective layer and/or non rectangular shaped wiring layer cross section to reduce dark currents and 1/f noise. | 01-08-2015 |
20150014753 | SOLID-STATE IMAGING DEVICE - Capacitance between a detection capacitor and a reset transistor is the largest among the capacitances between the detection capacitor and transistors placed around the detection capacitor. In order to reduce this capacitance, it is effective to reduce the channel width of the reset transistor. It is possible to reduce the effective channel width by distributing, in the vicinity of the channel of the reset transistor and the boundary line between an active region and an element isolation region, ions which enhance the generation of carriers of an opposite polarity to the channel. | 01-15-2015 |
20150014754 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - Provided are an image sensor and a method of manufacturing the same. The image sensor includes a support substrate, a wire layer disposed under the support substrate, an epitaxial layer disposed under the wire layer, and a photodiode disposed in the epitaxial layer. The epitaxial layer has an off angle of about 0.3° to about 1.5° with respect to a [001] crystal orientation. | 01-15-2015 |
20150021674 | RADIATION IMAGE PICKUP UNIT AND RADIATION IMAGE PICKUP DISPLAY SYSTEM - A radiation image pickup unit includes: a plurality of pixels each configured to generate a signal charge based on a radiation; and a field effect transistor to readout the signal charges from the plurality of pixels. The transistor includes a semiconductor layer including an active layer, a first gate electrode disposed to face the semiconductor layer, a first gate insulating film provided between the semiconductor layer and the first gate electrode, and including a first silicon oxide film, a source electrode and a drain electrode that are electrically connected to the semiconductor layer, and a second silicon oxide film provided in a layer different from the first gate insulating film. The first silicon oxide film of the first gate insulating film is a porous film lower in film density than the second silicon oxide film. | 01-22-2015 |
20150028402 | PHOTODIODE GATE DIELECTRIC PROTECTION LAYER - The present disclosure relates to a method the present disclosure relates to an active pixel sensor having a gate dielectric protection layer that reduces damage to an underlying gate dielectric layer during fabrication, and an associated method of formation. In some embodiments, the active pixel sensor has a photodetector disposed within a semiconductor substrate. A transfer transistor having a first gate structure is located on a first gate dielectric layer disposed above the semiconductor substrate. A reset transistor having a second gate structure is located on the first gate dielectric layer. A gate dielectric protection layer is disposed onto the gate oxide at a position extending between the first gate structure and the second gate structure and over the photodetector. The gate dielectric protection layer protects the first gate dielectric layer from etching procedures during fabrication of the active pixel sensor. | 01-29-2015 |
20150028403 | Semiconductor Switching Device Separated by Device Isolation - A device including a gate structure formed over a semiconductor substrate, the gate structure having extensions, a device isolation structure formed into the semiconductor substrate adjacent the gate structure, wherein the extensions are over a portion of the device isolation structure, and source/drain regions on both sides of the gate structure, the source/drain regions being formed in a gap in the device isolation structure and being partially enclosed by the extensions of the gate structure. | 01-29-2015 |
20150028404 | SEMICONDUCTOR DEVICE WITH ISOLATION INSULATING LAYER CONTAINING AIR GAP - A semiconductor device having a solid-state image sensor which can prevent inter-pixel crosstalk more reliably. The device includes: a semiconductor substrate having a main surface; a first conductivity type impurity layer located over the main surface of the substrate; a photoelectric transducer including a first conductivity type impurity region and a second conductivity type impurity region which are joined to each other over the first conductivity type impurity layer; and transistors which configure a unit pixel including the photoelectric transducer and are electrically coupled to the photoelectric transducer. At least part of the area around the photoelectric transducer in a plan view contains an air gap and also has an isolation insulating layer for electrically insulating the photoelectric transducer and a photoelectric transducer adjacent to it from each other. The isolation insulating layer abuts on the top surface of the first conductivity type impurity layer. | 01-29-2015 |
20150035028 | Image Sensor with Buried Light Shield and Vertical Gate - A pixel in an image sensor can include a photodetector and a storage region disposed in one substrate, or a photodetector disposed in one substrate and a storage region in another substrate. A buried light shield is disposed between the photodetector and the storage region. A sense region, such as a floating diffusion, can be adjacent to the storage region, with the buried light shield disposed between the photodetector and the storage and sense regions. When the photodetector and the storage region are disposed in separate substrates, a vertical gate can be formed through the buried light shield and used to initiate the transfer of charge from the photodetector and the storage region. A transfer channel formed adjacent to, or around the vertical gate provides a channel for the charge to transfer from the photodetector to the storage region. | 02-05-2015 |
20150035029 | IMAGING DEVICE, ELECTRONIC APPARATUS, AND METHOD OF MANUFACTURING IMAGING DEVICE - An imaging device includes: a photodiode configured to perform photoelectric conversion and to generate electric charge in accordance with an amount of received light; a floating diffusion section configured to accumulate the electric charge generated in the photodiode; a reading circuit configured to output a pixel signal having a voltage in accordance with a level of the electric charge accumulated in the floating diffusion section, the reading circuit including one or a plurality of transistors each having a gate that is electrically connected to a wiring used for selecting a pixel; and an insulating section extending into part or whole of a bottom surface of the floating diffusion section, part or whole of bottom surfaces of source-drain regions in the one or the plurality of transistors, or both. The photodiode, the floating diffusion section, the reading circuit, and the insulating section are provided in a semiconductor layer. | 02-05-2015 |
20150035030 | SOLID-STATE IMAGING APPARATUS FOR CAUSING AN FD CAPACITOR VALUE TO BE VARIABLE WITHOUT INCREASING A NUMBER OF ELEMENTS - A solid-state imaging apparatus wherein an FD capacitor value is variable without increasing the number of elements. There is provided a solid-state imaging apparatus including a plurality of photoelectric conversion elements arranged in a horizontal direction and a vertical direction, for generating an electric charge by photoelectric conversion; a plurality of transfer transistors each connected to each of the photoelectric conversion elements, for transferring the electric charge generated by the plurality of photoelectric conversion elements; a plurality of floating diffusion regions for holding the electric charge transferred by the transfer transistors; a plurality of amplifiers each connected to each of the floating diffusion regions, for amplifying a signal based on the electric charge in the plurality of floating diffusion regions; and a connecting unit for connecting and disconnecting between the plurality of floating diffusion regions. | 02-05-2015 |
20150041871 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, CAMERA, AND ELECTRONIC DEVICE - A solid-state imaging device is provided, which includes a photodiode having a first conductivity type semiconductor area that is dividedly formed for each pixel; a first conductivity type transfer gate electrode formed on the semiconductor substrate via a gate insulating layer in an area neighboring the photodiode, and transmitting signal charges generated and accumulated in the photodiode; a signal reading unit reading a voltage which corresponds to the signal charge or the signal charge; and an inversion layer induction electrode formed on the semiconductor substrate via the gate insulating layer in an area covering a portion or the whole of the photodiode, and composed of a conductor or a semiconductor having a work function. An inversion layer is induced, which is formed by accumulating a second conductivity type carrier on a surface of the inversion layer induction electrode side of the semiconductor area through the inversion layer induction electrode. | 02-12-2015 |
20150041872 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME - A solid-state imaging device with a semiconductor substrate; a pixel formation region in the substrate and including a pixel made of a photoelectric conversion element; and an element isolation portion in the substrate and including an element isolation insulating layer and an impurity element isolation region. The element isolation insulating layer is positioned in a surface of the substrate. The impurity element isolation region is positioned under the element isolation insulating layer and within the substrate. The impurity element isolation region has at least a portion with a width that is narrower than that of the element isolation insulating layer. The photoelectric conversion element extends to a position under the element isolation insulating layer of the element isolation portion. | 02-12-2015 |
20150054042 | PHOTODIODE OF HIGH QUANTUM EFFICIENCY - A photodiode includes at least one central pad arranged on a light-receiving surface of a photodiode semiconductor substrate. The pad is made of a first material and includes lateral sidewalls surrounded by a spacer made of a second material having a different optical index than the first material. The lateral dimensions of the pad are smaller than an operating wavelength of the photodiode. Both the first and second materials are transparent to that operating wavelength. The pads and spacers are formed at a same time gate electrodes and sidewall spacers of MOS transistors are formed. | 02-26-2015 |
20150060964 | MECHANISMS FOR FORMING IMAGE SENSOR DEVICE - Embodiments of mechanisms for forming an image sensor device are provided. The image sensor device includes a semiconductor substrate and one photodetector formed in the semiconductor substrate. The image sensor device also includes one gate stack formed over the semiconductor substrate. The gate stack includes multiple polysilicon layers. | 03-05-2015 |
20150060965 | PHOTODETECTING DEVICE HAVING SEMICONDUCTOR REGIONS SEPARATED BY A POTENTIAL BARRIER - Photodetecting device comprising:
| 03-05-2015 |
20150060966 | IMAGE SENSORS WITH SILICIDE LIGHT SHIELDS - An image sensor with an array of image sensor pixels is provided. Each image pixel may include a photodiode and associated pixel circuits formed in a semiconductor substrate. Buried light shielding structures may be formed on the substrate to prevent pixel circuitry that is formed in the substrate between two adjacent photodiodes from being exposed to incoming light. The buried light shields may be formed over conductive gate structures. A metal silicide layer may be formed to completely cover these conductive gate structures. Antireflective coating material may optionally be formed over the metal silicide layer. Forming gate structures with a metal silicide liner can help reduce optical pixel crosstalk and enhance global shutter efficiency. | 03-05-2015 |
20150060967 | SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND SEMICONDUCTOR UNIT - A semiconductor device includes: a semiconductor substrate having a first surface and a second surface that face each other, and having an element region and an isolation region, the element region including a transistor in the first surface, and the isolation region including an element isolation layer surrounding the element region; and a contact plug extending from the first surface to the second surface in the isolation region of the semiconductor substrate. | 03-05-2015 |
20150060968 | STACK CHIP PACKAGE IMAGE SENSOR - An image sensor cell is divided into two chips, and a capacitor for noise reduction is formed in a bottom wafer in correspondence with a unit pixel of a top wafer in a stack chip package image sensor having a coupling structure of the two chips, so that noise characteristics of the image sensor are improved. A stack chip package image sensor includes: a first semiconductor chip that includes a photodiode, a transmission transistor, and a first conductive pad and outputs image charge, which is output from the photodiode, through the first conductive pad; and a second semiconductor chip that includes a drive transistor, a selection transistor, a reset transistor, and a second conductive pad and supplies a corresponding pixel with an output voltage corresponding to the image charge received from the first semiconductor chip through the second conductive pad. The second semiconductor chip includes a capacitor for noise reduction. | 03-05-2015 |
20150069476 | Engineering Induced Tunable Electrostatic Effect - Backside illuminated sensors and methods of manufacture are described. Specifically, a backside illuminated sensor with a dipole modulating layer near the photodiode is described. | 03-12-2015 |
20150069477 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREOF - According to one embodiment, a solid-state imaging device includes a photodiode includes an N-type region and a P-type region, a floating diffusion region, and a transfer transistor. The N-type diffusion region of the photodiode comprises a first semiconductor region and a second semiconductor region formed shallower than the first semiconductor region. An end portion of the first semiconductor region is positioned on the floating diffusion region side rather than an end portion of a gate electrode of the transfer transistor. An end portion of the second semiconductor region is set in substantially the same position as that of the end portion of the gate electrode of the transfer transistor. | 03-12-2015 |
20150069478 | SOLID-STATE IMAGING DEVICE - A MOS solid-state imaging device is provided in which withstand voltage and 1/f noise of a MOS transistor are improved. | 03-12-2015 |
20150076573 | METHOD FOR PRODUCING AN OPTICAL FILTER IN AN INTEGRATED CIRCUIT, AND CORRESPONDING INTEGRATED CIRCUIT - An integrated circuit includes a substrate and an interconnect part above the substrate, and further includes a photosensitive region in the substrate. A filter is provided aligned with the photosensitive region. The filter is formed by at least one layer of filter material. In one implementation for front side illumination, the layer of filter material is positioned above the photosensitive region between the interconnect part and the substrate. In another implementation for back side illumination, the layer of filter material is positioned below the photosensitive region opposite the interconnect part. The layer of filter material is configured such that a product of the thickness of the layer of filter material and the imaginary part of the refractive index of the layer of filter material is above 1 nm. | 03-19-2015 |
20150076574 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREFOR - A solid-state imaging device includes a first and second pixel regions. In the first pixel region, a photoelectric conversion unit, a floating diffusion region (FD), and a transferring transistor are provided. In the second pixel region, an amplifying transistor, and a resetting transistor are provided. A first element isolation portion is provided in the first pixel region, while a second element isolation portion is provided in the second pixel region. An amount of protrusion of an insulating film into a semiconductor substrate in the first element isolation portion is smaller, than that in the second element isolation portion. | 03-19-2015 |
20150084106 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE DEVICE - A solid-state imaging device includes unit pixels formed on a semiconductor substrate. Each of the unit pixels includes a photoelectric converter, a floating diffusion, a pinning layer, and a pixel transistor. The pixel transistor includes a gate electrode formed on the semiconductor substrate, a source diffusion layer, and a drain diffusion layer. At least one of the source diffusion layer or the drain diffusion layer functions as the floating diffusion. The pinning layer is covered by the floating diffusion at a bottom and a side at a channel of the pixel transistor. A conductivity type of the floating diffusion is opposite to that of the pinning layer. | 03-26-2015 |
20150097219 | IMAGE CAPTURING DEVICE - An image capturing device includes an intermediate region located between a pixel circuit region and a peripheral circuit region and forming a boundary with the pixel circuit region and the peripheral circuit region. The pixel circuit region, the peripheral circuit region, and the intermediate region are provided with a semiconductor layer, a first wiring layer on the semiconductor layer, and a second wiring layer located away from the semiconductor layer relative to the first wiring layer. Pixel circuits and a peripheral circuit are connected via one of at least the first wiring layer and the second wiring layer in the intermediate region. The area occupancy of the one wiring layer in the intermediate region relative to a total area thereof is between 0.5 times and 1.5 times the area occupancy of the one wiring layer in the pixel circuit region relative to a total area thereof. | 04-09-2015 |
20150108556 | SEMICONDUCTOR DEVICE AND DRIVING METHOD THEREOF - A semiconductor device includes a photodiode, a first transistor, a second transistor, and a third transistor. The second transistor and the third transistor have a function of retaining a charge accumulated in a gate of the first transistor. In a period during which the second transistor and the third transistor are off, a voltage level of a voltage applied to a gate of the second transistor is set to be lower than a voltage level of a source of the second transistor and a voltage level of a drain of the second transistor, and a voltage level of a voltage applied to a gate of the third transistor is set to be lower than a voltage level of a source of the third transistor and a voltage level of a drain of the third transistor. | 04-23-2015 |
20150115337 | SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF - A semiconductor structure includes a substrate, an imaging pixel array disposed on a first region of the substrate, a first isolation disposed in the first region, a periphery circuitry disposed on a second region of the substrate, and a second isolation disposed in the second region. The imaging pixel array has a plurality of imaging pixels configured to capture image data. The periphery circuitry has a transistor configured to receive and process the image data. The first isolation has a first depth and a first protrusion projected from a surface of the substrate. The second isolation has a second depth and a second protrusion projected from the surface of the substrate. The first protrusion has a substantially same height as the second protrusion. The first depth is different from the second depth. | 04-30-2015 |
20150115338 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE - A solid-state imaging device according to an embodiment includes photoelectric conversion devices, a dopant layer, a low concentration region, and a transistor. The photoelectric conversion devices are disposed on a semiconductor layer. The dopant layer is disposed on a layer same as the semiconductor layer where photoelectric conversion devices are arrayed, and includes dopant having a conductivity type reverse to a charge accumulating region of the photoelectric conversion device. The low concentration region is disposed inside the dopant layer and has dopant concentration lower than the dopant layer. A transistor includes an active region disposed on the dopant layer. | 04-30-2015 |
20150115339 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes: pixels arranged in a matrix; a vertical signal line provided for each column, conveying a pixel signal; a power line provided for each column, proving a power supply voltage; and a feedback signal line provided for each column, conveying a signal from a peripheral circuit to a pixel, in which each of the pixels includes: an N-type diffusion layer; a photoelectric conversion element above the N-type diffusion layer; and a charge accumulation node between the N-type diffusion layer and the photoelectric conversion element, accumulating signal charge generated in the photoelectric conversion element, the feedback signal line, a metal line which is a part of the charge accumulation node, the vertical signal line, and the power line are disposed in a second interconnect layer, and the vertical signal line and the power line are disposed between the feedback signal line and the metal line. | 04-30-2015 |
20150115340 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD OF THE SAME, AND ELECTRONIC APPARATUS - A solid-state imaging device includes plural photodiodes which are formed in a photodiode area of a unit pixel with no element separating area interposed therebetween and in which impurity concentrations of pn junction areas are different from each other. | 04-30-2015 |
20150115341 | SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, AND IMAGING APPARATUS - A solid-state imaging device includes, in a semiconductor substrate, a pixel portion provided with a photoelectric conversion portion, which photoelectrically converts incident light to obtain an electric signal and a peripheral circuit portion disposed on the periphery of the pixel portion, wherein a gate insulating film of aMOS transistor in the peripheral circuit portion is composed of a silicon oxynitride film, a gate insulating film of aMOS transistor in the pixel portion is composed of a silicon oxynitride film, and an oxide film is disposed just above the photoelectric conversion portion in the pixel portion. | 04-30-2015 |
20150123178 | SOLID-STATE IMAGE SENSING DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention improves the performance of an image sensor. In a planar view, fluorine is introduced into a part overlapping with a channel region in a gate electrode GE | 05-07-2015 |
20150123179 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A solid-state imaging device includes two-dimensionally arranged pixels, and each pixel includes a photoelectric conversion element configured to generate charges according to a light amount of incident light and accumulate the charges therein; and at least one of an amplification transistor configured to amplify a voltage corresponding to the charges accumulated in the photoelectric conversion element, a readout transistor configured to read a signal of the voltage amplified by the amplification transistor, and a reset transistor configured to reset the charges accumulated in the photoelectric conversion element, a channel region of each transistor being formed in a direction perpendicular to a substrate. | 05-07-2015 |
20150123180 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE DEVICE - Each unit pixel includes a photoelectric converter, an n-type impurity region forming an accumulation diode together with the semiconductor region, the accumulation diode accumulating a signal charge generated by the photoelectric converter, an amplifier transistor including a gate electrode electrically connected to the impurity region, and an isolation region formed around the amplifier transistor and implanted with p-type impurities. The amplifier transistor includes an n-type source/drain region formed between the gate electrode and the isolation region, and a channel region formed under the gate electrode. A gap in the isolation region is, in a gate width direction, wider at a portion including the channel region than at a portion including the source/drain region. | 05-07-2015 |
20150129942 | SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor structure and a method for manufacturing the same are provided. A semiconductor structure includes a device substrate, a conductive film, a dielectric film and a conductive plug. The device substrate includes a semiconductor substrate and a conductive structure on an active surface of the semiconductor substrate. The device substrate has a substrate opening passing through the semiconductor substrate and exposing the conductive structure. The conductive film, the conductive plug and the dielectric film between the conductive film and the conductive plug are disposed in the substrate opening. | 05-14-2015 |
20150129943 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING A SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - Provided is a solid-state imaging device including a lamination-type backside illumination CMOS (Complementary Metal Oxide Semiconductor) image sensor having a global shutter function. The solid-state imaging device includes a separation film including one of a light blocking film and a light absorbing film between a memory and a photo diode. | 05-14-2015 |
20150129944 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - In a CMOS image sensor in which a plurality of pixels is arranged in a matrix, a transistor in which a channel formation region includes an oxide semiconductor is used for each of a charge accumulation control transistor and a reset transistor which are in a pixel portion. After a reset operation of the signal charge accumulation portion is performed in all the pixels arranged in the matrix, a charge accumulation operation by the photodiode is performed in all the pixels, and a read operation of a signal from the pixel is performed per row. Accordingly, an image can be taken without a distortion. | 05-14-2015 |
20150137199 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device according to the present disclosure includes: a charge storage region that stores a signal charge obtained through photoelectric conversion in a photoelectric conversion film; an amplification transistor that amplifies the signal charge stored in the charge storage region in a corresponding pixel; a contact plug that is electrically connected to the charge storage region and contains a semiconductor material; and a line that is disposed above the contact plug and contains a semiconductor material. The contact plug and the charge storage region are electrically connected, and the contact plug and a gate electrode of the amplification transistor are electrically connected via the line. | 05-21-2015 |
20150145007 | IMAGING SYSTEMS WITH IMAGE PIXELS HAVING ADJUSTABLE RESPONSIVITY - An imaging system may include an image sensor having an array of image pixels. Some image pixels in the array may be provided with responsivity adjustment structures. For example, broadband pixels in a pixel array may include responsivity adjustment circuitry. The responsivity adjustment circuitry may be configured to narrow the spectral response or to reduce the conversion gain of the broadband pixels in high light conditions. For example, a deep photodiode may divert charge away from a signal photodiode during an integration period. The deep photodiode may divert charge to a power supply or the charge may be transferred to a storage node and used in image processing, if desired. The responsivity adjustment circuitry may include channel-dependent conversion circuitry that is formed in pixels corresponding to a first color channel, while the conversion gains of pixels corresponding to a second color channel may remain fixed. | 05-28-2015 |
20150294999 | IMAGE SENSOR PIXEL STRUCTURE WITH OPTIMIZED UNIFORMITY - An image sensor includes at least a first row and a second row of photodiodes, each photodiode being coupled with an associated transistor, each associated transistor including a gate, the first and second row of photodiodes forming a series of 2×2 Bayer-pattern units. In each Bayer-pattern unit, a first photodiode and a second photodiode in the first row are designated respectively as a first green pixel and a blue pixel, and a third photodiode and a fourth photodiode in the second row are designated respectively as a red pixel and a second green pixel, wherein a position of the gate of the transistor associated with the first photodiode relative to the first photodiode and a position of the gate of the transistor associated with the fourth photodiode relative to the fourth photodiode are the same. | 10-15-2015 |
20150303235 | IMAGE SENSOR PIXEL HAVING STORAGE GATE IMPLANT WITH GRADIENT PROFILE - A pixel cell includes a storage transistor disposed in a semiconductor substrate. The storage transistor includes a storage gate disposed over the semiconductor substrate, and a storage gate implant that is annealed and has a gradient profile in the semiconductor substrate under the storage transistor gate to store image charge accumulated by a photodiode disposed in the semiconductor substrate. A transfer transistor is disposed in the semiconductor substrate and is coupled between the photodiode and an input of the storage transistor to selectively transfer the image charge from the photodiode to the storage transistor. The transfer transistor includes a transfer gate disposed over the semiconductor substrate. An output transistor is coupled to an output of the storage transistor to selectively transfer the image charge from the storage transistor to a read out node. The output transistor includes an output gate disposed over the semiconductor substrate. | 10-22-2015 |
20150303330 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE - The inventive photoelectric conversion device includes a substrate, a lower electrode layer provided on the substrate, a CIGS compound semiconductor layer provided on the lower electrode layer as covering the lower electrode layer, and a transparent electrode layer provided on the compound semiconductor layer, wherein the compound semiconductor layer has a maximum Ga content variation of not less than 5% as measured in a layer thickness direction, and a maximum In content variation of not less than 6% as measured in the layer thickness direction. | 10-22-2015 |
20150318319 | METHOD AND APPARATUS FOR REDUCING CROSSTALK IN CMOS IMAGE SENSOR - A CMOS image sensor and a method of manufacturing the same are provided. The CMOS image sensor includes a semiconductor substrate having a front side and a back side, at least two pixels disposed in the first side, a shallow trench isolation disposed in the front side between the at least two pixels, and a crosstalk reduction element disposed in the back side at a location above the shallow trench isolation. The crosstalk reduction element reduces optical and electrical crosstalk and improves the image quality of the CMOS image sensor. | 11-05-2015 |
20150325610 | PHOTOELECTRIC CONVERSION DEVICE, METHOD FOR PRODUCING PHOTOELECTRIC CONVERSION DEVICE, AND IMAGE PICKUP SYSTEM - A photoelectric conversion device includes a photoelectric conversion region having a plurality of photoelectric conversion elements and a first MOS transistor configured to read a signal in response to an electric charge of each photoelectric conversion element; and a peripheral circuit region having a second MOS transistor configured to drive the first MOS transistor and/or amplify the signal read from the photoelectric conversion region, the photoelectric conversion region and the peripheral circuit region being located on the same semiconductor substrate, wherein an impurity concentration in a drain of the first MOS transistor is lower than an impurity concentration in a drain of the second MOS transistor. | 11-12-2015 |
20150333092 | SOLID-STATE IMAGE SENSING DEVICE MANUFACTURING METHOD AND SOLID-STATE IMAGE SENSING DEVICE - According to one embodiment, a solid-state image sensing device manufacturing method includes forming a photoelectric converting element, a diffusion layer included in a floating diffusion, and a read transistor, in a photoelectric converting element formation region of a semiconductor substrate, a floating diffusion formation region, and a read transistor formation region located between the photoelectric converting element formation region and the floating diffusion formation region, respectively, and forming a semiconductor layer including a impurity on the diffusion layer on the semiconductor substrate. | 11-19-2015 |
20150333100 | IMAGE SENSORS INCLUDNG WELL REGIONS OF DIFFERENT CONCENTRATIONS AND METHODS OF FABRICATING THE SAME - An image sensor includes a high concentration well region in contact with a device isolation layer extending along a periphery of a photoelectric converting part, which can improve dark current properties of the image sensor. The image sensor also includes a low concentration well region in contact with a sidewall of the device isolation layer overlapped with a transfer gate, which can improve image lag properties of the image sensor. Related fabrication methods are also discussed. | 11-19-2015 |
20150340394 | IMAGING DEVICE - An imaging device includes a semiconductor substrate; and a unit pixel cell provided to a surface of the semiconductor substrate. The unit pixel cell includes: a photoelectric converter that includes a pixel electrode and a photoelectric conversion layer located on the pixel electrode, the photoelectric converter converting incident light into electric charges; a charge detection transistor that includes a part of the semiconductor substrate and detects the electric charges; and a reset transistor that includes a first gate electrode and initializes a voltage of the photoelectric converter. The pixel electrode is located above the charge detection transistor. The reset transistor is located between the charge detection transistor and the pixel electrode. When viewed from a direction normal to the surface of the semiconductor substrate, the pixel electrode covers an entire portion of the first gate electrode. | 11-26-2015 |
20150340445 | SUBSTRATE STRUCTURE AND SEMICONDUCTOR DEVICE ON THE SUBSTRATE STRUCTURE - A substrate structure include a lower substrate doped with n-type impurities having a first impurity concentration, an epitaxial layer on the lower substrate, and a metallic-contaminant collection area spaced apart from the epitaxial layer in the lower substrate, the metallic-contaminant collection area doped with impurities having a second impurity concentration higher than the first impurity concentration, the metallic-contaminant collection area having lattice defects, and an upper surface of the metallic-contaminant collection area being spaced apart from a top surface of the lower substrate at a distance in a range of about 0.1 μm to about 3 μm. | 11-26-2015 |
20150349005 | INTEGRATED CIRCUIT HAVING A LEVEL SHIFTER - An integrated circuit comprises a photodiode having a photodiode output, and a column line electrically coupled to the photodiode. A transfer transistor is electrically coupled to the photodiode and to the column line. A first reset transistor is electrically coupled to the photodiode and to the column line at a first node. The first node is between the transfer transistor and the column line. A second reset transistor is electrically coupled to the photodiode and to the column line at a second node. The second node is between the first node and the column line. A source follower transistor is electrically coupled to the photodiode and to the column line. The source follower transistor is between the second node and the column line. A level shifter is electrically coupled to the photodiode and to the column line. The level shifter is between the first node and the second node. | 12-03-2015 |
20150357368 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - The performance of a solid state image sensor which is formed by performing divided exposure that exposes the entire chip by a plurality of times of exposure and in which each of a plurality of pixels arranged in a pixel array portion has a plurality of photodiodes is improved. | 12-10-2015 |
20150364509 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME - A solid-state imaging device with a semiconductor substrate; a pixel formation region in the substrate and including a pixel made of a photoelectric conversion element; and an element isolation portion in the substrate and including an element isolation insulating layer and an impurity element isolation region. The element isolation insulating layer is positioned in a surface of the substrate. The impurity element isolation region is positioned under the element isolation insulating layer and within the substrate. The impurity element isolation region has at least a portion with a width that is narrower than that of the element isolation insulating layer. The photoelectric conversion element extends to a position under the element isolation insulating layer of the element isolation portion. | 12-17-2015 |
20150364517 | METHOD OF MANUFACTURING SOLID-STATE IMAGE SENSOR AND SOLID-STATE IMAGE SENSOR - A method of manufacturing a solid-state image sensor including preparing a wafer including a pixel region where a photoelectric conversion element is provided, a peripheral circuit region where a gate electrode of a peripheral MOS transistor for constituting a peripheral circuit is provided, and a scribe region. The method includes forming an insulating film covering the pixel region, the peripheral circuit region, and the scribe region, and forming a sidewall spacer on a side surface of the gate electrode by etching the insulating film so that portions of the insulating film remains to cover the pixel region and the scribe region, and forming a metal silicide layer in the peripheral circuit region by using, as a mask for protection from silicidation, the insulating film covering the pixel region and the scribe region. | 12-17-2015 |
20150372034 | HIGH DIELECTRIC CONSTANT STRUCTURE FOR THE VERTICAL TRANSFER GATES OF A COMPLEMENTARY METAL-OXIDE SEMICONDUCTOR (CMOS) IMAGE SENSOR - A vertical-gate transfer transistor of an active pixel sensor (APS) is provided. The transistor includes a semiconductor substrate, a vertical trench extending into the semiconductor substrate, a dielectric lining the vertical trench, and a vertical gate filling the lined vertical trench. The dielectric includes a dielectric constant exceeding 3.9 (i.e., the dielectric constant of silicon dioxide). A method of manufacturing the vertical-gate transfer transistor, an APS including the vertical-gate transfer transistor, a method of manufacturing the APS, and an image sensor including a plurality of the APSs are also provided. | 12-24-2015 |
20150380456 | SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, AND IMAGING APPARATUS - A solid-state imaging device includes, in a semiconductor substrate, a pixel portion provided with a photoelectric conversion portion, which photoelectrically converts incident light to obtain an electric signal and a peripheral circuit portion disposed on the periphery of the pixel portion, wherein a gate insulating film of aMOS transistor in the peripheral circuit portion is composed of a silicon oxynitride film, a gate insulating film of aMOS transistor in the pixel portion is composed of a silicon oxynitride film, and an oxide film is disposed just above the photoelectric conversion portion in the pixel portion. | 12-31-2015 |
20160005774 | Fractal-Edge Thin Film And Method Of Manufacture - A fractal-edge thin film includes a material layer having a perimeter with a fractal dimension exceeding one, the material layer having greater peel resistance as compared to a thin-film material layer with fractal dimension equaling one. | 01-07-2016 |
20160005781 | BACKSIDE ILLUMINATED IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - A backside illuminated (BSI) image sensor device includes: a first substrate including a front side and a back side; a second substrate bonded with the first substrate on the front side; and a blocking layer between the first substrate and the second substrate. The first substrate includes an image sensor, and the image sensor is configured to collect incident light entering from the back side. The second substrate includes a circuit coupled with the image sensor. The blocking layer is configured to block radiation induced by the circuit. | 01-07-2016 |
20160005896 | APPARATUS, SYSTEM AND METHOD OF BACK SIDE ILLUMINATION (BSI) COMPLEMENTARY METAL-OXIDE-SEMICONDUCTOR (CMOS) PIXEL ARRAY - Some demonstrative embodiments include devices and/or methods of Back Side Illumination (BSI) Complementary Metal-Oxide-Semiconductor (CMOS) pixel array. For example, a BSI CMOS pixel array may include a plurality of pixels, a pixel of the plurality of pixels may include one or more Metal-Oxide-Semiconductor (MOS) transistors comprising one or more well regions, a well region of the one or more well regions comprising an N-Well (NW) region or a P-well (PW) region; a photodiode; an epitaxial (epi) layer comprising an absorption area and a collection area, the absorption area to absorb incoming photons and to generate electrons responsive to absorbed photons, and the collection area connecting the absorption area to the photodiode to provide the electrons from the absorption area to the photodiode; and a barrier layer separating the absorption area from the one or more well regions. | 01-07-2016 |
20160013224 | PHOTOELECTRIC CONVERSION DEVICE | 01-14-2016 |
20160013238 | CMOS IMAGE SENSOR | 01-14-2016 |
20160013240 | PIXEL OF AN IMAGE SENSOR, AND IMAGE SENSOR | 01-14-2016 |
20160013242 | ARRAY SUBSTRATE OF X-RAY SENSOR AND METHOD FOR MANUFACTURING THE SAME | 01-14-2016 |
20160020239 | 3D INTEGRATED CIS - A device includes a first integrated circuit containing a photodiode and a first metal interconnect structure connected to the photodiode, and a second integrated circuit containing a transistor and a second metal interconnect structure connected to the transistor. The first integrated circuit and the second integrated circuit are connected together through the first metal interconnect structure and the second metal interconnect structure. Since no transistor is present around the photodiode, the photodiode has an increased photosensitive area and an improved fill factor, resulting in an increase of the quantum efficiency, higher integration and lower consumption of the image sensor. | 01-21-2016 |
20160020242 | SOLID-STATE IMAGE PICKUP DEVICE - A solid-state image pickup device | 01-21-2016 |
20160027824 | IMAGING DEVICE - An imaging device includes pixels each of which includes a photoelectric converter including a p-n junction formed of a first semiconductor region and a second semiconductor region, an amplifying transistor configured to amplify a signal based on signal carriers, and a capacitance including a p-n junction formed of a third semiconductor region having the same conductivity type as the first semiconductor region and a fourth semiconductor region having the opposite conductivity type to the third semiconductor region. A doping impurity concentration of an impurity of the same conductivity type as the conductivity type of the third semiconductor region at the p-n junction interface of the capacitance is higher than a doping impurity concentration of an impurity of the same conductivity type as the conductivity type of the first semiconductor region at the p-n junction interface of the photoelectric converter. | 01-28-2016 |
20160035781 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC INSTRUMENT - A solid-state imaging device including, a first semiconductor region of the first conduction type, a photoelectric conversion part having a second semiconductor region of the second conduction type formed in the region separated by the isolation dielectric region of the first semiconductor region, pixel transistors formed in the first semiconductor region, a floating diffusion region of the second conduction type which is formed in the region separated by the isolation dielectric region of the first semiconductor region, and an electrode formed on the first semiconductor region existing between the floating diffusion region and the isolation dielectric region and is given a prescribed bias voltage. | 02-04-2016 |
20160049437 | SOLID-STATE IMAGING DEVICE - A MOS solid-state imaging device is provided in which withstand voltage and 1/f noise of a MOS transistor are improved. In the MOS solid-state imaging device whose unit pixel has at least a photoelectric converting portion and a plurality of field effect transistors, the thickness of gate insulating film in a part of the field effect transistors is different from the thickness of gate insulating film in the other field effect transistors among the plurality of the field effect transistors. | 02-18-2016 |
20160056186 | PHOTO SENSOR MODULE - The present disclosure relates to a photo sensor module. The thickness and size of an IC chip may be reduced by manufacturing a photo sensor based on a semiconductor substrate and improving the structure to place a UV sensor on the upper section of an active device or a passive device. The photo sensor module includes a semiconductor substrate, a field oxide layer, formed on the semiconductor substrate, and a photo sensor comprising a photo diode formed on the field oxide layer. | 02-25-2016 |
20160056193 | IMAGE SENSING DEVICE AND METHOD FOR FABRICATING THE SAME - An image sensing device includes: an active layer with a plurality of photo-sensing elements; a color pattern disposed over one of the photo-sensing elements, wherein the color pattern has a color selected from the group consisting of red (R), green (G), and blue (B); a microlens disposed on the color pattern; and a transmissive pattern being adjacent to the color pattern and over another one of the photo-sensing elements, wherein the transmissive pattern includes a color filter portion and a microlens portion, and an absolute value of a difference of refractive indexes between the microlens and the color pattern is less than 0.3, and there is no difference of refractive indexes between the microlens portion and the color filter portion of the transmissive pattern. | 02-25-2016 |
20160056201 | PIXEL WITH MULTIGATE STRUCTURE FOR CHARGE STORAGE OR CHARGE TRANSFER - This disclosure provides an integrated circuit (IC) including one or more pixels. A photodiode is arranged in a semiconductor substrate and includes an n-type region near an upper surface of the substrate and a p-type region under the n-type region. A semiconductor fin is arranged over the photodiode and is electrically coupled to the n-type region of the photodiode. The semiconductor fin includes a transfer transistor and a separate charge storage or charge transfer region, wherein the charge storage or charge transfer region is adapted to store or transfer charge generated by the photodiode in response to impingent light. | 02-25-2016 |
20160064447 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE - The invention improves performance of a solid-state image sensor in which each of the pixels arranged in a pixel array part includes a microlens and plural photodiodes. The locations of the opposing sides between the photodiodes arranged side by side in each pixel are self-alignedly defined by a gate pattern. The location over wiring where the microlens is to be formed is checked and determined using as a superposition mark a check pattern of the same layer as a gate layer. | 03-03-2016 |
20160064448 | IMAGE SENSOR HAVING IMPROVED LIGHT UTILIZATION EFFICIENCY - An image sensor is provided including a photo sensor layer including a plurality of photo-sensing cells; a color separation layer disposed on the photo sensor layer and including color separation elements embedded in a transparent spacer layer; and a micro lens array arranged on the color separation layer, the micro lens array including a plurality of micro lenses. The color separation layer separates light by wavelength. The micro lens array concentrates incident light onto the plurality of color separation elements. The color separation elements include: a first main splitter which transmits light of a first primary color onto first photo-sensing cells which faces the first main splitter and diffracts and/or refracts light of colors other than the first primary color onto photo-sensing cells adjacent to the first photo-sensing cell; and a plurality of first auxiliary splitters which are arranged surrounding the first main splitter. | 03-03-2016 |
20160079290 | SOLID-STATE IMAGE PICKUP ELEMENT AND IMAGE PICKUP APPARATUS - Disclosed herein is a solid-state image pickup element, including: a photoelectric conversion region; a transistor; an isolation region of a first conductivity type configured to isolate the photoelectric conversion region and the transistor from each other; a well region of the first conductivity type having the photoelectric conversion region, the transistor, and the isolation region of the first conductivity type formed therein; a contact portion configured to supply an electric potential used to fix the well region to a given electric potential; and an impurity region of the first conductivity type formed so as to extend in a depth direction from a surface of the isolation region of the first conductivity type in the isolation region of the first conductivity type between the contact portion and the photoelectric conversion region, and having a sufficiently higher impurity concentration than that of the isolation region of the first conductivity type. | 03-17-2016 |
20160079299 | SEMICONDUCTOR IMAGE PICKUP DEVICE - According to one embodiment, a semiconductor image pickup device includes a pixel area and a non-pixel area. The device includes a first photoelectric conversion element formed in the pixel area, a first transistor formed in the pixel area and connected to the first photoelectric conversion element, a second photoelectric conversion element formed in the non-pixel area, a second transistor formed in the non-pixel area and connected to the second photoelectric conversion element, a metal wire formed at least in the non-pixel area, a first cap layer formed on the metal wire to prevent diffusion of metal contained in the metal wire, and a dummy via wire formed in the non-pixel area and penetrating the first cap layer. | 03-17-2016 |
20160086940 | STACK MOM CAPACITOR STRUCTURE FOR CIS - A semiconductor device includes a semiconductor substrate, an interlayer dielectric layer on the semiconductor substrate, a capacitor on the interlayer dielectric layer, and a PN-junction diode in the semiconductor substrate and below the capacitor. The PN-junction diode includes a p-type ion implanted region and an n-well located below the p-type ion implanted region and completely surrounding the p-type ion implanted region. The PN-junction diode in the semiconductor substrate may prevent noise from entering the capacitor to improve the noise immunity of the semiconductor device. | 03-24-2016 |
20160086984 | Approach for Reducing Pixel Pitch using Vertical Transfer Gates and Implant Isolation Regions - An active pixel sensor (APS) with a vertical transfer gate and a pixel transistor (e.g., a transfer transistor, a source follower transistor, a reset transistor, or a row select transistor) electrically isolated by an implant isolation region is provided. A semiconductor substrate has a photodetector buried therein. The vertical transfer gate extends into the semiconductor substrate with a channel region in electrical communication with the photodetector. The pixel transistor is arranged over the photodetector and configured to facilitate the pixel operation (e.g., reset, signal readout, etc.). The implant isolation region is arranged in the semiconductor substrate and surrounds and electrically isolates the pixel transistor. A method for manufacturing the APS is also provided. | 03-24-2016 |
20160093660 | Semiconductor apparatus and method of manufacturing semiconductor apparatus - A semiconductor apparatus includes: an MOS type field effect transistor formed on a semiconductor substrate and having a first gate electrode set at a predetermined impurity concentration; and a charge modulation device formed on the semiconductor substrate and having a second gate electrode set at a predetermined impurity concentration lower than the impurity concentration of the first gate electrode. | 03-31-2016 |
20160093661 | IMAGE SENSOR HAVING AN EMBEDDED COLOR FILTER AND ITS PREPARATION METHOD - The invention relates to the field of semiconductor manufacturing process, more particularly, to an image sensor having an embedded color filter and its preparation method, providing a bonded wafer with leads, and performing preparation process of metal insulated gates and embedding process of color filters on bonded wafers, etching to expose the opening of the lead, and eventually combining color filter process with lead process; the implementation of the invention is simple, implementation difficulty is relatively small, and can greatly improve the transmission speed of output image signal and image quality, at the same time, the technical scheme can be used in front-illuminated, back-illuminated and stackable image sensors, etc. | 03-31-2016 |
20160104728 | SOLID-STATE IMAGE PICKUP APPARATUS - Provided is a back-illuminated solid-state image pickup apparatus having an improved color separation characteristic. A photo detector includes a first photo detector unit and a second photo detector unit disposed deeper than the first photo detector unit with respect to a back surface of a semiconductor substrate, wherein the first photo detector unit includes a first-conductivity-type first semiconductor region where carriers generated through photo-electric conversion are collected as signal carriers. A readout portion includes a first-conductivity-type second semiconductor region extending in a depth direction such that the carriers collected in the first semiconductor region are read out to a front surface of the semiconductor substrate. A unit that reduces the amount of light incident on the second semiconductor region is provided. | 04-14-2016 |
20160104740 | IMAGE SENSOR AND METHODS OF MANUFACTURING THE SAME - An image sensor includes a first substrate, a photodiode array, a first wiring structure, a second wiring structure, a third wiring structure and a light blocking layer pattern. The photodiode array is disposed in the first substrate. The photodiode array includes first photodiodes in a first region, second photodiodes in a second region and third photodiodes in a third region. The first wiring structure is disposed in the first region. The first wiring structure is electrically connected to the first photodiodes. The second wiring structure is disposed in the second region. The second wiring structure includes power supply wiring. The third wiring structure is disposed in the third region. The third wiring structure is electrically connected to the third photodiodes. The light blocking layer pattern is disposed on the first substrate. The light blocking layer pattern covers the third region and the fourth region. | 04-14-2016 |
20160111456 | METHOD FOR MANUFACTURING IMAGING APPARATUS, AND IMAGING APPARATUS - A gate electrode of a field effect transistor is formed. Next, an offset spacer film with a double-layer structure including a silicon oxide film as a lower-layer film and a silicon nitride film as an upper-layer film is formed on a sidewall surface of the gate electrode. The silicon nitride film serves as a supply source of an element for terminating dangling bonds of silicon in a device formation region. Next, treatment for leaving the offset spacer film intact or treatment for removing the silicon nitride film of the offset spacer film is performed. Thereafter, a sidewall insulating film is formed on the sidewall surface of the gate electrode. | 04-21-2016 |
20160112664 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS - A solid-state imaging device includes a pixel region in which shared pixels which share pixel transistors in a plurality of photoelectric conversion portions are two-dimensionally arranged. The shared pixel transistors are divisionally arranged in a column direction of the shared pixels, the pixel transistors shared between neighboring shared pixels are arranged so as to be horizontally reversed or/and vertically crossed, and connection wirings connected to a floating diffusion portion, a source of a reset transistor and a gate of an amplification transistor in the shared pixels are arranged along the column direction. | 04-21-2016 |
20160126265 | IMAGE SENSOR HAVING IMPROVED QUANTUM EFFICIENCY AT LARGE WAVELENGTHS - The invention relates to an image sensor specially adapted to vision in low-light conditions (notably night vision).The sensor is formed on an integrated circuit chip starting from a silicon substrate. It comprises: a matrix of rows and columns of active pixels each comprising at least one photodiode and transistors, control circuits for the matrix, external to the matrix, and signal read circuits, external to the matrix. The photodiodes of the sensor are formed within an active layer of single-crystal silicon whose resistivity is at least 500 ohms·cm if this active layer is an epitaxial layer grown on the silicon substrate and at least 2000 ohms·cm if this active layer consists of the upper part of the silicon substrate. The control circuits and the read circuits of the sensor are formed in at least one doped global well, of the same type as the active layer of single-crystal silicon and having a resistivity lower than or equal to 30 ohms·cm, this well being formed within the active layer and not including the matrix. | 05-05-2016 |
20160126271 | SOLID-STATE IMAGE SENSING DEVICE - A solid-state image sensing device is provided including a first semi-conducting layer of first conductivity, a second semi-conducting layer of first conductivity disposed on the first semi-conducting layer, a semiconductor region of second conductivity different from the first conductivity disposed in the second semi-conducting layer, a deep trench configured to isolate a plurality of neighboring pixels from each other, and an electrode implanted into the deep trench, where the semiconductor region of second conductivity, the second semi-conducting layer, and the first semi-conducting layer are disposed in that order from a proximal side to a distal side, the second semi-conducting layer is split by the deep trench into sections that correspond to the pixels, an impurity concentration of first conductivity of the first semi-conducting layer is higher than an impurity concentration of first conductivity of the second semi-conducting layer, and the deep trench contacts the first semi-conducting layer. | 05-05-2016 |
20160126281 | Grounding System for Integrated Circuits of Particular Usefulness for Circuits Incorporating Backside-Illuminated Photosensor Arrays - A backside-illuminated photosensor array IC is formed in a thinned circuit wafer. Silicon is removed in at least one substrate-stripped zone where a doped edge-contact ring surrounds the substrate-stripped zone, the edge-contact ring formed in a same first side of the wafer as a plurality of transistors, and opposite to a backside of the wafer. Backside metal is disposed on the backside of the wafer, the backside metal having window openings over the photosensors, and having sidewalls contacting the edge-contact ring around the substrate-stripped zone. The edge contact region is formed in the first side of the device wafer before providing structural support and thinning the device wafer. Substrate-stripped zones, such as bondpad openings and guardring openings, are formed by removing silicon to expose the edge-contact region, and backside metal is deposited with sidewall metal at edges of the substrate-stripped zones and thereby contacting the edge-contact region. | 05-05-2016 |
20160126284 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE - According to an embodiment, provided is a solid-state imaging device. The solid-state imaging device is provided with a semiconductor layer, a gate of a pixel transistor, a gate of a peripheral circuit transistor, a silicon nitride film and a sidewall. A photo diode and a floating diffusion are provided in the semiconductor layer. The gate of the pixel transistor is provided on a surface of the semiconductor layer with the gate oxide film interposed therebetween. The gate of the peripheral circuit transistor is provided on the surface of the semiconductor layer with the gate oxide film interposed therebetween. The silicon nitride film is provided on an upper surface of the photo diode in the semiconductor layer with the gate oxide film interposed therebetween. The sidewall is provided on at least one side surface of the gate of the pixel transistor except for a side surface on the photo diode. | 05-05-2016 |
20160133658 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a method of manufacturing a back-illuminated solid-state imaging device including forming a mask with apertures corresponding to a pixel pattern on the surface of a semiconductor layer, implanting second-conductivity-type impurity ions into the semiconductor layer from the front side of the layer to form second-conductivity-type photoelectric conversion parts and forming a part where no ion has been implanted into a pixel separation region, forming at the surface of the semiconductor layer a signal scanning circuit for reading light signals obtained at the photoelectric conversion parts after removing the mask, and removing the semiconductor substrate and a buried insulating layer from the semiconductor layer after causing a support substrate to adhere to the front side of the semiconductor layer. | 05-12-2016 |
20160141280 | Device-Embedded Image Sensor, And Wafer-Level Method For Fabricating Same - A device-embedded image sensor includes an image sensor formed in a first semiconductor substrate; a top conductive pad formed on a top surface of the first semiconductor substrate; and a semiconductor device formed in a second semiconductor substrate bonded to a bottom surface of the first semiconductor substrate, the semiconductor device electrically connected to the top conductive pad. A method for fabricating a device-embedded image sensor from a CMOS image sensor wafer assembly that includes an image sensor and a conductive pad. The method includes exposing the conductive pad; forming an isolation layer; exposing a surface of each conductive pad; forming a patterned redistribution layer (RDL) having a plurality of RDL elements on the isolation layer; electrically isolating adjacent RDL elements; and laminating the CMOS image sensor wafer assembly and a semiconductor device wafer to form undiced device-embedded image sensors. | 05-19-2016 |
20160141325 | Method and Apparatus for Low Resistance Image Sensor Contact - A method and apparatus for a low resistance image sensor contact, the apparatus comprising a photosensor disposed in a substrate, a first ground well disposed in a first region of the substrate, the first ground well having a resistance lower than the substrate, and a ground line disposed in a region adjacent to the first ground well. The first ground well is configured to provide a low resistance path to the ground line from the substrate for excess free carriers in the first region of the substrate. The apparatus may optionally comprise a second ground well having a lower resistance than the first ground well and disposed between the first ground well and the ground line, and may further optionally comprise a third ground well having a lower resistance than the second ground well and disposed between the second ground well and the ground line. | 05-19-2016 |
20160148969 | IMAGE SENSING DEVICE AND MANUFACTURING METHOD THEREOF - Some embodiments of the present disclosure provide a method of manufacturing a back side illuminated (BSI) image sensor. The method includes receiving a semiconductive substrate; forming a transistor coupled to a photosensitive element at a front side of the semiconductive substrate; forming a deep trench isolation (DTI) at a back side of the semiconductive substrate; forming a doped layer conformally over the DTI; performing a microwave anneal over the back side; forming a non-transparent material inside the DTI; and forming a color filter over the doped layer. | 05-26-2016 |
20160148970 | IMAGE SENSING DEVICE AND MANUFACTURING METHOD THEREOF - Some embodiments of the present disclosure provide a method of manufacturing a back side illuminated (BSI) image sensor. The method includes receiving a semiconductive substrate; forming a photosensitive element at a front side of the semiconductive substrate; forming a transistor coupled to the photosensitive element; forming a recess at a back side of the semiconductive substrate; forming a first dielectric layer lining to a side portion of the recess and over the back side of the semiconductor substrate; covering a conductive material over the first dielectric layer and filling in the recess; forming a conductive column on top of the recess by patterning the conductive material; and forming a second dielectric layer covering the conductive column and the first dielectric layer. | 05-26-2016 |
20160155765 | IMAGE SENSOR WITH TOLERANCE OPTIMIZING INTERCONNECTS | 06-02-2016 |
20160163748 | PIXEL CIRCUIT - A pixel circuit includes a floating diffusion layer of a first conductivity-type between a drain/source of a second conductivity-type and a source/drain of the second conductivity-type. The source/drain and the drain/source touch the floating diffusion layer. A cathode of a photoelectric converter is electrically connected to the floating diffusion layer. An anode of the photoelectric converter touches the cathode. The cathode is of the first conductivity-type and the anode is of the second conductivity-type. | 06-09-2016 |
20160172395 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC DEVICE | 06-16-2016 |
20160172397 | Solid State Image Sensor with Low Capacitance Floating Diffusion | 06-16-2016 |
20160172402 | IMAGE SENSOR DEVICE | 06-16-2016 |
20160181294 | BACKSIDE ILLUMINATED IMAGE SENSOR AND MANUFACTURING METHOD THEREFOR | 06-23-2016 |
20160190187 | IMAGING DEVICE INCLUDING UNIT PIXEL CELL - An imaging device comprising a unit pixel cell comprising: a photoelectric converter that generates an electric signal through photoelectric conversion of incident light; and a signal detection circuit that detects the electric signal, the signal detection circuit comprising a first transistor that amplifies the electric signal, a second transistor that selectively transmits output of the first transistor to outside of the unit pixel cell, and a feedback circuit that forms a feedback loop through which the electric signal is negatively fed back, the feedback loop not passing through the first transistor. | 06-30-2016 |
20160190189 | PHOTOELECTRIC CONVERSION DEVICE - To provide a photoelectric conversion device which prevents a reset time from being made long when a large quantity of light is entered. There is provided a photoelectric conversion device equipped with a photodiode which causes a photoelectric current corresponding to a quantity of incident light to flow, a reset circuit which charges a parasitic capacitance of the photodiode to a reset voltage, a voltage limit circuit which prevents the voltage of the parasitic capacitance of the photodiode from being lower than a prescribed voltage, and an output circuit which outputs the voltage of the parasitic capacitance of the photodiode. | 06-30-2016 |
20160190200 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - A solid-state imaging device having a backside illuminated structure, includes: a pixel region in which pixels each having a photoelectric conversion portion and a plurality of pixel transistors are arranged in a two-dimensional matrix; an element isolation region isolating the pixels which is provided in the pixel region and which includes a semiconductor layer provided in a trench by an epitaxial growth; and a light receiving surface at a rear surface side of a semiconductor substrate which is opposite to a multilayer wiring layer. | 06-30-2016 |
20160197114 | Semiconductor Devices, Methods of Manufacturing Thereof, and Image Sensor Devices | 07-07-2016 |
20160204148 | SOLID-STATE IMAGING DEVICE | 07-14-2016 |
20160204151 | SOLID-STATE IMAGING DEVICE AND CAMERA MODULE | 07-14-2016 |
20160204156 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS | 07-14-2016 |
20160254306 | Method and Apparatus for Image Sensor Packaging | 09-01-2016 |
20170236858 | IMAGE SENSOR | 08-17-2017 |
20180026147 | VERTICAL GATE GUARD RING FOR SINGLE PHOTON AVALANCHE DIODE PITCH MINIMIZATION | 01-25-2018 |
20190149687 | IMAGE SENSOR PANEL AND METHOD FOR CAPTURING GRAPHICAL INFORMATION USING SAME | 05-16-2019 |