47th week of 2021 patent applcation highlights part 59 |
Patent application number | Title | Published |
20210366654 | CERAMIC ELECTRONIC COMPONENT - An electronic component according to the present invention is an electronic component including: a ceramic element body including an internal electrode; and an external electrode formed on an outer surface of the ceramic element body. The external electrode includes: a first electrode layer electrically connected to at least a part of the internal electrode; and a second electrode layer formed on an outside of the first electrode layer. The first electrode layer has a first conductor region containing copper, and the second electrode layer has a second conductor region including a matrix phase containing silver and palladium and copper particles dispersed in the matrix phase. | 2021-11-25 |
20210366655 | MULTILAYER ELECTRONIC COMPONENT - A multilayer electronic component includes a body comprising dielectric layers, and first and second internal electrode layers alternately stacked in a stacking direction with respective dielectric layers interposed therebetween. The first internal electrode layer includes first and second internal electrodes arranged with a first spacer interposed therebetween, and the second internal electrode layer includes third and fourth internal electrodes arranged with a second spacer interposed therebetween. | 2021-11-25 |
20210366656 | FILM CAPACITOR AND DIELECTRIC RESIN FILM FOR FILM CAPACITOR - A film capacitor that includes: a dielectric resin film; and a metal layer on at least one surface of the dielectric resin film. The dielectric resin film contains at least one skeleton selected from the group consisting of a biphenyl skeleton, a bisphenol acetophenone skeleton, a bisphenol fluorene skeleton, a bisphenol S skeleton, a bisphenol cyclohexanone skeleton, and an epoxy-modified bisphenol A skeleton. | 2021-11-25 |
20210366657 | METHOD OF PRODUCING A MULTI-LAYER CERAMIC ELECTRONIC COMPONENT, MULTI-LAYER CERAMIC ELECTRONIC COMPONENT, AND CIRCUIT BOARD - A method of producing a multi-layer ceramic electronic component includes: forming a base film formed from an electrically conductive material on a surface of a ceramic body including internal electrodes laminated and drawn to the surface in such a manner that the base film is connected to the internal electrodes; forming a first nickel film on the base film by an electrolytic plating method; performing, after forming the first nickel film, heat treatment in a weakly reducing atmosphere at a temperature equal to or higher than a temperature at which the first nickel film is recrystallized; and forming a second nickel film on the first nickel film, on which the heat treatment is performed, by an electrolytic plating method. | 2021-11-25 |
20210366658 | CERAMIC ELECTRONIC COMPONENT AND METHOD OF MANUFACTURING THE SAME - A ceramic electronic component includes a multilayer chip having a substantially rectangular parallelepiped shape and including a first multilayer structure and a second multilayer structure disposed on each of top and bottom faces of the first multilayer structure, the first multilayer structure including first ceramic dielectric layers having a first width in a first direction in which side faces of the multilayer chip are opposite to each other, the second multilayer structure including second internal electrode layers having a second width less than the first width in the first direction, and a pair of external electrodes formed from the respective two edge faces to at least one of side faces of the multilayer chip, wherein main components of the first and second internal electrode layers differ from a main component of the external electrodes. | 2021-11-25 |
20210366659 | THERMO-ELECTRIC CAPACITOR - Devices that convert heat into electricity, and methods for a fabrication of the same are provided. The asymmetric thermo-electrochemical capacitor uses a GO-based positive electrode and a battery-type negative electrode to open up the operating voltage window and enhance the electrical discharge capacity for converting low-grade heat into electricity with excellent efficiency, fast thermo-charging time, and stable cycles. The thermo-electrochemical device includes a carbon-based positive electrode, a conductive polymer or a metal-organic framework as negative electrode, a current collector, and a porous separator. | 2021-11-25 |
20210366660 | ELECTRODE FOIL FOR ELECTROLYTIC CAPACITOR, ELECTROLYTIC CAPACITOR, AND METHOD FOR MANUFACTURING SAME - An electrode foil for an electrolytic capacitor includes an anode body foil having a porous part, and a dielectric layer covering a surface of a metal framework constituting the porous part. The dielectric layer includes a first layer containing an oxide of a second metal, the second metal being different from a first metal contained in the metal framework. An underlayer that is continuous with the first layer is provided between the metal framework and the first layer. The underlayer contains phosphorus and carbon. | 2021-11-25 |
20210366661 | ELECTRODE FOIL FOR ELECTROLYTIC CAPACITOR, ELECTROLYTIC CAPACITOR, AND PRODUCTION METHODS THEREFOR - An electrode foil for an electrolytic capacitor includes a metal foil having a porous part, and a dielectric layer covering a surface of a metal framework constituting the porous part. The dielectric layer includes a first layer containing an oxide of a second metal different from a first metal contained in the metal framework. The first layer has a thickness T1. The first layer contains at least one kind of additive element selected from the group consisting of carbon, phosphorus, boron, and nitrogen. The first layer includes a first region from an outer surface of the first layer to a center of the first layer in a thickness direction, and a second region from the center to an inner surface of the first layer. A content of the at least one kind of additive element in the second region is greater than a content of the at least one kind of additive element in the first region. | 2021-11-25 |
20210366662 | SOLAR CELL MODULE - A solar cell module ( | 2021-11-25 |
20210366663 | Ultracapacitor power system - The invention offers an ultracapacitor-based power system solution with four main functional blocks which are power conditioning block, monitoring block, charge-discharge block and protection block. The proposed system has the advantage of working well in the environment of vibration, high temperature, has a large capacity to provide a large amount and radiates less heat compared to systems using traditional batteries. In addition, the system has functions to protect and stabilize the output voltage, and the operating parameters of the system is monitored continuously. | 2021-11-25 |
20210366664 | DEVICES AND METHODS FOR HIGH VOLTAGE AND SOLAR APPLICATIONS - Provided herein are devices comprising one or more cells, and methods for fabrication thereof. The devices may be electrochemical devices. The devices may include three-dimensional supercapacitors. The devices may be microdevices such as, for example, microsupercapacitors. In some embodiments, the devices are three-dimensional hybrid microsupercapacitors. The devices may be configured for high voltage applications. In some embodiments, the devices are high voltage microsupercapacitors. In certain embodiments, the devices are high voltage asymmetric microsupercapacitors. In some embodiments, the devices are integrated microsupercapacitors for high voltage applications. | 2021-11-25 |
20210366665 | ALKALI METAL ION CAPACITOR - An alkali metal ion capacitor that is capable of operating in a high-temperature environment at 85° C. The alkali metal ion capacitor is provided with: a positive electrode active material capable of adsorbing and desorbing alkali metal ions; a positive electrode binder for binding the positive electrode active material; a negative electrode active material capable of storing and releasing alkali metal ions; a negative electrode binder for binding the negative electrode active material; and an electrolytic solution that contains an organic solvent and an imide-based alkali metal salt. The negative electrode active material is predoped with alkali metal ions. The positive electrode binder has a Hansen solubility parameter-based RED value of more than 1 with respect to the electrolytic solution. | 2021-11-25 |
20210366666 | EXTERIOR BODY, ABNORMALITY DETECTOR, AND ABNORMALITY DETECTION SYSTEM - Provided are an exterior body and an abnormality detector capable of suppressing bulking even when a heat generation detection function is provided. The exterior body of an electronic device generates heat during operation and is characterized by being provided with a magnetic body that is at least a portion of the exterior body, that has spontaneous magnetization, and that generates an electromotive force by exhibiting an abnormal Nernst effect through heat generation of the electronic device, wherein an electrode for extracting power is provided to the magnetic body. | 2021-11-25 |
20210366667 | Safety Switch Assembly With Clamping Element - A safety switch assembly for use on a movable device. The assembly includes a bracket, an impact surface that is held in position in the bracket, and a switch. The bracket and impact surface are clamped against the movable device. The impact surface is an easily replaceable component. | 2021-11-25 |
20210366668 | REMOTE CONTROL COVER ASSEMBLY - A cover assembly includes a main body, a closure member, gripping portions, and an identification tag. The main body defines an interior to receive a remote control and includes top, bottom, front, first and second side, and rear portions. The closure member is coupled to the rear portion and configured to move the rear portion between an open position, where a remote control is insertable into and removable from the interior, and a closed position, where the closure member is coupled to the bottom portion to secure the remote control within the interior. The multiple gripping portions are provided on the first and second side portions and configured to facilitate gripping of the cover assembly by a user. The identification tag includes a processor and is configured to communicate with an external device and operate to increase a conspicuity of the cover assembly for locating the cover assembly. | 2021-11-25 |
20210366669 | APPARATUS, SYSTEM, AND METHOD FOR PROVIDING AN ELECTRICAL NOTIFICATION SYSTEM - An apparatus for an electrical control assembly having at least one control member that is electrically connected to an electrical component, the at least one control member supported by an attachment assembly, which is disposed in a cavity of the electrical control assembly and includes a recess, the at least one control member movable between a first position and a second position is disclosed. The apparatus includes a structural assembly that is removably insertable in the recess of the attachment assembly, a through-beam emitter having an emitter member that is attached to a first end portion of the structural assembly and a receiver member that is attached to a second end portion of the structural assembly, the emitter member aligned to emit a light beam along a straight line of sight to the receiver member, and an electrical circuit. | 2021-11-25 |
20210366670 | BUTTON WITH ILLUMINATION RING - A hardware product for creating a light ring and a dead front effect. The product may include a housing with an opening. The hardware product may also include a button positioned within the opening and configured to be depressed by a user. The button is constructed using a two-part molding process and includes a first shot and a second shot. The first shot is configured to disperse light around the perimeter shape of the opening. The second shot is constructed from an optically opaque or semi-opaque material. A single light-emitting component electrically coupled to the circuit board provides light for creating the light ring. | 2021-11-25 |
20210366671 | APPARATUS AND METHOD FOR ACTIVATING SWITCHES - Apparatuses and methods for activating a switch are provided. The switch is supported by one of a bracket and a panel and includes a switch body and a switch plunger that is moveably coupled to the switch body to activate and deactivate the switch. In one example, the apparatus includes a clamp body that is configured to be removably coupled to the one of the bracket and the panel and that has an opening formed therethrough. The apparatus further includes a rod portion having a first end portion that has a surface that includes a first concave surface. The rod portion is configured to be advanced through the opening. The surface interfaces with the switch plunger and moves the switch plunger to activate the switch when the clamp body is coupled to the one of the bracket and the panel and when the rod portion is advanced through the opening. | 2021-11-25 |
20210366672 | ROLLING ELEMENTS-BASED PIVOTING SUPPORTS FOR KEYBOARDS - In one example, a keyboard device may include a base plate, a pivoting support fixedly disposed on the base plate and having a recess portion, a key cap support having a shaft portion rotatably received in the recess portion, a rolling element disposed between the shaft portion and the pivoting support, and a key cap assembled to the key cap support. | 2021-11-25 |
20210366673 | KEY MODULE FOR A KEYBOARD, KEYBOARD AND METHOD FOR RECOGNIZING ACTUATION OF A KEY MODULE OF A KEYBOARD - What is presented is a key module ( | 2021-11-25 |
20210366674 | BREAKER - A breaker includes a stationary contact, a movable contact, an operation device including a link portion liked to the movable contact and including an output lever rotatably supported, the operation device operating rotation of the output lever in accordance with a first control signal for a command for pulling out the movable contact and a second control signal for a command for inserting the movable contact, and an auxiliary contact to switch between turn-on and turn-off of an input of the first control signal and the second control signal to the operation device in conjunction with operation of the output lever, the auxiliary contact being able to be used in a circuit configuration to monitor a state of the operation device. The output lever rotates so as to operate a first link portion on a side toward a first direction with respect to a rotational center of the output lever. | 2021-11-25 |
20210366675 | DUAL-ACTION SWITCHING MECHANISM AND POLE UNIT FOR CIRCUIT BREAKER - A circuit breaker includes a pole unit with a first and second electrodes. A linkage also extends from the pole unit. A linear actuator is operably connected to the pole unit. A Thomson coil or other high-speed actuator is also operably connected to the linkage. When the circuit breaker is closed, no gap is provided between them. To open the electrodes, the high-speed actuator first acts on the linkage by moving the linkage at a speed that is greater than a speed by which the linear actuator can move the linkage. The linear actuator can then actuate and increase a distance between the electrodes. A gap is provided between the pole unit and at least one of the actuators when the breaker is closed. This gap is reduced or eliminated when the breaker is open. | 2021-11-25 |
20210366676 | BREAKER, SAFETY CIRCUIT, AND SECONDARY BATTERY PACK | 2021-11-25 |
20210366677 | SURGE PROTECTION DEVICE HAVING A PLURALITY OF SURGE ARRESTERS AND, IN PARTICULAR THERMAL, ISOLATING APPARATUS WHICH IS RESPECTIVELY ASSOCIATED WITH THEM - The invention relates to a surge protection device having a plurality of surge arresters and, in particular thermal, isolating apparatus which is respectively associated with them together with a fault and state display which is designed as a movable, spring force-prestressed displacement element ( | 2021-11-25 |
20210366678 | RELAY - A relay includes a fixed terminal, a fixed contact connected to the fixed terminal, a movable contact piece facing the fixed terminal, a movable contact, a drive unit including a coil and an armature operated by electromagnetic force generated from the coil, a card, and a wall disposed between the armature and the card. The movable contact is connected to the movable contact piece and faces the fixed contact. The card is disposed between the drive unit and the movable contact piece, and transmits an operation of the armature to the movable contact piece. The card includes a card body, a contact part, and a protrusion. The card body is disposed between the wall and a movable contact piece. The contact part extends from the card body toward the armature. The protrusion protrudes from the card body toward the wall and is disposed on a side of the contact part. | 2021-11-25 |
20210366679 | CIRCUIT BREAKER WITH PLUG-ON CONNECTOR - A circuit breaker is provided that may be plugged onto an electrical panel. The circuit breaker is preferably a low voltage circuit breaker in the range of 120-240 volts. The circuit breaker has an electrical clip with a curved inner surface that contacts a curved outer surface of an electrical connector on the electrical panel. | 2021-11-25 |
20210366680 | A TEMPERATURE SENSITIVE PELLET TYPE THERMAL FUSE - A temperature-sensitive pellet type thermal fuse is disclosed. The thermal fuse comprises a metal case ( | 2021-11-25 |
20210366681 | X-RAY BEAM GENERATION SYSTEM WITH DIAMOND THIN FILM WINDOW - A system for generating X-ray beams from a liquid target includes a vacuum chamber, a diamond window assembly, an electron source, a target material flow system, and an X-ray detector/imager. An electron beam from the electron source travels through the diamond window assembly and into a dynamic target material of the flow system. Preferably, the dynamic target material is lead bismuth eutectic in a liquid state. Upon colliding with the dynamic target material, X-rays are generated. The generated X-rays exit through an X-ray exit window to be captured by the X-ray detector/imager. Since the dynamic target material is constantly in fluid motion within a pipeline of the flow system, the electron beam always has a new target area which is at a controlled operational temperature and thus, prevents overheating issues. By providing a small focus area for the electron beams, the overall imaging resolution of the X-rays is also improved. | 2021-11-25 |
20210366682 | X-RAY BEAM SYSTEM WITH A LIQUID TARGET VACUUM CHAMBER - A system for generating X-ray beams from a liquid target includes a vacuum chamber, a diamond window assembly, an electron source, a target material flow system, and an X-ray detector/imager. An electron beam from the electron source travels through the diamond window assembly and into a dynamic target material of the flow system. Preferably, the dynamic target material is lead bismuth eutectic in a liquid state. Upon colliding with the dynamic target material, X-rays are generated. The generated X-rays exit through an X-ray exit window to be captured by the X-ray detector/imager. Since the dynamic target material is constantly in fluid motion within a pipeline of the flow system, the electron beam always has a new target area which is at a controlled operational temperature and thus, prevents overheating issues. By providing a small focus area for the electron beams, the overall imaging resolution of the X-rays is also improved. | 2021-11-25 |
20210366683 | CHARGED PARTICLE BEAM DEVICE AND METHOD FOR INSPECTING AND/OR IMAGING A SAMPLE - A charged particle beam device for imaging and/or inspecting a sample is described. The charged particle beam device includes a beam emitter for emitting a primary charged particle beam; a retarding field device for retarding the primary beam before impinging on the sample, the retarding field device including an objective lens and a proxy electrode; and a first detector for off-axial backscattered particles between the proxy electrode and the objective lens. The charged particle beam device is adapted for guiding the primary beam along an optical axis to the sample for releasing signal particles. The proxy electrode includes one opening allowing a passage of the primary charged particle beam and of the signal particles, wherein the one opening is sized to allow a passage of charged particles backscattered from the sample at angles from 0° to 20° or above relative to the optical axis. Further, a method for imaging and/or inspecting a sample with a charged particle beam device is described. | 2021-11-25 |
20210366684 | AXIAL ALIGNMENT ASSEMBLY, AND CHARGED PARTICLE MICROSCOPE COMPRISING SUCH AN ALIGNMENT ASSEMBLY - An axial alignment assembly ( | 2021-11-25 |
20210366685 | Charged-Particle Beam Device and Cross-Sectional Shape Estimation Program - The objective of the present invention is to use brightness images acquired under different energy conditions to estimate the size of a defect in the depth direction in a simple manner. A charged-particle beam device according to the present invention determines the brightness ratio for each irradiation position on a brightness image while changing parameters varying the signal amount, estimates the position of the defect in the depth direction on the basis of the parameters at which the brightness ratio is at a minimum, and estimates the size of the defect in the depth direction on the basis of the magnitude of the brightness ratio (see FIG. | 2021-11-25 |
20210366686 | CHARGED PARTICLE BEAM DEVICE AND METHOD FOR INSPECTING AND/OR IMAGING A SAMPLE - A charged particle beam device for imaging and/or inspecting a sample is described. The charged particle beam device includes a beam emitter for emitting a primary charged particle beam, the charged particle beam device adapted for guiding the primary charged particle beam along an optical axis to the sample for releasing signal particles; a retarding field device for retarding the primary charged particle beam before impinging on the sample, the retarding field device including an objective lens and a proxy electrode, wherein the proxy electrode includes an opening allowing a passage of the primary charged particle beam and of the signal particles; a first detector for off-axial backscattered particles between the proxy electrode and the objective lens; and a pre-amplifier for amplifying a signal of the first detector, wherein the pre-amplifier is at least one of (i) integrated with the first detector, (ii) arranged adjacent to the first detector inside a vacuum housing of the charged particle beam device, and (iii) fixedly mounted in a vacuum chamber of the charged particle beam device. Further, a method for imaging and/or inspecting a sample with a charged particle beam device is described. | 2021-11-25 |
20210366687 | DETECTION SYSTEMS IN SEMICONDUCTOR METROLOGY TOOLS - A semiconductor metrology tool for analyzing a sample is disclosed. The semiconductor metrology tool includes a particle generation system, a local electrode, a particle capture device, a position detector, and a processor. The particle generation system is configured to remove a particle from a sample. The local electrode is configured to produce an attractive electric field and to direct the removed particle towards an aperture of the local electrode. The particle capture device is configured to produce a repulsive electric field around a region between the sample and the local electrode and to repel the removed particle towards the aperture. The position detector is configured to determine two-dimensional position coordinates of the removed particle and a flight time of the removed particle. The processor is configured to identify the removed particle based on the flight time. | 2021-11-25 |
20210366688 | SUPER-RESOLUTION MICROSCOPY - We describe a super-resolution optical microscopy technique in which a sample is located on or adjacent to the planar surface of an aplanatic solid immersion lens and placed in a cryogenic environment. | 2021-11-25 |
20210366689 | SYSTEM APPARATUS AND METHOD FOR ENHANCING ELECTRICAL CLAMPING OF SUBSTRATES USING PHOTO-ILLUMINATION - An apparatus may include a clamp to clamp a substrate wherein the clamp is arranged opposing a back side of the substrate; and an illumination system, disposed to direct radiation to the substrate, when the substrate is disposed on the clamp, wherein the radiation comprises a radiation energy equal to or above a threshold energy to generate mobile charge in the substrate, where the illumination system is disposed to direct radiation to a front side of the substrate, opposite the back side of the substrate. | 2021-11-25 |
20210366690 | GAS DELIVERY SYSTEM FOR ION IMPLANTER - An ion implantation system includes an ion implanter containing an ion source unit and a dopant source gas supply system. The system includes a dopant source gas storage tank inside a gas box container located remotely to the ion implanter and a dopant source gas supply pipe configured to supply a dopant source gas from the dopant source gas storage tank to the ion source unit. The dopant source gas supply pipe includes an inner pipe, an outer pipe enclosing the inner pipe, a first pipe adaptor coupled to first end of respective inner and outer pipes, and a second pipe adaptor coupled to seconds end of respective inner and outer pipes opposite the first end. The first pipe adaptor connects the inner pipe to the dopant source gas storage tank and the second pipe adaptor connects the inner pipe to the ion source unit. | 2021-11-25 |
20210366691 | FORMING METHOD OF COMPONENT AND PLASMA PROCESSING APPARATUS - A forming method of a component for use in a plasma processing apparatus includes irradiating, while supplying a source material of a first ceramic and a source material of a second ceramic different from the first ceramic, an energy beam to the source material of the first ceramic and the source material of the second ceramic. | 2021-11-25 |
20210366692 | SUBSTRATE PROCESSING APPARATUS AND PARAMETER ACQUISITION METHOD - A substrate processing apparatus includes a heater resistor; a digital filter configured to filter at least one of a detection voltage, which is a digital voltage value detected as a voltage applied to the heater resistor, or a detection current, which is detected as a voltage calculated from the heater resistor and a current flowing in the heater resistor and converted into a digital voltage value; and a controller configured to control a temperature of the heater resistor with the detection voltage and the detection current, at least one of the detection voltage or the detection current being filtered by the digital filter. | 2021-11-25 |
20210366693 | SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus capable of locally controlling a plasma intensity and improving thin film properties and thickness uniformity includes: a power supply unit, a processing unit electrically connected to the power supply unit, and a substrate support unit below the processing unit, wherein the substrate support unit includes a first ground electrode and a second ground electrode. | 2021-11-25 |
20210366694 | ELECTROSTATIC CHUCK, FOCUS RING, SUPPORT BASE, PLASMA PROCESSING APPARATUS, AND PLASMA PROCESSING METHOD - An electrostatic chuck according to an exemplary embodiment includes a first region and a second region. The first region has a first upper surface. The first region is configured to hold a substrate disposed on the first upper surface. The second region has a second upper surface. The second region extends in a circumferential direction to surround the first region. The second region is configured to support a focus ring mounted on the second upper surface. The first upper surface and the second upper surface extend along a single flat surface. The first region and the second region provide a space therebetween to separate the first upper surface and the second upper surface from each other. | 2021-11-25 |
20210366695 | SUBSTRATE TREATING APPARATUS AND METHOD FOR CONTROLLING TEMPERATURE OF FERRITE CORE - Disclosed is a substrate treating apparatus, which includes a chamber having a space for treating a substrate in an interior thereof, a substrate support assembly including a support plate situated in the chamber and which supports the substrate, a gas supply unit which supplies a gas into the interior of the chamber, a plasma generating unit which excites the gas in in the interior of the chamber into a plasma state, and a substrate temperature control unit which controls a temperature of the substrate, and the substrate temperature control unit includes a plurality of heaters installed in different areas of the support plate, a power supply part which supplies electric power to the plurality of heaters, a ferrite core which interrupts a low-frequency signal introduced to the power supply part, and a plurality of air cores which interrupts a high-frequency signal introduced into the power supply part. | 2021-11-25 |
20210366696 | ELECTROSTATIC CHUCK, METHOD OF MANUFACTURING ELECTROSTATIC CHUCK, AND SUBSTRATE PROCESSING APPARATUS - An electrostatic chuck according to the present disclosure includes: a dielectric plate embedded with an electrode and configured to electrostatically hold a substrate; a base plate disposed below the dielectric plate; and a heating unit provided in the base plate and configured to independently heat a plurality of regions of the substrate, such that temperatures of the plurality of regions of the substrate may be independently controlled, thereby improving uniformity of the temperature of the substrate. | 2021-11-25 |
20210366697 | FORMING METHOD OF COMPONENT AND SUBSTRATE PROCESSING SYSTEM - A forming method of a component used in a plasma processing apparatus includes irradiating an energy beam to a source material of the component while supplying the source material based on a surface state of the component. | 2021-11-25 |
20210366698 | Resonance Ionization Filter for Secondary Ion and Accelerator Mass Spectrometry - A method of removing nuclear isobars from a mass spectrometric technique comprising directing ions, decelerating the ions, neutralizing a first portion of the ions, creating residual ions and a second portion of the ions, reionizing a selective portion of the ions, re-accelerating the selective reionized portion of ions, and directing the reionized portion of ions to a detector. An apparatus to remove nuclear isobars comprising a deceleration lens, an equipotential surface, an electron source to neutralize a portion of the ion beam, a deflector pair, a tunable resonance ionization laser for selective resonant reionization, and an acceleration lens. | 2021-11-25 |
20210366699 | Predicting Molecular Collision Cross-Section Using Differential Mobility Spectrometry - A plurality of known compounds with known CCS values is analyzed using a DMS device. The DMS device determines how the intensities of their transmitted ions vary with different separation voltages (SVs) and compensation voltages (CVs). A machine learning algorithm builds a data model from the known m/z value, known CCS value, and measured pairs of CV and SV values that provide optimal transmission through the DMS device for each of the known compounds. An unknown compound with an unknown CCS value is then analyzed. The DMS device determines how the intensity of its ions varies with the same different SVs and CVs. Finally, the machine learning algorithm predicts the CCS value of the unknown compound from the data model, the known m/z of the unknown compound, and the measured pairs of CV and SV values that provide optimal transmission through the DMS device for the unknown compound. | 2021-11-25 |
20210366700 | MASS SPECTROMETER, MASS SPECTROMETRY METHOD AND DETECTION SYSTEM - The invention relates to a mass spectrometer, a mass spectrometry method and a detection system. The mass spectrometer includes a vacuum chamber having a working pressure being 0.1 Pa≤P≤10 Pa; a linear ion trap, arranged in the vacuum chamber, wherein a field radius r of the linear ion trap is r≤5 mm; and a power supply, configured to provide a radio-frequency voltage for the linear ion trap, a frequency f of the radio-frequency voltage being 2 MHz≤f≤10 MHz. Because a vacuum level is relatively low, this vacuum condition may be realized by selecting a roughing pump for evacuating; and compared with a combined pump unit which is generally selected by a traditional mass spectrometer using the linear ion trap and is composed of a turbo molecular pump and a roughing pump, the roughing pump has a lower pumping speed, smaller size and lower manufacturing cost. | 2021-11-25 |
20210366701 | Dynamically Concentrating Ion Packets in the Extraction Region of a TOF Mass Analyzer in Targeted Acquisition - Systems and methods are disclosed for dynamically switching an ion guide and a TOF mass analyzer between concentrating or not concentrating ions in a targeted acquisition. Product ions are ejected from the ion guide into the TOF mass analyzer and the intensity of a known product ion is measured at two or more time steps. The ion guide initially ejects product ions using a sequential or Zeno pulsing mode that concentrates product ions with different m/z values within the TOF mass analyzer at the same time. If the intensity of the product ion is increasing and greater than a threshold intensity, the ion guide switches to a continuous or normal pulsing mode that does not concentrate ions with different m/z values in the TOF mass analyzer at the same time. Similarly, if the intensity decreases below a threshold in continuous mode, the ion guide switches back to sequential mode. | 2021-11-25 |
20210366702 | EXPOSURE APPARATUS AND ARTICLE MANUFACTURING METHOD - An exposure apparatus comprising a holding part for holding an electric discharge lamp, the electric discharge lamp includes an electric discharge tube which covers an electric discharge space in which a pair of electrodes are disposed to face each other, a socket provided on one end of the electric discharge tube, a metal member which guides one of the pair of electrodes into the socket, wherein an opening for ventilation is provided in a bottom of the socket, the holding part includes a ventilation pipe to form a path for ventilation through the opening in the bottom of the socket, and a cooling part for cooling the metal member by supplying a cooling medium to the metal member through the ventilation pipe. | 2021-11-25 |
20210366703 | NITRIDE SEMICONDUCTOR ELEMENT - Provided is a nitride semiconductor element that does not cause element breakdown even when driven at high current density. A nitride semiconductor element includes an active layer, an electron block layer formed above the active layer, an AlGaN layer formed on the electron block layer, and a cover layer covering an upper surface of the AlGaN layer and formed of AlGaN or GaN having a lower Al composition ratio than in the AlGaN layer, in which the AlGaN layer includes protrusions provided on a surface opposite to the active layer, and the cover layer covers the protrusions. The AlGaN layer is preferably formed of AlGaN having an Al composition ratio decreasing in a direction away from the active layer, and the protrusions preferably have a frustum shape. | 2021-11-25 |
20210366704 | Semiconductor Device and Method of Manufacture - A semiconductor device and method of manufacture are provided. After a patterning of a middle layer, the middle layer is removed. In order to reduce or prevent damage to other underlying layers exposed by the patterning of the middle layer and intervening layers, an inhibitor is included within an etching process in order to inhibit the amount of material removed from the underlying layers. | 2021-11-25 |
20210366705 | PLASMA ENHANCED WAFER SOAK FOR THIN FILM DEPOSITION - Disclosed are apparatuses and methods for providing a substrate onto a substrate support in a processing chamber, generating an inert plasma in the processing chamber, and maintaining the inert plasma to heat the substrate to a steady state temperature, suitable for conducting plasma-enhanced chemical vapor deposition (PECVD), in less than 30 seconds from providing the substrate onto the substrate support. An apparatus may include a processing chamber, a process station that includes a substrate support, a process gas unit configured to flow an inert gas onto a substrate supported by the substrate support, a plasma source configured to generate an inert plasma in the process station, and a controller with instructions configured to flow the inert gas onto the substrate, generate the inert plasma in the first process station, and maintain the inert plasma to thereby heat the substrate. | 2021-11-25 |
20210366706 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - There is provided a technique that includes: (a) supplying a silicon- and ligand-containing gas to a substrate having a surface on a first base and second base are exposed to adsorb silicon contained in the silicon- and ligand-containing gas on a surface of one of the first and second base; (b) supplying a fluorine-containing gas to the substrate after the silicon is absorbed, to cause the silicon to react with the fluorine-containing gas to modify the surface to be F-terminated; and (c) supplying a film-forming gas to the substrate after the surface is modified, to thereby form a film on a surface of the other of the first base and the second base, which is different from the one of the first base and the second base. | 2021-11-25 |
20210366707 | TRANSITION METAL CHALCOGENIDE FOR PREPARING METAL NANOSTRUCTURES, METAL NANOSTRUCTURES OBTAINED THEREBY, ELECTRONIC INSTRUMENT INCLUDING THE SAME, AND METHOD FOR MANUFACTURING THE SAME - The present disclosure relates to a transition metal chalcogenide for preparing metal nanostructures, metal nanostructures obtained thereby, an electronic instrument including the same, and a method for manufacturing the same. More particularly, the present disclosure relates to a transition metal chalcogenide for preparing metal nanostructures using transition metal dichalcogenide nanosheets as a reducing agent, metal nanostructures obtained thereby, an electronic instrument including the same, and a method for manufacturing the same. | 2021-11-25 |
20210366708 | HETEROJUNCTION METERIAL AND METHOD OF PREPARING THE SAME - A method of preparing a heterojunction material, includes forming a first transition metal on a substrate, forming a second transition metal on the first transition metal, and performing a plasma process containing a chalcogen source on the substrate. The first transition metal and the second transition metal are different from each other. | 2021-11-25 |
20210366709 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a high reliable semiconductor device including a thin film transistor having stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which an oxide semiconductor film is used for a semiconductor layer including a channel formation region, heat treatment (which is for dehydration or dehydrogenation) is performed so as to improve the purity of the oxide semiconductor film and reduce impurities such as moisture. Besides impurities such as moisture existing in the oxide semiconductor film, heat treatment causes reduction of impurities such as moisture existing in the gate insulating layer and those in interfaces between the oxide semiconductor film and films which are provided over and below the oxide semiconductor film and are in contact with the oxide semiconductor film. | 2021-11-25 |
20210366710 | METHOD FOR MANUFACTURING SEMICONDUCTOR CRYSTALLINE THIN FILM AND LASER ANNEALING SYSTEM - A method for manufacturing a semiconductor crystalline thin film according to a viewpoint of the present disclosure includes radiating first pulsed laser light having a first pulse duration to an amorphous semiconductor to poly-crystallize the amorphous semiconductor and radiating second pulsed laser light having a second pulse duration shorter than the first pulse duration to an area of a semiconductor crystal having undergone the poly-crystallization to lower the height of ridges of the semiconductor crystal. | 2021-11-25 |
20210366711 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES AND PATTERN FORMATION METHOD - In a method of manufacturing a semiconductor device, a metallic photoresist layer is formed over a target layer to be patterned, the metallic photoresist layer is selectively exposed to actinic radiation to form a latent pattern, and the latent pattern is developed by applying a developer to the selectively exposed photoresist layer to form a pattern. The metallic photo resist layer is an alloy layer of two or more metal elements, and the selective exposure changes a phase of the alloy layer. | 2021-11-25 |
20210366712 | STRUCTURES INCLUDING MULTIPLE CARBON LAYERS AND METHODS OF FORMING AND USING SAME - Methods and systems for forming a structure including multiple carbon layers and structures formed using the method or system are disclosed. Exemplary methods include forming a first carbon layer and a second carbon layer, wherein a density and/or other property of the first carbon layer differs from the corresponding property of the second carbon layer. | 2021-11-25 |
20210366713 | METHOD FOR PATTERN REDUCTION USING A STAIRCASE SPACER - Devices are made by self-aligned quad pitch patterning (SAQP), staircase patterning and double staircase patterning. Methods for making devices by self-aligned quad pitch patterning (SAQP) use a single spacer in the process. Methods for making devices by staircase patterning and double staircase patterning do not use a spacer. An intermediate process step called self-aligned double patterning (SADP) is used to double the pitch following the spacer deposition. A pattern is formed on a substrate, the pattern having ultra-fine resolutions by repeating the SADP step twice for pitch quadrupling and introducing a reversal layer to form a fine trench pattern and hole pattern. The pattern designs or pattern layouts have improved LER/LWR (line edge roughness and line width roughness respectively) for below 12 nm lines and trenches in order to create self-aligned cross pitch quad trenches. | 2021-11-25 |
20210366714 | METHOD FOR PATTERN REDUCTION USING A STAIRCASE SPACER - Devices are made by self-aligned quad pitch patterning (SAQP) and methods for making devices by self-aligned quad pitch patterning (SAQP) use a single spacer in the process. An intermediate process step called self-aligned double patterning (SADP) is used to double the pitch following the spacer deposition. A pattern is formed on a substrate, the pattern having ultra-fine resolutions by repeating the SADP step twice for pitch quadrupling and introducing a reversal layer to form a fine trench pattern and hole pattern. An initial pattern is obtained by the X-Y double line exposures. Reverse material is applied on the initial pattern and subsequent etching process converts each initial trench pattern to a line. The pattern designs or pattern layouts have improved LER/LWR (line edge roughness and line width roughness respectively) for below 12 nm lines and trenches in order to create self-aligned cross pitch quad trenches. | 2021-11-25 |
20210366715 | Semiconductor Device and Method - In an embodiment, a method includes: forming a first fin extending from a substrate, the substrate including silicon, the first fin including silicon germanium; forming an isolation region around the first fin, an oxide layer being formed on the first fin during formation of the isolation region; removing the oxide layer from the first fin with a hydrogen-based etching process, silicon at a surface of the first fin being terminated with hydrogen after the hydrogen-based etching process; desorbing the hydrogen from the silicon at the surface of the first fin to depassivate the silicon; and exchanging the depassivated silicon at the surface of the first fin with germanium at a subsurface of the first fin. | 2021-11-25 |
20210366716 | Method for Metal Gate Cut and Structure Thereof - A semiconductor device includes a substrate, a first semiconductor fin and a second semiconductor fin protruding from the substrate, an isolation feature disposed on the substrate and on sidewalls of the first and second semiconductor fins, a gate structure disposed on the isolation feature. The semiconductor device also includes a dielectric fin disposed on the isolation feature and sandwiched between the first and second semiconductor fins. A middle portion of the dielectric fin separates the gate structure into a first gate structure segment engaging the first semiconductor fin and a second gate structure segment engaging the second semiconductor fin. | 2021-11-25 |
20210366717 | SEMICONDUCTOR DEVICE STRUCTURE WITH SILICIDE - A semiconductor device structure is provided. The semiconductor device structure includes a substrate having a base portion and a fin portion over the base portion. The semiconductor device structure includes an epitaxial structure over the fin portion. The semiconductor device structure includes a dielectric fin over the base portion. The semiconductor device structure includes a silicide layer between the dielectric fin and the epitaxial structure. A distance between the silicide layer and the dielectric fin increases toward the base portion. | 2021-11-25 |
20210366718 | ETCHING METHOD AND PLASMA PROCESSING APPARATUS - In an etching method, plasma from a processing gas containing a fluorocarbon gas is formed within a chamber of a plasma processing apparatus, and a deposit containing fluorocarbon is formed on a substrate. The substrate includes a first region formed of a silicon containing material and a second region formed of a metal containing material. Subsequently, plasma from a rare gas is formed within the chamber, and rare gas ions are supplied to the substrate. As a result, the first region is etched by the fluorocarbon contained in the deposit. When the plasma from the rare gas is formed, a magnetic field distribution in which a horizontal component on an edge side of the substrate is higher than a horizontal component on a center of the substrate is formed by an electromagnet. | 2021-11-25 |
20210366719 | SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF - A semiconductor structure and a manufacturing method thereof are provided. The method includes: providing a substrate; forming, on the substrate, a first mask layer having a plurality of strip-shaped first patterns arranged in parallel; forming, on the first mask layer, a second mask layer having a plurality of strip-shaped second patterns arranged in parallel; forming, on the second mask layer, a third mask layer having a plurality of strip-shaped third patterns arranged in parallel, the second patterns overlap with the third patterns, and the second patterns and the third patterns are configured to sever the first patterns at predetermined positions; and performing layer-by-layer etching, using the first mask layer, the second mask layer, and the third mask layer as masks to transfer the first patterns, the second patterns, and the third patterns to the substrate to form an array of discrete active areas. | 2021-11-25 |
20210366720 | SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME - A semiconductor device includes a stacked structure on a substrate. The stacked structure includes stepped regions and a central region between the stepped regions, an upper insulation layer on the stacked structure, and a capping insulation layer on the stepped regions of the stacked structure. The capping insulation layer includes a first upper end portion and a second upper end portion that are adjacent to the upper insulation layer. The upper insulation layer is between the first upper end portion and the second upper end portion. The first upper end portion and the second upper end portion extends a first height relative to the substrate that is different from a second height relative to the substrate of the second upper end portion. | 2021-11-25 |
20210366721 | SUBSTRATE PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - A substrate processing method for reducing a surface roughness of a semiconductor wafer by processing a film structure having at least two types of films beforehand disposed on the substrate, including steps of repeating an adsorption step of supplying activated particles into the processing chamber and allowing the particles to be adsorbed to a surface of a desirable film to be etched in the at least two types of films to allow the particles to combine with a material of the desirable film to form a reaction layer, a removal step of using plasma generated by supplying oxygen into the processing chamber to remove a deposit containing particles adhering to a surface of an undesirable film to be etched in the films, and a desorption step of desorbing and removing the reaction layer on the desirable film to be etched by heating the sample. | 2021-11-25 |
20210366722 | DIRECTIONAL SELECTIVE JUNCTION CLEAN WITH FIELD POLYMER PROTECTIONS - Described is a process to clean up junction interfaces for fabricating semiconductor devices involving forming low-resistance electrical connections between vertically separated regions. An etch can be performed to remove silicon oxide on silicon surface at the bottom of a recessed feature. Described are methods and apparatus for etching up the bottom oxide of a hole or trench while minimizing the effects to the underlying epitaxial layer and to the dielectric layers on the field and the corners of metal gate structures. The method for etching features involves a reaction chamber equipped with a combination of capacitively coupled plasma and inductive coupled plasma. CH | 2021-11-25 |
20210366723 | SYSTEMS AND METHODS FOR SELECTIVE ION MASS SEGREGATION IN PULSED PLASMA ATOMIC LAYER ETCHING - Differences in ion mass of lighter ions (having a higher mobility) and heavier ions are utilized in conjunction with bias voltage modulation of an atomic layer etch (ALE) to provide a fast ALE process. The difference in ion mobility achieves surface modification with reactive neutral species in the absence of a bias voltage, and ion bombardment with lighter ions (e.g., inert or less reactive ions) in the presence of a bias voltage. By modulating the bias voltage, preferential ion bombardment is achieved with lighter ions without the need to physically separate or purge the reactive precursors and inert gases supplied to the process chamber for a given ALE cycle. A “fast” plasma ALE process is provided which improves etch rate, throughput and cost-efficiency by enabling the same gas chemistry composition (e.g., reactive precursor and inert gas combination) to be kept in the process chamber during a given ALE cycle. | 2021-11-25 |
20210366724 | ETCHING METHOD AND PLASMA PROCESSING APPARATUS - A disclosed etching method includes (a) etching a titanium nitride film with a first plasma, and (b) etching the titanium nitride film with a second plasma. The first plasma is generated from a first processing gas, and the second plasma is generated from a second processing gas. One of the first processing gas and the second processing gas contains a chlorine-containing gas and a fluorocarbon gas, and the other of the first processing gas and the second processing gas contains a chlorine-containing gas and does not contain a fluorocarbon gas. A repetition of a cycle including the operations (a) and (b) is performed. The repetition of the cycle is stopped in a state where the titanium nitride film is partially etched in a film thickness direction thereof. | 2021-11-25 |
20210366725 | MEMORY, SUBSTRATE STRUCTURE OF THE MEMORY, AND METHOD FOR PREPARING THE SUBSTRATE STRUCTURE OF THE MEMORY - A substrate structure of the memory, and a method for preparing the substrate structure of the memory are provided. The method includes: providing a substrate; forming a first mask layer on the substrate, the first mask layer including a plurality of strip patterns extending in a direction and spaced apart from each other; forming a first dielectric layer covering the first mask layer; forming a plurality of sacrificial portions spaced apart from each other in the first dielectric layer and covering a portion of the plurality of strip patterns; filling gaps between the sacrificial portions with a second dielectric material; forming a second mask layer by removing the sacrificial portions while retaining the second dielectric material in the gaps; and performing layer-by-layer etching into the substrate to form a plurality of active areas arranged in an array. | 2021-11-25 |
20210366726 | Via Connection to a Partially Filled Trench - An integrated circuit structure includes a first metal feature formed into a first dielectric layer, a second metal feature formed into a second dielectric layer, the second dielectric layer being disposed on said first dielectric layer, and a via connecting the first metal feature to the second metal feature, wherein a top portion of the via is offset from a bottom portion of the via. | 2021-11-25 |
20210366727 | Processing of Workpieces Using Ozone Gas and Hydrogen Radicals - Methods for processing a workpiece are provided. The workpiece can include a ruthenium layer and a copper layer. In one example implementation, a method for processing a workpiece can include supporting a workpiece on a workpiece support. The method can include performing an ozone etch process on the workpiece to at least a portion of the ruthenium layer. The method can also include performing a hydrogen radical treatment process on a workpiece to remove at least a portion of an oxide layer on the copper layer. | 2021-11-25 |
20210366728 | SEMICONDUCTOR PACKAGE INCLUDING LEADS OF DIFFERENT LENGTHS - A semiconductor package includes a die pad, a die, a first lead, a plurality of second leads, and a mold material. The die is electrically coupled to the die pad. The first lead is electrically coupled to the die. The plurality of second leads are electrically coupled to the die. The plurality of second leads are adjacent to the first lead. The mold material encapsulates at least a portion of the die pad, the die, the first lead, and the plurality of second leads. Each of the plurality of second leads extends a farther distance from the mold material than the first lead. | 2021-11-25 |
20210366729 | PACKAGING PROCESS FOR SIDE-WALL PLATING WITH A CONDUCTIVE FILM - Techniques and devices are disclosed for forming wettable flanks on no-leads semiconductor packages. A lead frame assembly may include a plurality of leads, each lead including a die surface and a plating surface, and an integrated circuit die arranged on the die surface. The plating surface for each of the leads may be plated with an electrical plating. A connecting film may be applied and lead frame assembly may be singulated into individual semiconductor packages by a series of cuts through each of the plurality of leads and the electrical plating of each of the plurality of leads to a depth up to or through a portion of the connecting film to create a channel exposing lead sidewalls of each of the plurality of leads. The lead sidewalls of each of the plurality of leads may be plated with a second electrical plating and the connecting film may be removed. | 2021-11-25 |
20210366730 | SEMICONDUCTOR MODULE HAVING A LAYER THAT INCLUDES INORGANIC FILLER AND A CASTING MATERIAL - A power semiconductor module arrangement includes: a substrate arranged within a housing; at least one semiconductor body arranged on a top surface of the substrate; and a first layer arranged on a first surface within the housing. The first layer includes inorganic filler which is impermeable to corrosive gases and a casting material which fills spaces present in the inorganic filler. | 2021-11-25 |
20210366731 | MASK, USAGE METHOD THEREOF, AND MANUFACTURING METHOD OF ENCAPSULATION LAYER - The invention relates to a mask, a usage method thereof, and a manufacturing method of an encapsulation layer. A sum of gravity on a first area and external stress is less than gravity on a second area of the mask, and the sum of the gravity on the first area and external stress is greater than gravity on a third area. The first area of the mask has a lesser deformation amount, or almost no deformation, thereby a bonding area between the first area of the mask and a glass substrate is increased and a width of a deformation area at an edge of the mask is reduced. | 2021-11-25 |
20210366732 | Semiconductor Package with Lead Tip Inspection Feature - A method includes providing a carrier, mounting a plurality of semiconductor dies on the carrier, forming a region of electrically insulating encapsulant material on the carrier that covers each of the semiconductor dies, removing sections of the encapsulant material to form gaps in the region of electrically insulating encapsulant material between each of the semiconductor dies, forming electrically conductive material within the gaps, and singulating the region of electrically insulating encapsulant material along each of the gaps to form a plurality of discrete encapsulant bodies. Each of the packaged semiconductor devices comprises a sidewall-facing terminal that is disposed on a sidewall of the encapsulant body. For each of the packaged semiconductor devices the sidewall-facing terminal is electrically connected to the semiconductor die of the respective packaged semiconductor device. The sidewall-facing terminal of each packaged semiconductor device is provided from the electrically conductive material formed within the gaps. | 2021-11-25 |
20210366733 | POWDER PROTECTING THREE-WAY VALVE - Disclosed is a powder protecting three-way valve for a semiconductor or flat panel display manufacturing apparatus, including: a valve casing including an inlet and a plurality of outlets; a rotating ball rotatably installed in the valve casing to control a flow direction of reaction by-product gas; a nitrogen gas supply member which receives nitrogen gas from an outside, guides a flow of the nitrogen gas using a guide path, and supplies the nitrogen gas into the valve casing to prevent a powder contained in the reaction by-product gas from accumulating in the valve casing; and a heating unit installed in the nitrogen gas supply member to heat the nitrogen gas passing through an interior of the nitrogen gas supply member. The nitrogen gas supply member is formed as a thin flat body pressed against one side surface of the valve casing and is integrally coupled to the valve casing. | 2021-11-25 |
20210366734 | COOLING WATER CIRCULATION SYSTEM-INTEGRATED BY-PRODUCT COLLECTION APPARATUS - Disclosed is a by-product collection apparatus which collects a by-product generated during a manufacturing process of manufacturing a semiconductor or a semiconductor-like product. The apparatus includes: a by-product collection module connected to a pipeline in series and configured to collect a by-product from a by-product gas, wherein the by-product gas flows in the pipeline having an inlet pipe and an outlet pipe provided at upper and lower portions of a first casing; and a cooling water supply module including a cooling water tank and a cooling water pump in a second casing and configured to supply and recover cooling water to and from the by-product collection module through a cooling pipe. | 2021-11-25 |
20210366735 | FLUID CONTROL SYSTEM - An improved fluid delivery system and method that directly controls the concentration of constituent components in a fluid mixture delivered, for example, to a process chamber. Pressure of the fluid mixture can also be directly controlled. A concentration sensor capable of measuring concentration of all of the constituent components in a fluid mixture is used to provide signals used to vary the flow rate of constituent gases under a closed loop feedback system. The signal output of one or more pressure sensors can also be used to provide a signal used to vary the flow rate of constituent gases under a closed loop feedback system. By directly controlling these two extremely important process variables, embodiments of the present invention provide a significant advantage in measurement accuracy over the prior art, enable real-time process control, reduce system level response time, and allow for a system with a significant footprint reduction. | 2021-11-25 |
20210366736 | COUPLED PROCESSING CONTAINERS, SUBSTRATE PROCESSING SYSTEM, AND SUBSTRATE PROCESSING METHOD - Coupled processing containers include a first processing container and a second processing container provided side by side in a horizontal direction to form a gap therebetween, the first processing container and the second processing container being configured to store substrates, respectively, in order to perform vacuum processing, and a connecting part provided across the gap so as to connect the first processing container and the second processing container to each other, the connecting part being configured to be slidable in the horizontal direction with respect to at least one of the first processing container and the second processing container. | 2021-11-25 |
20210366737 | SUBSTRATE PROCESSING APPARATUS AND METHOD FOR PROCESSING SUBSTRATE - A substrate processing apparatus is provided. The substrate processing apparatus includes a plurality of holding members and at least a first injector. The plurality of holding members are configured to hold a substrate. The substrate includes a front surface and a back surface opposite to the front surface. The first injector is below the holding members and is configured to face the back surface of the substrate. The first injector is displaced from a projection of a center of the substrate from a top view perspective. A method for processing a substrate is also provided. | 2021-11-25 |
20210366738 | VAPOR DELIVERY HEAD FOR PREVENTING STICTION OF HIGH ASPECT RATIO STRUCTURES AND/OR REPAIRING HIGH ASPECT RATIO STRUCTURES - A vapor delivery head for wet treatment of a substrate includes a body including an upper surface, a lower surface, an upper plenum and a lower plenum. A first bore is arranged on the upper surface of the body and fluidly connected to the upper plenum to supply heated fluid. A second bore is arranged on the upper surface of the body and connected to the upper plenum to remove heated fluid. A third bore is arranged on the upper surface of the body and connected to the lower plenum to receive a gas mixture. A plurality of through holes through the lower surface of the body are in fluid communication with the lower plenum. | 2021-11-25 |
20210366739 | COOLING DEVICE, SUBSTRATE TREATMENT DEVICE, COOLING METHOD, AND SUBSTRATE TREATMENT METHOD - According to one embodiment, a cooling device includes a flow path configured to flow a refrigerant, a condenser provided in the flow path, a heat exchanger provided in the flow path, a compressor provided in the flow path between the condenser and the heat exchanger, a cooler cooling the refrigerant flowing from the condenser into the heat exchanger, a gas cooling part supplying a gas to the heat exchanger, and configured to cool the gas by exchanging heat with the refrigerant, a first thermometer configured to detect a temperature of the cooled gas, a second thermometer configured to detect a temperature of the refrigerant flowing into the heat exchanger, and a first controller configured to control the temperature of the cooled refrigerant flowing into the heat exchanger by the cooler. The first controller controls the temperature of the cooled refrigerant by switching a first control and a second control. | 2021-11-25 |
20210366740 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus includes: a processing container, a mixing device, a liquid feeding path, and a controller. The processing container processes a substrate by immersing the substrate in a processing liquid. The mixing device mixes a phosphoric acid aqueous solution and an additive, to produce a mixed liquid to be used as a raw material of the processing liquid. The liquid feeding path feeds the mixed liquid from the mixing device to the processing container. The controller controls the substrate processing apparatus. The controller performs a control to feed the mixed liquid from the mixing device to the processing container in which the substrate is immersed, after a phosphoric acid concentration of the mixed liquid is regulated from a first concentration to a second concentration higher than the first concentration. The first concentration is a concentration when the phosphoric acid aqueous solution is supplied to the mixing device. | 2021-11-25 |
20210366741 | HEATER POWER FEEDING MECHANISM - A heater power feeding mechanism for independently controlling temperatures of zones of a stage on which a substrate is placed. The respective zones of the stage include heaters. The heater power feeding mechanism includes a plurality of heater terminals configured to be connected to the heaters, a plurality of heater wires connected to the heater terminals, and an offset structure that offsets the heater wires from each other. The heater terminals are disposed on the periphery of a holding plate for holding the stage. | 2021-11-25 |
20210366742 | FLANGE AND APPARATUS FOR PROCESSING SUBSTRATES - The disclosure relates to a flange for a process tube in an apparatus for processing substrates, e.g., a vertical furnace. The flange may be provided with an opening for in use giving access to the process chamber of the process tube and a cooling channel for allowing a cooling fluid to flow there through and cool the flange. A material with a heat conductivity between 0.1 and 40 W/m K may be at least partially provided in between the cooling fluid and the rest of the flange. | 2021-11-25 |
20210366743 | SEMICONDUCTOR PACKAGING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME - A semiconductor packaging apparatus and methods of manufacturing semiconductor devices using the same. The semiconductor packaging apparatus includes a process unit, and a controller associated with the process unit. The process unit includes a bonding part that bonds a semiconductor substrate and a carrier substrate to each other to form a bonded substrate, a cooling part that cools the bonded substrate, and a detection part in the cooling part and configured to detect a defect of the bonded substrate. The controller is configured to control the process unit using data obtained from the detection part. | 2021-11-25 |
20210366744 | PICK AND PLACEMENT OF SEMICONDUCTOR CHIPS BASED ON NOZZLELESS SELF-FOCUSING ACOUSTIC DROPLET EJECTOR - A device for picking and placing semiconductor chips includes a liquid having a first surface and a second surface and a layer of semiconductor chips disposed over the first surface. Characteristically, the first surface is a liquid-air interface. The device also includes a focused ultrasonic transducer positioned to focus acoustic wave on the layer of semiconductor chips such that a droplet including at least one semiconductor chip is ejected through the liquid-air per each actuation of the focused ultrasonic transducer through droplet ejection. The focused ultrasonic transducer includes a piezoelectric substrate having a top face and a bottom face, a Fresnel acoustic lens including a plurality of annular rings of air cavities disposed on the top face, and a first patterned circular electrode disposed over the top face and a second patterned circular electrode disposed over the bottom face. The first patterned circular electrode overlaps the second patterned circular electrode. | 2021-11-25 |
20210366745 | THERMAL PROCESSING APPARATUS - A thermal processing apparatus according to the present invention includes: a support including quartz and being for supporting a substrate from a first side within a chamber; a flash lamp disposed on a second side and being for heating the substrate by irradiating the substrate with a flash of light; a continuous illumination lamp disposed on the second side of the substrate and being for continuously heating the substrate; a light blocking member disposed to surround the substrate in plan view; and a radiation thermometer disposed on the first side of the substrate and being for measuring a temperature of the substrate, wherein the radiation thermometer measures the temperature of the substrate by receiving light at a wavelength capable of being transmitted through the support. Accuracy of measurement of the temperature of the substrate can thereby be increased. | 2021-11-25 |
20210366746 | ACTIVE WORKPIECE HEATING OR COOLING FOR AN ION IMPLANTATION SYSTEM - A heated chuck for an ion implantation system selectively clamps a workpiece to a carrier plate having heaters to selectively heat a clamping surface. A gap between a base plate and carrier plate of the heated chuck contains a heat transfer media. A cooling fluid source is coupled to cooling channels in the base plate. A controller operates the heated chuck in a first mode and second mode. In the first mode, the controller does not activate the heaters and flows the cooling fluid through the cooling channel, where heat is transferred through the heat transfer media and to the cooling fluid. In the second mode, the controller activates the heaters and optionally purges the cooling fluid from the cooling channel or otherwise alters its cooling capacity. A gas can be selectively provided in the gap to further control heat transfer in the first and second modes. | 2021-11-25 |
20210366747 | SUBSTRATE TRANSFER DEVICE AND SUBSTRATE GRIPPING DETERMINATION METHOD - A substrate transfer device includes: a support part configured to support a substrate to be transferred and provided with a plurality of engagement portions which are engaged with an edge of the substrate on a first side of the substrate; a gripping part configured to move toward or away from the plurality of engagement portions and provided with a plurality of contact portions which come into contact with the edge of the substrate on a second side of the substrate when moving toward the plurality of engagement portions; a plurality of detection parts provided in the plurality of contact portions, respectively, and configured to detect distortion amounts of the plurality of contact portions; and a determination part configured to determine a gripping situation of the substrate based on detection results obtained by the plurality of detection parts. | 2021-11-25 |
20210366748 | MOLD AND TRANSFER MOLDING APPARATUS - According to one embodiment, a mold includes a substrate clamping surface, a cavity, a suction part, a vent, an intermediate cavity, and an opening/closing part. The substrate clamping surface contacts a surface of a processing substrate. The cavity is recessed from the substrate clamping surface. The suction part is recessed from the substrate clamping surface. The vent is provided on a path between the cavity and the suction part, communicates with the cavity, is recessed from the substrate clamping surface to a vent depth. The intermediate cavity is provided between the vent and the suction part on the path, communicates with the vent, and is recessed from the substrate clamping surface to an intermediate cavity depth deeper than the vent depth. The opening/closing part opens and closes the path and is provided between the intermediate cavity and the suction part on the path. | 2021-11-25 |
20210366749 | PREDICTIVE WAFER SCHEDULING FOR MULTI-CHAMBER SEMICONDUCTOR EQUIPMENT - Disclosed herein is technology for performing a simulation based optimization to identify a schedule for a manufacturing tool. An example method may include determining, by a processing device, resources of a manufacturing tool, wherein the resources comprise a first chamber and a second chamber; accessing task data indicating a first manufacturing task and a second manufacturing task; determining a duration to perform the first manufacturing task using the first chamber and a duration to perform the second manufacturing task using the second chamber; updating a machine learning model based on the duration to perform the first manufacturing task and the duration to perform the second manufacturing task; performing a set of computer simulations that uses the machine learning model and the task data to produce a set of simulation results; storing, by the processing device, a simulation result of the set of simulation results in a data store. | 2021-11-25 |
20210366750 | ABNORMALITY DETECTION APPARATUS - Provided is an abnormality detection apparatus and the like capable of quickly and accurately detecting lifting abnormality of a substrate attributable to detachment failure of a substrate from an electrostatic chuck, or the like. An abnormality detection apparatus | 2021-11-25 |
20210366751 | RETICLE RETAINING SYSTEM - The instant disclosure discloses a reticle retaining system comprising an inner pod and an outer pod. The inner pod is configured to receive a reticle that includes a first identification feature. The inner pod comprises an inner base having a reticle accommodating region generally at a geometric center thereof and surrounded by a periphery region, and an inner cover configured to establish sealing engagement with the inner base. The inner base has a first observable zone defined in the reticle accommodating region correspondingly arranged to allow observation of the first identification feature. The outer pod is configured to receive the inner base. The outer pod comprises an outer base having a second observable zone defined thereon observably aligned to the first observable zone of the inner pod upon receiving the inner pod, and an outer cover configured to engage the outer base and cover the inner pod. | 2021-11-25 |
20210366752 | APPARATUS AND METHOD FOR TRANSFERRING WAFERS - An illustrative embodiment disclosed herein is an apparatus including a first loading tray configured to couple to a first wafer holding device holding a plurality of wafers. The first wafer holding device includes a first opening. The apparatus includes a second loading tray configured to couple to a second wafer holding device. The second wafer holding device includes a second opening. The apparatus includes a first motor coupled to the first loading tray and configured to rotate the first wafer holding device until the first opening faces the second opening to allow transfer of the plurality of wafers from the first wafer holding device to the second wafer holding device. | 2021-11-25 |
20210366753 | EQUIPMENT FRONT END MODULES INCLUDING MULTIPLE ALIGNERS, ASSEMBLIES, AND METHODS - A system includes an equipment front end module chamber, alignment pedestals housed within the equipment front end module chamber, and a load/unload robot at least partially housed within the equipment front end module chamber. The alignment pedestals include a first alignment pedestal having a first support surface and a second alignment pedestal having a second support surface, and the first support surface has a vertical offset and an overlap region having at least a partial overlap relative to the second support surface. The load/unload robot includes an arm, and vertically arranged blades attached to the arm. The vertically arranged blades include an upper blade configured to transfer a first substrate to the first alignment pedestal and a lower blade configured to transfer a second substrate to the second alignment pedestal. | 2021-11-25 |