Yin, Beijing
Baozuo Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20130072647 | OLEFIN POLYMERIZATION CATALYST AND PREPARATION METHOD AND USE THEREOF - An olefin polymerization catalyst and preparation method and use thereof are provided. The components of the catalyst comprise an active magnesium halide, a titanium compound containing at least one Ti-halide bond loaded on the active magnesium halide, and an internal electron donor selected from one or more silicon esters compounds having formula (I). The method for preparing the catalyst components is that: adding spherical magnesium chloride alcoholate particles and the electron donor into the solution of titanium compound in sequence, and processing with the titanium compound for one or more times to obtain the catalyst. The catalyst system used for the olefin polymerization comprises the catalyst components, a cocatalyst and an external electron donor. The catalyst has high activity for the propylene polymerization, and the activity is 4399 gPP/gTi·h(50° C., 1 h, slurry polymerization at atmospheric pressure), and the isotacticity of the polymer is 98%. | 03-21-2013 |
Chaojun Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20110161802 | Methods, processes and systems for centralized rich media content creation, custimization, and distributed presentation - The present invention is related to methods, processes, and systems that enable web users to quickly create, customize, and publish rich media contents via Internet. Web addresses and attributes with regard to the published rich media contents are also generated. The published rich media contents, web addresses and attributes are stored locally in a centralized place, but they can be called by any geographically distributed third-party websites or remote web users, and then be presented on the third-party websites or the terminal devices of the remote web users. Furthermore, the present invention also enables web users to quickly create and customize personal online stores at a centralized place, and then list the published rich media contents in their personal online stores. These listed rich media contents can also be referenced and called by any geographically distributed third-party websites or remote web users, and then be presented on the third-party websites or the terminal devices of the remote web users. | 06-30-2011 |
Cuiran Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140300913 | DISTRIBUTED DIGITAL INKJET PRINTING METHOD AND SYSTEM SUPPORTING VARIABLE DATA - Disclosed are a distributed digital inkjet printing method and system supporting variable data. A colour surface controller is configured for each print colour surface to increase the data transmission and computation speed of a hardware control module of each colour surface; and in addition, a server conducts RIP computation and transmits the computed page data of each colour surface to each colour surface controller. By using the method, the data transmission amount and the data amount read from a hard disk can be effectively reduced, and the computation efficiency can be increased, thereby increasing the printing speed of digital printers | 10-09-2014 |
Dali Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20120071472 | RIMINOPHENAZINES WITH 2-(HETEROARYL)AMINO SUBSTITUENTS AND THEIR ANTI-MICROBIAL ACTIVITY - The present invention relates to riminophenazines having heteroaromatic substitutions, including those with 2-heteroaryl-amino substituents, to their preparation, and to their use as drugs for treating | 03-22-2012 |
20140243327 | RIMINOPHENAZINES WITH 2-(HETEROARYL) AMINO SUBSTITUENTS AND THEIR ANTI-MICROBIAL ACTIVITY - The present invention relates to riminophenazines having heteroaromatic substitutions, including those with 2-heteroaryl-amino substituents, to their preparation, and to their use as drugs for treating | 08-28-2014 |
20140323501 | AMINO-PROPYLENE-GLYCOL DERIVATIVES, PREPARATION METHOD AND PHARMACEUTICAL COMPOSITION AND USE THEREOF - Immune-modulators of formula (I) below are prepared: | 10-30-2014 |
Da Li Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20090055818 | METHOD FOR SUPPORTING, SOFTWARE SUPPORT AGENT AND COMPUTER SYSTEM - Information can be automatically collected related to running of software. The collected information can be packed into a message format of a messaging software. The collected information related to running of the software can be sent by using the messaging software. | 02-26-2009 |
Deshuai Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150042349 | VOLTAGE MEASUREMENT - Apparatus comprises a charging terminal for connection to a source of charging current; a battery terminal for connection to a battery; a first resistive element; a second resistive element; a switch having a single pole and first and second throws; and a voltage measurement circuit having first and second inputs. The first throw of the switch is coupled to a node between the battery terminal and the second resistive element. The second throw of the switch is coupled to a node between the charging terminal and the first resistive element. The first resistive element is coupled between the charging terminal and the second resistive element. The second resistive element is coupled between the battery terminal and the first resistive element. The pole of the switch is coupled to a first input of the voltage measurement circuit. | 02-12-2015 |
Dongdong Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140047734 | Prebake Equipment And Air Discharge Method Thereof - A prebake equipment for drying a substrate ( | 02-20-2014 |
Frank Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140035647 | APPARATUS AND METHOD FOR ENHANCING STABILITY OF ELECTRONIC DEVICE HAVING A HIGH-ACCURACY CLOCK - An embodiment relates to an apparatus and method for enhancing stability of electronic device having a high-accuracy clock. Specifically, there is disclosed a controller for an electronic device, including a control core configured to generate a signal for controlling operation of the electronic device, an internal clock source coupled to the control core and configured to provide a high-speed internal (HSI) clock signal to the control core to act as a drive signal, and at least one timing-sensitive component coupled to an external clock source of the controller and configured to receive a high-speed external (HSE) clock signal generated by an external clock source to act as a drive signal. There is further disclosed a method for driving such kind of controller. According to an embodiment, the high-clock-accuracy requirement and the stability and robustness requirement can be satisfied simultaneously. | 02-06-2014 |
Gaosong Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20130145122 | INSTRUCTION PROCESSING METHOD OF NETWORK PROCESSOR AND NETWORK PROCESSOR - The present invention provides an instruction processing method of a network processor and a network processor. The method includes: when executes a pre-added combined function call instruction, adding an address of its next instruction to a stack top of a first stack; judging, according to the combined function call instruction, whether an enable flag of each additional feature is enabled, and if enabled, adding a function entry address corresponding to an additional feature to the stack top of the first stack; and after finishing judging all enable flags, popping a function entry address in the first stack, and executing a function corresponding to a popped function entry address until the address of the next instruction is popped. In the present invention, only one judgment jump instruction needs to be added to a main line procedure to implement function call of enabled additional features, which saves an instruction execution cycle. | 06-06-2013 |
Haijun Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20100110323 | ARRAY SUBSTRATE OF LCD WITH WIDE VIEWING ANGLE AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to an array substrate of an LCD with a wide viewing angle and a method for manufacturing the same. The array substrate includes: gate lines and data lines formed on a substrate, and TFTs and pixel electrodes formed in pixel areas defined by the gate lines and the data lines, wherein at least one shaft for inducing liquid crystal to form a multi-domain structure is formed on each of the pixel electrodes. The method includes: forming a pattern containing gate lines, gate electrodes, data lines, source electrodes, drain electrodes and TFT channels on a substrate; depositing a passivation layer, opening a first via hole for connecting each of the drain electrodes to each of pixel electrodes and a second via hole for forming a shaft; and depositing a transparent conductive film, forming a pattern containing the pixel electrodes within pixel areas, and forming a shaft at the second via hole for inducing liquid crystal to form a multi-domain structure. The present invention uses a shaft structure to induce liquid crystal to form a multi-domain structure, which not only realizes a symmetric wide viewing angle, but also simplifies the structure and manufacturing process of an array substrate. | 05-06-2010 |
Huaxiang Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20120139054 | Device Having Adjustable Channel Stress and Method Thereof - The present invention relates to a device having adjustable channel stress and method thereof. There is provided an MOS device ( | 06-07-2012 |
20120164808 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes the steps of: forming a first gate stack on a semiconductor substrate, the first gate stack includes a first gate conductor and a first gate dielectric between the first gate conductor and the semiconductor substrate; forming source/drain regions on the semiconductor substrate; forming a multilayer structure including at least one sacrificial layer and at least one insulating layer under the sacrificial layer on the semiconductor substrate and the first gate stack; performing a first RIE on the multilayer structure; performing a second RIE on the multilayer structure; selectively etching the first gate stack with respect to the insulating layer, in which the first gate conductor is removed and an opening is formed in the insulating layer; and forming a second gate conductor in the opening. | 06-28-2012 |
20120164838 | METHOD FOR PLANARIZING INTERLAYER DIELECTRIC LAYER - The present application discloses provides a method for planarizing an interlayer dielectric layer, comprising the steps of: providing a multilayer structure including at least one sacrificial layer and at least one insulating layer under the sacrificial layer on the semiconductor substrate and the first gate stack, performing a first RIE on the multilayer structure, in which a reaction chamber pressure is controlled in such a manner that an etching rate of the portion of the at least one sacrificial layer at a center of a wafer is higher than that at an edge of the wafer, so as to obtain a concave etching profile; performing a second RIE on the multilayer structure to completely remove the sacrificial layer and a part of the insulating layer, so as to obtain the insulating layer having a planar surface which serves as an interlayer dielectric layer. The planarization process can replace a CMP process for providing an interlayer dielectric layer having a planar surface, which achieves a relative larger available area of the wafer. | 06-28-2012 |
20120181634 | Method of Introducing Strain Into Channel and Device Manufactured by Using the Method - The present invention relates to a method of introducing strain into a channel and a device manufactured by using the method, the method comprising: providing a semiconductor substrate; forming a channel in the semiconductor substrate; forming a first gate dielectric layer on the channel; forming a polysilicon gate layer on the first gate dielectric layer; doping or implanting a first element into the polysilicon gate layer; removing a part of the first gate dielectric layer and polysilicon gate layer to thereby form a first gate structure; forming a source/drain extension region in the channel; forming spacers on both sides of the first gate structure; forming a source/drain in the channel; and performing annealing such that lattice change occurs in the polysilicon that is doped or implanted with the first element in the high-temperature crystallization process, thereby producing a first strain in the polysilicon gate layer, and introducing the first strain through the gate dielectric layer to the channel. This method has greater process flexibility and simple process complexity with no additional process cost. | 07-19-2012 |
20120261763 | Semiconductor Structure and Method for Manufacturing the Same - The present invention relates to a semiconductor and a method for manufacturing the same. The semiconductor structure comprises an NMOS device comprising a first gate structure and a PMOS device comprising a second gate structure; a first stress liner, at least formed on both sides of the first gate structure of said NMOS device; a second stress liner, at least formed on both sides of the second gate structure of said PMOS device; wherein said first stress liner is a spin-on glass (SOG) film with tensile stress, said second stress liner is formed of a material that can introduce compressive stress into the channel of the PMOS device. The present invention can reduce the difficulty of the process of manufacturing dual stress liner using the same material, e.g. nitride, and can reduce influence of nitride having a high dielectric constant upon the device interconnect delay while still maintaining the tensile strain advantage. | 10-18-2012 |
20130005127 | METHOD FOR MANUFACTURING MULTIGATE DEVICE - A method for manufacturing a multigate device is provided, comprising: providing a semiconductor substrate; etching the semiconductor substrate to form a protruding fin; etching the semiconductor substrate at the bottom of the fin so as to form a gap between the fin and the semiconductor substrate; forming a dielectric layer which covers the semiconductor substrate and the fin and fills the gap; and etching the dielectric layer so as to expose the top and a portion of sidewalls of the fin. The present invention can realize isolation between fins with a simple process, which costs relatively low and is suitable for massive industrial application. | 01-03-2013 |
20130026496 | Semiconductor Device and Manufacturing Method Thereof - A method for manufacturing a semiconductor device, comprising forming a tunneling dielectric layer, a storage dielectric layer, a gate dielectric layer and a gate layer sequentially on a semiconductor substrate of a first semiconductor material; patterning the tunneling dielectric layer, the storage dielectric layer, the gate dielectric layer and the gate layer to form a gate stack; forming a groove in the semiconductor substrate on the sides of the gate stack; filling the groove with a second semiconductor material different from the first semiconductor material, meanwhile, the entire device is covered by the dielectric layer. The surface energy level in the channel is made to change by the stress generated by the second semiconductor material and the covering dielectric layer, thereby increasing tunneling current and improving the storage efficiency of the device. | 01-31-2013 |
20130040465 | Etch-Back Method for Planarization at the Position-Near-Interface of an Interlayer Dielectric - The invention discloses an etch-back method for planarization at the position-near-interface of an interlayer dielectric (ILD), comprising: depositing or growing a thick layer of SiO | 02-14-2013 |
20130082362 | Semiconductor Device and Manufacturing Method thereof - A semiconductor device and its manufacturing method, wherein the NMOS device is covered by a layer of silicon nitride film having a high ultraviolet light absorption coefficient through PECVD, said silicon nitride film can well absorb ultraviolet light when being subject to the stimulated laser surface anneal so as to achieve a good dehydrogenization effect, and after dehydrogenization, the silicon nitride film will have a high tensile stress; since the silicon nitride film has a high ultraviolet light absorption coefficient, there is no need to heat the substrate, thus avoiding the adverse influences to the device caused by heating the substrate to dehydrogenize, and maintaining the heat budget brought about by the PECVD process. | 04-04-2013 |
20130093041 | Semiconductor Device and Method for Manufacturing the Same - The invention relates to a semiconductor device and a method for manufacturing such a semiconductor device. A semiconductor device according to an embodiment of the invention may comprise: a substrate; a device region located on the substrate; and at least one stress introduction region separated from the device region by an isolation structure, with stress introduced into at least a portion of the at least one stress introduction region, wherein the stress introduced into the at least a portion of the at least one stress introduction region is produced by utilizing laser to illuminate an amorphized portion comprised in the at least one stress introduction region to recrystallize the amorphized portion. The semiconductor device according to an embodiment of the invention produces stress in a simpler manner and thereby improves the performance of the device. | 04-18-2013 |
20130105763 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 05-02-2013 |
20130105906 | CMOS Device Having Dual Metal Gates and Method of Manufacturing the Same | 05-02-2013 |
20130105907 | MOS DEVICE AND METHOD OF MANUFACTURING THE SAME | 05-02-2013 |
20130137264 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A semiconductor device manufacturing method, comprising: providing a semiconductor substrate, on which a gate conductor layer as well as a source region and a drain region positioned on both sides of the gate conductor layer are provided, forming an etch stop layer on the semiconductor substrate, forming an LTO layer on the etch stop layer, chemical mechanical polishing the LTO layer, forming an SOG layer on the polished LTO layer, the etch stop layer, LTO layer and SOG layer forming a front metal insulating layer, back etching the SOG layer and etch stop layer of the front metal insulating layer to expose the gate conductor layer, and removing the gate conductor layer. | 05-30-2013 |
20130221535 | Diffusion Barrier Layer, Metal Interconnect Arrangement and Method of Manufacturing the Same - A diffusion barrier layer, a metal interconnect arrangement and a method of manufacturing the same are disclosed. In one embodiment, the metal interconnect arrangement may comprise a conductive plug/interconnect wire for electrical connection, and a diffusion barrier layer provided on at least a portion of a surface of the conductive plug/interconnect wire. The diffusion barrier layer may comprise insulating amorphous carbon. | 08-29-2013 |
20130240996 | Semiconductor Device and Method of Manufacturing the Same - The present invention discloses a semiconductor device, comprising a substrate, a plurality of gate stack structures on the substrate, a plurality of gate spacer structures on both sides of each gate stack structure, a plurality of source and drain regions in the substrate on both sides of each gate spacer structure, the plurality of gate spacer structures comprising a plurality of first gate stack structures and a plurality of second gate stack structures, wherein each of the first gate stack structures comprises a first gate insulating layer, a first work function metal layer, a second work function metal diffusion blocking layer, and a gate filling layer, the work function is close to the valence band (conduction band) edge; each of the second gate stack structures comprises a second gate insulating layer, a modified first work function metal layer, a second work function metal layer, and a gate filling layer, characterized in that the second work function metal layer comprises implanted work function-regulating doped ions, which are simultaneously diffused to the first work function layer below to regulate the threshold such that the work function of the gate is close to the valence band (conduction band) edge and is opposite the original first work function, to thereby regulate the work function accurately. | 09-19-2013 |
20130241004 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention discloses a semiconductor device, comprising substrates, a plurality of gate stack structures on the substrate, a plurality of gate spacer structures on both sides of each gate stack structure, a plurality of source and drain regions in the substrate on both sides of each gate spacer structure, the plurality of gate spacer structures comprising a plurality of first gate stack structures and a plurality of second gate stack structures, wherein each of the first gate stack structures comprises a first gate insulating layer, a first work function metal layer, a second work function metal diffusion blocking layer, and a gate filling layer; Each of the second gate stack structures comprises a second gate insulating layer, a first work function metal layer, a second work function metal layer, and a gate filling layer, characterized in that the first work function metal layer has a first stress, and the gate filling layer has a second stress. Two metal gate layers of different types and/or intensity of stress are formed, respectively, thus different stresses are applied to the channel regions of different MOSFETs effectively and accurately, the device carrier mobility is enhanced simply and efficiently, and the device performance is also enhanced. | 09-19-2013 |
20130256664 | MOS Device for Making the Source/Drain Region Closer to the Channel Region and Method of Manufacturing the Same - This invention relates to a MOS device for making the source/drain region closer to the channel region and a method of manufacturing the same, comprising: providing an initial structure, which includes a substrate, an active region, and a gate stack; performing ion implantation in the active region on both sides of the gate stack, such that part of the substrate material undergoes pre-amorphization to form an amorphous material layer; forming a first spacer; with the first spacer as a mask, performing dry etching, thereby forming a recess, with the amorphous material layer below the first spacer kept; performing wet etching using an etchant solution that is isotropic to the amorphous material layer and whose etch rate to the amorphous material layer is greater than or substantially equal to the etch rate to the {100} and {110} surfaces of the substrate material but is far greater than the etch rate to the {111} surface of the substrate material, thus removing the amorphous material layer below the first spacer, such that the substrate material below the amorphous material layer is exposed to the solution and is etched thereby, and in the end, forming a Sigma shaped recess that extends to the nearby region below the gate stack; and epitaxially forming SiGe in the Sigma shaped recess. | 10-03-2013 |
20130256808 | Semiconductor Device and Method of Manufacturing the Same - The present invention discloses a semiconductor device, comprising a first MOSFET; a second MOSFET; a first stress liner covering the first MOSFET and having a first stress; a second stress liner covering the second MOSFET and having a second stress; wherein the second stress liner and/or the first stress liner comprise(s) a metal oxide. In accordance with the high-stress CMOS and method of manufacturing the same of the present invention, a stress layer comprising a metal oxide is formed selectively on PMOS and NMOS respectively by using a CMOS compatible process, whereby carrier mobility of the channel region is effectively enhanced and the performance of the device is improved. | 10-03-2013 |
20130267073 | Method of Manufacturing Fin Field Effect Transistor - The present invention discloses a method of manufacturing a fin field effect transistor, which comprises the steps of forming a plurality of first fin structures on a substrate, which extend along a first direction parallel to the substrate; forming a plurality of second fin structures on a substrate, which extend along a second direction parallel to the substrate and the second direction intersecting with the first direction; selectively removing a part of the second fin structures to form a plurality of gate lines; and selectively removing a part of the first fin structures to form a plurality of substrate lines. In the method of manufacturing a fin field effect transistor according to the present invention, the gate lines and substrate lines are formed simultaneously by first making uniform silicon wing lines and gate wing lines using a limiting photolithography patternizing technique and then performing a centralized cutting of the corresponding specific regions, thereby increasing uniformity and reducing process difficulty and cost. | 10-10-2013 |
20130285127 | semiconductor structure and method of manufacturing the same - The present application discloses a method for manufacturing a semiconductor structure, comprises the following steps: providing a substrate and forming a gate stack on the substrate; forming an offset spacer surround the gate stack and a dummy spacer surround the offset spacer; forming the S/D region on both sides of the dummy spacer; removing the dummy spacer and portions of the offset spacer on the surface of the substrate; forming a doped spacer on the sidewall of the offset spacer; forming the S/D extension region by allowing the dopants in doped spacer into the substrate; removing the doped spacer. Accordingly, the present application also discloses a semiconductor structure. In the present disclosure the S/D extension region with high doping concentration and shallow junction depth is formed by the formation of a heavily doped doped spacer, which can be removed in the subsequent procedures, in order to efficiently improve the performance of the semiconductor structure. | 10-31-2013 |
20130316509 | Semiconductor Device Manufacturing Method - The present invention provides a manufacturing method for a semiconductor device having epitaxial source/drain regions, in which a diffusion barrier layer of the source/drain regions made of epitaxial silicon-carbon or germanium silicon-carbon are added on the basis of epitaxially growing germanium-silicon of the source/drain regions in the prior art process, and the introduction of the diffusion barrier layer of the source/drain regions prevents diffusion of the dopant in the source/drain regions, thus mitigating the SCE and DIBL effect. The use of the diffusion barrier layer for the source/drain regions can also reduce the dosage of HALO implantation in the subsequent step, thus if HALO is performed before epitaxial growth of the source/drain regions, impact on the surfaces of the source/drain regions can be alleviated; if HALO is performed after epitaxial growth of the source/drain regions, the stress release effect of the epitaxial layer of the source drain/regions caused by the implantation can be reduced as much as possible. | 11-28-2013 |
20140015062 | Method for Forming Gate Structure, Method for Forming Semiconductor Device, and Semiconductor Device - An embodiment of the present disclosure provides a method for forming a gate structure, comprising: providing a substrate, where the substrate includes a nMOSFET area and a pMOSFET area, each of the nMOSFET area and the pMOSFET area has a gate trench, and each of the gate trenches is provided at a bottom portion with a gate dielectric layer; forming a gate dielectric capping layer on a surface of the substrate; forming an oxygen scavenging element layer on the gate dielectric capping layer; forming an etching stop layer on the oxygen scavenging element layer; forming a work function adjustment layer on the etching stop layer; performing metal layer deposition and annealing process to fill the gate trenches with a metal layer; and removing the metal layer outside the gate trenches. | 01-16-2014 |
20140015063 | Method for Forming Gate Structure, Method for Forming Semiconductor Device, and Semiconductor Device - A method for forming a gate structure, comprising: providing a substrate, where the substrate includes a nMOSFET area and a pMOSFET area, each of the nMOSFET area and the pMOSFET area has a gate trench, and each of the gate trenches is provided at a bottom portion with a gate dielectric layer; forming a gate dielectric capping layer on the substrate; forming an etching stop layer on the gate dielectric capping layer; forming an oxygen scavenging element layer on the etching stop layer; forming a first work function adjustment layer on the oxygen scavenging element layer; etching the first work function adjustment layer above the nMOSFET area; forming a second work function adjustment layer on the surface of the substrate; metal layer depositing and annealing to fill the gate trenches with a metal layer; and removing the metal layer outside the gate trenches. | 01-16-2014 |
20140015068 | Gate Structure, Semiconductor Device and Methods for Forming the Same - The disclosure relates to a gate structure, a semiconductor device and methods for forming the same. An embodiment of the disclosure provides a method for forming a gate structure, including: providing a substrate; forming an interface layer on the substrate; forming a gate dielectric layer on the interface layer; forming a gate dielectric capping layer on the gate dielectric layer; forming an etching stop layer on the gate dielectric capping layer; forming an oxygen scavenging element layer on the etching stop layer; forming an oxygen scavenging element capping layer on the oxygen scavenging element layer; performing Post-Metallization Annealing; performing etching until the etching stop layer is exposed; forming a work function adjustment layer on the etching stop layer; and forming a gate layer on the work function adjustment layer. | 01-16-2014 |
20140017906 | METHOD FOR FORMING TIN BY PVD - A method for forming titanium nitride by PVD is disclosed, comprising: generating ions of a noble gas by glow discharge under a vacuum condition that a nitrogen gas and the noble gas are supplied; nitriding a surface of a wafer and a surface of a titanium target with the nitrogen gas; bombarding the surface of the titanium target with the ions of the noble gas after they are accelerated in an electric field so that titanium ions and titanium nitride are sputtered; and forming a titanium nitride layer by depositing titanium nitride on the surface of the wafer in a magnetic field, while titanium ions are injected into the surface of the wafer so that stress is introduced into the titanium nitride layer, wherein non-crystallization fraction of the titanium nitride layer and stress in the titanium nitride layer are increased by increasing kinetic energy of titanium ions which are injected into the surface of the wafer. In the method for forming titanium nitride by PVD according to the present disclosure, kinetic energy of titanium ions which are injected into the surface of the wafer is increased by controlling process parameters so that non-crystallization fraction of the titanium nitride layer and stress in the titanium nitride layer are increased. | 01-16-2014 |
20140027783 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention discloses a semiconductor device, comprising a plurality of fins located on a substrate and extending along a first direction; a plurality of gate stack structures extending along a second direction and across each of the fins; a plurality of stress layers located in the fins on both sides of the gate stack structures and having a plurality of source and drain regions therein; a plurality of channel regions located between the plurality of source and drain regions along a first direction; characterized in that the plurality of gate stack structures enclose the plurality of channel regions. In accordance with the semiconductor device and the method of manufacturing the same of the present invention, an all-around nanowire metal multi-gate is formed in self-alignment by punching through and etching the fins at which the channel regions are located using a combination of the hard mask and the dummy gate, thus the device performance is enhanced. | 01-30-2014 |
20140027857 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention discloses a semiconductor device, comprising a substrate, a plurality of gate stack structures on the substrate, a plurality of gate spacer structures on both sides of each gate stack structure, a plurality of source and drain regions in the substrate on both sides of each gate spacer structure, the plurality of gate stack structures comprising a plurality of first gate stack structures and a plurality of second gate stack structures, characterized in that each of the first gate stack structures comprises a first gate insulating layer, a first blocking layer, a first work function regulating layer and a resistance regulating layer, and each of the second gate stack structures comprises a second gate insulating layer, a first blocking layer, a second work function regulating layer, a first work function regulating layer and a resistance regulating layer. | 01-30-2014 |
20140048765 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention discloses a semiconductor device, comprising: a substrate, a gate stack structure on the substrate, source and drain regions in the substrate on both sides of the gate stack structure, and a channel region between the source and drain regions in the substrate, characterized in that the source region in the source and drain regions comprises GeSn alloy, and a tunnel dielectric layer is optionally comprised between the GeSn alloy of the source region and the channel region. In accordance with the semiconductor device and method for manufacturing the same of the present invention, GeSn alloy having a narrow band gap is formed by implanting precursors and performing a laser rapid annealing, the on-state current of TFET is effectively enhanced, accordingly it has an important application prospect in a high performance low power consumption application. | 02-20-2014 |
20140054658 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention discloses a semiconductor device, comprising: a substrate, a gate stack structure on the substrate, source and drain regions in the substrate on both sides of the gate stack structure, and a channel region between the source and drain regions in the substrate, characterized in that at least one of the source and drain regions comprises a GeSn alloy. In accordance with the semiconductor device and method for manufacturing the same of the present invention, GeSn stressed source and drain regions with high concentration of Sn is formed by implanting precursors and performing a laser rapid annealing, thus the device carrier mobility of the channel region is effectively enhanced and the device drive capability is further improved. | 02-27-2014 |
20140057404 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device is disclosed. In one embodiment, the method comprises: forming a gate stack on a substrate; etching the substrate on both sides of the gate stack to form C-shaped source/drain grooves; and wet-etching the C-shaped source/drain grooves to form Σ-shaped source/drain grooves. With this method, it is possible to effectively increase stress applied to a channel region, to accurately control a depth of the source/drain grooves, and to reduce roughness of side walls and bottom portions of the grooves and thus reduce defects by etching the C-shaped source/drain grooves and then further wet-etching them to form the Σ-shaped source/drain grooves. | 02-27-2014 |
20140057418 | METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE - The present invention discloses a method for manufacturing a high mobility material layer, comprising: forming a plurality of precursors in/on a substrate; and performing a pulse laser processing such that the plurality of precursors react with each other to produce a high mobility material layer. Furthermore, the present invention also provides a method for manufacturing a semiconductor device, comprising: forming a buffer layer on an insulating substrate; forming a first high mobility material layer on the buffer layer using the method for manufacturing the high mobility material layer; forming a second high mobility material layer on the first high mobility material layer using the method for manufacturing the high mobility material layer; and forming trench isolations and defining active regions in the first and second high mobility material layers. | 02-27-2014 |
20140120719 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - The present invention relates to a method of manufacturing a semiconductor device for improving the spacer mask. In the present invention, a barrier layer and a sacrificial layer are formed, and the portions of the upper part of the spacer whose left and right sides differ greatly are ground away to leave the portion similar to a rectangle at the bottom of the spacer, which is used as the mask to perform the subsequent spacer masking technology. Thus the undesirable influences to the subsequent etching caused by the asymmetric profile of the spacer can be reduced as much as possible. | 05-01-2014 |
20140191335 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention discloses a semiconductor device, comprising a plurality of fins located on a substrate and extending along a first direction; a plurality of gate stack structures extending along a second direction and across each of the fins; a plurality of stress layers located in the fins on both sides of the gate stack structures and having a plurality of source and drain regions therein; a plurality of channel regions located in the fins below the gate stack structures; characterized in that the stress layers have connected parts in the fins and that the channel regions enclose the connected parts. | 07-10-2014 |
20140197376 | Semiconductor Device - The present invention discloses a semiconductor device, which comprises a substrate, a buffer layer on the substrate, an inversely doped isolation layer on the buffer layer, a barrier layer on the inversely doped isolation layer, a channel layer on the barrier layer, a gate stack structure on the channel layer, and source and drain regions at both sides of the gate stack structure, characterized in that the buffer layer and/or the barrier layer and/or the inversely doped isolation layer are formed of SiGe alloys or SiGeSn alloys, and the channel layer is formed of a GeSn alloy. The semiconductor device according to the present invention uses a quantum well structure of SiGe/GeSn/SiGe to restrict transportation of carriers, and it introduces a stress through lattice mis-match to greatly increase the carrier mobility, thus improving the device driving capability so as to be adapted to high-speed and high-frequency application. | 07-17-2014 |
20140217362 | Semiconductor Device and Method for Manufacturing The Same - The present invention discloses a method for manufacturing a semiconductor device, which comprises: forming a plurality of fins on a substrate, which extend along a first direction and have rhombus-like cross-sections; forming a gate stack structure on each fin, which traverses the plurality of fins and extends along a second direction; wherein a portion in each fin that is under the gate stack structure forms a channel region of the device, and portions in each fin that are at both sides of the gate stack structure along the first direction form source and drain regions. The semiconductor device and its manufacturing method according to the present invention use rhombus-like fins to improve the gate control capability to effectively suppress the short channel effect, moreover, an epitaxial quantum well is used therein to better limit the carriers, thus improving the device drive capability. | 08-07-2014 |
20140217519 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A transistor device comprising epitaxial LDD and Halo regions and a method of manufacturing the same are disclosed. According to embodiments of the present disclosure, the method may comprise: forming a gate stack on a semiconductor substrate; forming a gate spacer which covers the top of the gate stack and sidewalls of the gate stack; forming source/drain grooves; epitaxially growing a Halo material layer in the source/drain grooves, wherein the Halo material layer has a first doping element therein; epitaxially growing source/drain regions which apply stress to a channel region of the device, wherein the source/drain regions have a second doping element, opposite in conductivity to the first doping element, therein; isotropically etching the source/drain regions to remove portions of the source/drain regions, wherein the etching also removes portions of the Halo material layer directly under the gate spacer and extends to the channel region to some extent, wherein remaining portions of the Halo material layer constitute Halo regions of the device; and epitaxially growing an LDD material layer to form LDD regions of the device. | 08-07-2014 |
20140231923 | SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a semiconductor structure, comprising: a substrate; a gate stack located on the substrate and comprising at least a gate dielectric layer and a gate electrode layer; source/drain regions, located in the substrate on both sides of the gate stack; an STI structure, located in the substrate on both sides of the source/drain regions, wherein the cross-section of the STI structure is trapezoidal, Sigma-shaped or inverted trapezoidal depending on the type of the semiconductor structure. Correspondingly, the present invention further to provides a method of manufacturing the semiconductor structure. In the present invention, STI structures having different shapes can be combined with different stress fillers to apply tensile stress or compressive stress laterally to the channel, which will produce a positive impact on the electron mobility of NMOS and the hole mobility of PMOS and increase the channel current of the device, thereby effectively improving the performance of the semiconductor structure. | 08-21-2014 |
20140256109 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device is disclosed. In one aspect the method includes forming a gate stack over a substrate. The method also includes forming a dummy sidewall spacer around the gate stack. The method also includes depositing a stress liner of diamond-like amorphous carbon (DLC) on the substrate, the gate stack and the dummy sidewall spacer. The method also includes annealing, so that a channel region in the substrate below the gate stack and the gate stack memorize stress in the stress liner. The method also includes removing the dummy sidewall spacer. The method also includes forming a sidewall spacer around the gate stack. In the method according to the disclosed technology, large stress in the liner of DLC is memorized and applied to the dummy gate stack and the channel region to increase carrier mobility and improve performances of the device. | 09-11-2014 |
20150102416 | DUAL-METAL GATE CMOS DEVICES AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a dual metal CMOS device comprising: forming a first type metal work function modulation layer in the first gate trench and the second gate trench; forming a second type work function metal diffusion source layer in the first gate trench and the second gate trench; forming a heat isolation layer that shields the region of the first type device; and thermally annealing the regions where the first type device and the second type device are located. | 04-16-2015 |
20150115374 | SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a semiconductor structure comprising a substrate; a gate stack on the substrate; a spacer on the sidewalls of the gate stack; a source/drain junction extension formed in the substrate on both sides of the gate stack by epitaxial growth; and a source/drain region in the substrate on both sides of the source/drain junction extension. Accordingly, the present invention also provides methods for manufacturing the semiconductor structure. The present invention can provide a source/drain junction extension with a high doping concentration and a low junction depth, thereby effectively improving the performance of the semiconductor structure. | 04-30-2015 |
20150179797 | Semiconductor Structure and Method for Manufacturing the Same - The present invention discloses a semiconductor device, which comprises a substrate, a gate stack structure on the substrate, a channel region in the substrate under the gate stack structure, and source and drain regions at both sides of the channel region, wherein there is a stressed layer under and at both sides of the channel region, in which the source and drain regions are formed. According to the semiconductor device and the method for manufacturing the same of the present invention, a stressed layer is formed at both sides of and under the channel region made of a silicon-based material so as to act on the channel region, thereby effectively increasing the carrier mobility of the channel region and improving the device performance. | 06-25-2015 |
20150228480 | METHOD OF MANUFACTURING STACKED NANOWIRE MOS TRANSISTOR - Methods of manufacturing stacked nanowires MOS transistors are disclosed. In one aspect, the method includes forming a plurality of fins along a first direction on a substrate. The method also includes forming stack of nanowires constituted of a plurality of nanowires in each of the fins. The method also includes forming a gate stack along a second direction in the stack of nanowires, the gate stack surrounding the stack of nanowires. The method also includes forming source/drain regions at both sides of the gate stack, the nanowires between the respective source/drain regions constituting a channel region. A stack of nanowires may be formed by a plurality of etching back, laterally etching a trench and filling the trench. The laterally etching process includes isotropic dry etching having an internally tangent and lateral etching, and a wet etching which selectively etches along respective crystallographic directions. | 08-13-2015 |
20150256523 | DISTRIBUTED LEARNING AND AGING FOR MANAGEMENT OF INTERNET PROTOCOL (IP) ADDRESSES - A device includes a security process unit (SPU) associated with a logical ring of SPUs. The SPU receives a packet with an address associated with a malicious source, and creates, based on the packet, an entry in a data structure associated with the SPU. The entry includes information associated with the packet. The SPU provides an install message to a next SPU in the logical ring. The install message instructs the next SPU to create the entry in another data structure, and forward the install message to another SPU. The SPU receives the install message from a last SPU, and sets a state of the entry to active in the data structure based on receiving the install message from the last SPU. The SPU performs a particular action on another packet, associated with the malicious source, based on the setting the state of the entry to active. | 09-10-2015 |
20150295068 | METHOD OF MANUFACTURING MOSFET - Provided is a method for manufacturing a MOSFET, including: forming a shallow trench isolation (STI) in a semiconductor substrate to define an active region for the MOSFET; performing etching with the STI as a mask, to expose a surface of the semiconductor substrate, and to protrude a portion of the STI with respect to the surface of the semiconductor substrate, resulting in a protruding portion; forming a first spacer on sidewalls of the protruding portion; forming a gate stack on the semiconductor substrate; forming a second spacer surrounding the gate stack; forming openings in the semiconductor substrate with the STI, the gate stack, the first spacer and the second spacer as a mask; epitaxially growing a semiconductor layer with a bottom surface and sidewalls of each of the openings as a growth seed layer; and performing ion implantation into the semiconductor layer to form source and drain regions. | 10-15-2015 |
20150311200 | FINFET DEVICE AND METHOD FOR MANUFACTURING THE SAME - A FinFET device and a method for manufacturing the same. The FinFET device includes a plurality of fins each extending in a first direction on a substrate; a plurality of gate stacks each being disposed astride the plurality of fins and extending in a second direction; a plurality of source/drain region pairs, respective source/drain regions of each source/drain region pair being disposed on opposite sides of the each gate stack in the second direction; and a plurality of channel regions each comprising a portion of a corresponding fin between the respective source/drain regions of a corresponding source/drain pair, wherein the each fin comprises a plurality of protruding cells on opposite side surfaces in the second direction. | 10-29-2015 |
20150318354 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR - A semiconductor device includes a fin extending on a substrate along a first direction; a gate extending along a second direction across the fin; and source/drain regions and a gate spacer on the fin at opposite sides of the gate, in which there is a surface layer on the top and/or sidewalls of the fin. | 11-05-2015 |
Hui Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140269673 | Sync Interval Determination - The invention relates to a method of synchronizing clocks of at least two devices in a network and a corresponding wireless device for synchronizing its clock with another wireless device. The method comprises steps of: transmitting a synchronization signal to said at least one second device to determine at least one time offset between a clock of the first device and at least one clock associated with said at least one second device; receiving said at least one time offset from said at least one second device; determining a time interval for the first device based on a time offset parameter corresponding to said at least one time offset, after which time interval the first device transmits a next synchronization signal to said at least one second device. With this method, the radio station is able to reduce its power consumption when the time offset between the first device and the second device is small. | 09-18-2014 |
20140364127 | METHOD AND RADIO NETWORK NODE FOR RANKING CELLS IN A CELLULAR NETWORK - A method in a radio network node for ranking cells within a group of cells in a cellular network is provided. The radio network node is serving a first cell, in the group of cells. The radio network node receives ( | 12-11-2014 |
Jia Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150186662 | METHOD AND APPARATUS FOR INPUT VERIFICATION - Embodiments of the present invention provide a method for input verification, comprising: sending a first picture corresponding to a first semantics and a second picture corresponding to a second semantics to a client, wherein the first semantics and the second semantics are correlated; receiving an operation information sent by the client, wherein, said operation information is information obtained by the client from performing operation on a second picture according to an operation instruction, and said operation instruction is triggered by the user based on the first semantics and the second semantics; performing verification on the operation information based on verification information corresponding to the first picture. The embodiments of the present invention further provide an apparatus for input verification. According to the technical solution provided by the embodiments of the present invention, the security of input verification can be enhanced. | 07-02-2015 |
Jiajin Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150095369 | METHOD AND NETWORKING EQUIPMENT FOR ACQUIRING FEATURE INFORMATION - The present disclosure provides a method and networking equipment for acquiring feature information. It relates to a field of computer and communication technology to acquire the device information using the networking equipment such as a router and to send the device information to other users. The method may include: receiving a network connection request sent from a first terminal device; acquiring device information of the first terminal device in response to the received network connection request; determining the feature information of the first terminal device based on the acquired device information; and outputting the determined feature information. | 04-02-2015 |
Jianjun Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140121339 | POLYPROPYLENE WITH NARROW MOLECULAR WEIGHT DISTRIBUTION RANGE AND PROCESSES FOR PREPARATION THEREOF - Disclosed herein are a polypropylene with narrow molecular weight distribution and a process for preparing the same in a reactor using a Ziegler-Natta catalyst. | 05-01-2014 |
Jingwen Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140312784 | PIXEL CIRCUIT AND DRIVING METHOD THEREOF, ORGANIC LIGHT-EMITTING DISPLAY PANEL AND DISPLAY APPARATUS - The present disclosure relates to the field of organic light-emitting display, and provides a pixel circuit, a driving method thereof, an organic light-emitting display panel and a display apparatus, comprising a driving transistor, a first storage capacitor, a collecting unit, a writing unit and a light-emitting unit; wherein, the collecting unit is used. for collecting the threshold voltage of the driving transistor and storing the threshold voltage into the first storage capacitor, under the control of the first scan signal; the writing unit is used for storing the data voltage inputted from the input terminal for the data voltage under the control of the second scan signal; and the light-emitting unit is used for emitting lights, driven by the data voltage and a voltage inputted from the input terminal for the controllable low voltage, under the control of the light-emitting control signal. Thus an organic light-emitting device is not affected by the threshold voltage shift of the driving transistor, which may enhance the image uniformity of the organic light-emitting display panel effectively, slow down the decay speed of an organic light-emitting device and ensure the uniformity and a constancy of brightness of the organic light-emitting display panel. | 10-23-2014 |
20150028765 | PIXEL CIRCUIT, DRIVING METHOD THEREOF AND DISPLAY DEVICE - The present invention relates to the field of display technology, and provides a pixel circuit, a driving method thereof, and a display device, so as to compensate for the TFT threshold voltage drift, thereby to improve the brightness non-uniformity of the display device and prolong the service life thereof. The pixel circuit comprises a first transistor, a second transistor, a third transistor, a fourth transistor, a fifth transistor, a first storage capacitor, a second storage capacitor, and a light-emitting element. The present invention is adapted to manufacture a display panel. | 01-29-2015 |
20150287360 | PIXEL CIRCUIT AND DRIVING METHOD THEREOF, AND THIN FILM TRANSISTOR BACKBOARD - There is provided a pixel circuit comprising a driving transistor (DTFT), a signal loading module, a light emitting control module and a storage capacitor (Cs). The pixel circuit can effectively eliminate the non-uniformity due to the threshold voltage of the driving transistor (DTFT) itself and the afterimage phenomenon due to the threshold voltage drift when driving the OLED, avoid the display brightness non-uniformity due to different threshold voltages of the driving transistors (DTFT) between the OLEDs of different pixel units. There is further provided a driving method for the above pixel circuit and a TFT backboard comprising the above pixel circuit. | 10-08-2015 |
Jinxing Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20130185716 | SYSTEM AND METHOD FOR PROVIDING A VIRTUALIZED REPLICATION AND HIGH AVAILABILITY ENVIRONMENT - The system and method described herein may provide a virtualized replication and high availability environment. In particular, a virtualized production server may run one or more virtual machines in one or more child partitions and have a replication and high availability engine installed in a parent partition. The replication and high availability engine may automatically discover the virtual machines running in the child partitions and automatically synchronize all files associated with the virtual machines to a virtualized replica server. Furthermore, the replication and high availability engine may continuously replicate subsequent changes to the files associated with the virtual machines running in the child partitions to the virtualized replica server, which may then create on-demand virtual machines from the synchronized and replicated files to handle switchover, failover, switchback, and failback events associated with the virtualized production server or the virtual machines running in the child partitions associated therewith. | 07-18-2013 |
20150066844 | SYSTEM AND METHOD FOR PROVIDING A VIRTUALIZED REPLICATION AND HIGH AVAILABILITY ENVIRONMENT - The system and method described herein may provide a virtualized replication and high availability environment. In particular, a virtualized production server may run one or more virtual machines in one or more child partitions and have a replication and high availability engine installed in a parent partition. The replication and high availability engine may automatically discover the virtual machines running in the child partitions and automatically synchronize all files associated with the virtual machines to a virtualized replica server. Furthermore, the replication and high availability engine may continuously replicate subsequent changes to the files associated with the virtual machines running in the child partitions to the virtualized replica server, which may then create on-demand virtual machines from the synchronized and replicated files to handle switchover, failover, switchback, and failback events associated with the virtualized production server or the virtual machines running in the child partitions associated therewith. | 03-05-2015 |
Kaihua Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20120323878 | HOVER TRANSLATION OF SEARCH RESULT CAPTIONS - Systems, methods, and computer-readable media for presenting video as at least a portion of the background of search engine home pages are provided. Upon receiving a request for presentation of a search engine home page, a static image is transmitted for presentation as at least a portion of the background for the search engine home page. Also transmitted is a streaming video file. The static image may or may not be associated with the streaming video file. After a predetermined amount of time, play of the streaming video file is initiated without user action, the streaming video file replacing the static image as at least a portion of the background of the search engine home page. In this way, the user receives a seamless transition from a static image to a video presentation and is provided a rich, immersive experience at the search engine home page. | 12-20-2012 |
Kangkang Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20100161131 | Inertia Shaping For Humanoid Fall Direction Change - A system and method is disclosed for controlling a robot that is falling down from an upright posture. Inertia shaping is performed on the robot to avoid an object during the fall. A desired overall toppling angular velocity of the robot is determined. The direction of this velocity is based on the direction from the center of pressure of the robot to the object. A desired composite rigid body inertia of the robot is determined based on the desired overall toppling angular velocity. A desired joint velocity of the robot is determined based on the desired composite rigid body inertia. The desired joint velocity is also determined based on a composite rigid body inertia Jacobian of the robot. An actuator at a joint of the robot is then controlled to implement the desired joint velocity. | 06-24-2010 |
20110208492 | Joint-Aware Manipulation of Deformable Models - This disclosure describes a joint-aware deformation framework that supports the direct manipulation of an arbitrary mix of rigid and deformable components. The deformation framework may include at least a joint-analysis and a joint-aware deformation enabling a more realistic deformation of a joint-aware model. | 08-25-2011 |
Kun Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150207658 | Soft Bit Un-Uniform Quantization Method, Apparatus, Computer Program and Storage Medium - The embodiments of the present disclosure disclose a soft bit un-uniform quantization method, apparatus, computer program and storage medium. The soft bit un-uniform quantization method comprises: determining a threshold value; obtaining a quantization step according to the threshold value; obtaining a first data after a QAM demodulating and before a Turbo decoding in a wireless communication system; and performing a soft bit un-uniform quantization on the first data to obtain a quantization result according to the threshold value and the step. According to the present disclosure, the data after the QAM demodulating and before the Turbo decoding may obtain a distinguished Euclidean distance value and thus the performance can be improved greatly. | 07-23-2015 |
Lei Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140315583 | POSITIONING METHOD AND SYSTEM BASED ON POSITION PREFETCHING - Provided are a positioning method and system based on a position prefetching. The method comprises: S | 10-23-2014 |
Leizu Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140089369 | MULTI-GRANULARITY PARALLEL FFT COMPUTATION DEVICE - A multi-granularity parallel FFT computation device including three memories, a butterfly computation device, a state control unit, a data reversing network and a first selector. The three memories are each a multi-granularity parallel memory, and store butterfly group data and twiddle factors corresponding to the butterfly group data. The butterfly computation device perform computations of a butterfly group based on the butterfly group data outputted from the first selector and the corresponding twiddle factors outputted from one of the memories, and write a computation result back to the other two memories. The device can read butterfly group data and corresponding twiddle factors in parallel from the multi-granularity parallel memories with a specific R/W granularity. No memory conflict will occur in the read operation, and no additional process is required for sorting the read/written data. | 03-27-2014 |
20140089370 | PARALLEL BIT REVERSAL DEVICES AND METHODS - A parallel bit reversal device and method. The device includes a parallel bit reversal unit, a butterfly computation and control unit, and a memory. The butterfly computation and control unit is coupled to the memory via a data bus. The parallel bit reversal unit is configured to bit-reverse butterfly group data used by the butterfly computation and control unit. The parallel bit reversal unit includes an address reversing logic coupled to the butterfly computation and control unit, and configured to perform mirror reversal and right-shift operations on a read address from the butterfly computation and control unit. | 03-27-2014 |
20140330880 | METHODS AND DEVICES FOR MULTI-GRANULARITY PARALLEL FFT BUTTERFLY COMPUTATION - A method and device for multi-granularity parallel FFT butterfly computation. The method and device read data and twiddle factors for computation in one butterfly group from the input buffers and the twiddle factor buffer at a time, perform multi-stage butterfly computation in parallel using uniform butterfly representations, and write the results back to the input buffers. The method and device greatly reduce the frequency for accessing the memory, improve speed for butterfly computation, and reduce power consumption. The method and device achieve multi-granularity butterfly computation of various data formats in a parallel and efficient manner. The method and device can specify the parallel granularity and data format for butterfly computation according to particular applications, and are applicable to FFT butterfly computation of balanced and unbalanced groups. | 11-06-2014 |
20140337401 | DATA ACCESS METHOD AND DEVICE FOR PARALLEL FFT COMPUTATION - The present disclosure provides A data access method and device for parallel FFT computation. In the method, FFT data and twiddle factors are stored in multi-granularity parallel memories, and divided into groups throughout the computation flow according to a uniform butterfly representation. Each group of data involves multiple butterflies that support parallel computation. Meanwhile, according to the butterfly representation, it is convenient to generate data address and twiddle factor coefficient address for each group. With different R/W granularities, it is possible to read/write data and corresponding twiddle factors in parallel from the multi-granularity memories. The method and device further provide data access devices for parallel FFT computation. In the method and device, no conflict will occur during read/write operations of memories, and no extract step is required for sorting the read/written data. Further, the method and device can flexibly define the parallel granularity according to particular applications. | 11-13-2014 |
Linlin Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20130116205 | COMPOSITION CONTAINING IRIDOIDS AND USES THEREOF - Composition containing iridoids such as morroniside and loganin, the use thereof in preparing medicaments for preventing and treating neurologic demyelinating diseases, and the method thereof in treating diseases related to neurologic demyelinating lesions are disclosed by the present application. | 05-09-2013 |
20130157966 | NEW USE OF ICARIIN AND EPIMEDIUM FLAVONOIDS CONTAINING ICARIIN - New use of icariin and Epimedium flavaoids containing icariin is provided by the present invention. Specifically, uses of compounds of Formula I or Epimedium containing compounds of formula I, Epimedium flavanoids, or extracts of Epimedium in manufacturing medicaments for treating, preventing, reducing and/or relieving diseases and/or conditoins related to neural myelin sheath impairments, or use of above materials in manufacturing medicaments for relieving demyelination and/or promoting repair of myelin sheath are provided by the present application, wherein, R | 06-20-2013 |
Liyong Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20130294545 | METHOD AND APPARATUS FOR ELIMINATING DIRECT CURRENT OFFSET - The present invention provides a method and an apparatus for eliminating direct current offset. The method comprises the steps of: calculating Euclidean distances between every two demodulation symbols of a plurality of demodulation symbols based on Quadrature Phase Shift Keying (QPSK) modulation; determining four sets from the plurality of demodulation symbols in accordance with the Euclidean distances between the demodulation symbols, each set corresponding to a modulation direction for the QPSK modulation; performing Euclidean distance weighted summation on the determined four sets respectively, and selecting a demodulation symbol with the minimum weighted summation value from each set as a rough estimation point for the QPSK modulation, so as to obtain four rough estimation points; re-determining four sets from the plurality of demodulation symbols in accordance with the Euclidean distances between the demodulation symbols and the rough estimation points; performing Euclidean distance weighted summation on the re-determined four sets respectively, and selecting a demodulation symbol with the minimum weighted summation value from each set as a precise estimation point; and performing direct current offset calculation and compensation in accordance with the precise estimation points. The present invention can improve the demodulation performance of a system. | 11-07-2013 |
Maoping Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20100099833 | MAGNESIUM HALIDE COMPLEXES, CATALYST COMPONENTS AND CATALYSTS FOR OLEFIN POLYMERIZATION PREPARED THEREFROM - The component of magnesium halide adduct is represented by MgX | 04-22-2010 |
20120184694 | SPHERICAL MAGNESIUM HALIDE ADDUCT, A CATALYST COMPONENT AND A CATALYST FOR OLEFIN POLYMERIZATION PREPARED THEREFROM - A magnesium halide adduct represented by the formula (I): MgX | 07-19-2012 |
20120226004 | CATALYST COMPONENT FOR OLEFIN POLYMERIZATION AND PREPARATION METHOD THEREOF - A catalyst component for olefin polymerization is disclosed, which comprises at least one diol ester type electron donor compound (a) and at least one diether type electron donor compound (b) among others, wherein the molar ratio of a to b is 0.55-50. A preparation method of the catalyst component, a catalyst comprising the catalyst component, and an olefin polymerization method using the catalyst which can especially be used for preparation of polypropylenes of low ash contents are also disclosed. | 09-06-2012 |
Min Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140118954 | ELECTRONIC DEVICE WITH HEAT-DISSIPATING STRUCTURE - There is disclosed an electronic device having a heat-dissipating structure. The electronic device comprises a circuit board; a heat conduction plate arranged to face the circuit board, and dissipate heat generated by the circuit board only in a direction parallel to the heat conduction plate. According to the present invention, the electronic device is particular suitable for the application wherein the electronic device will be stacked up on another electronic device and requires no any forced convection arrangement such as a fan. | 05-01-2014 |
20150163733 | METHOD FOR INFORMATION PROCESSING AND ELECTRONIC APPARATUS THEREOF - A method for information processing and an electronic device thereof are provided. The method includes: obtaining, in the case that a first application runs, a first control operation for the first application; during a process for establishing a group in response to the first control operation, controlling a first wireless communication module to serve as a wireless access point and naming the wireless access point with parameter information for the first group; establishing, after response information is obtained, a communication path directly connected to at least one second electronic device, the response information being response information generated in the case that the at least one second electronic device scans and selects the wireless access point; and obtaining, via the communication path, information indicating that the at least one second electronic device joins the first group. | 06-11-2015 |
Ping Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20090288161 | METHOD FOR ESTABLISHING A TRUSTED RUNNING ENVIRONMENT IN THE COMPUTER - The present invention discloses a method for establishing a trusted running environment in a computer. A trusted file authentication module and a trusted process memory code authentication module are preset in operation system (OS) of the computer and a secured OS is loaded and run. The trusted file authentication module intercepts all file operation behaviors, checks whether current file to be operated is a trusted file or not, and processes the file according to its operation type if it is trusted, otherwise processes the file after its eligibility is verified; the trusted process memory code authentication module authenticates on timing whether the running state and the integrality for all process code are normal or not; if any process is abnormal, giving an alarm, saving field data run by the process and closing down the process; otherwise continuing to run normally. With this invention, the security for the running environment in the computer can be ensured whether the attack from known or unknown virus exists or not, and this facilitates application and reduces implementation cost. | 11-19-2009 |
20110225152 | CONSTRUCTING A SEARCH-RESULT CAPTION - The present invention is related to constructing a search-result caption that represents content of a search result (e.g., webpage). Information that is extracted from the webpage and/or other webpages is categorized and ranked based on a perceived relevance to a user context. Extracted information is then compared for inclusion in the search-result caption in order to provide a caption that accurately reflects content of the webpage and that is relevant to a context of the user | 09-15-2011 |
Qi Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20110293189 | Facial Analysis Techniques - Described herein are techniques for obtaining compact face descriptors and using pose-specific comparisons to deal with different pose combinations for image comparison. | 12-01-2011 |
20120288166 | ASSOCIATION AND PREDICTION IN FACIAL RECOGNITION - Some implementations provide techniques and arrangements to address intrapersonal variations encountered during facial recognition. For example, some implementations employ an identity data set having a plurality of images representing different intrapersonal settings. A predictive model may associate one or more input images with one or more images in the identity data set. Some implementations may use an appearance-prediction approach to compare two images by predicting an appearance of at least one of the images under an intrapersonal setting of the other image. Further, some implementations may utilize a likelihood-prediction approach for comparing images that generates a classifier for an input image based on an association of an input image with the identity data set. | 11-15-2012 |
20120288167 | POSE-ROBUST RECOGNITION - Some implementations provide techniques and arrangements to address intrapersonal variations encountered during facial recognition. For example, some implementations transform at least a portion of an image from a first intrapersonal condition to a second intrapersonal condition to enable more accurate comparison with another image. Some implementations may determine a pose category of an input image and may modify at least a portion of the input image to a different pose category of another image for comparing the input image with the other image. Further, some implementations provide for compression of data representing at least a portion of the input image to decrease the dimensionality of the data. | 11-15-2012 |
Qinwei Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150315545 | Method of rapidly inducing large-scale and high-purity mesenchymal stem cells to transdetermine into hematopoietic stem cells - A method of rapidly inducing large-scale and high-purity mesenchymal stem cells to transdetermine into hematopoietic stem cells is provided with the steps of preparing homogeneous medium of mesenchymal stem cells; combining a plurality of small RNA molecules; assembling and transfecting nanoparticles of nucleic acids and polypeptides; inducing and amplifying medium of post-transdetermined hematopoietic stem cells; and activating a plurality of hemopoiesis-related genes. | 11-05-2015 |
Qiufeng Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140013330 | MULTIPLE CORE REAL-TIME TASK EXECUTION - A real-time task may initially be performed by a first thread that is executing on a first core of a multi-core processor. A second thread may be initiated to take over the performance of the real-time task on a second core of the multi-core processor while the first thread is performing the real-time task. The performance of the real-time tasks is then transferred from the first thread to the second thread with the execution of the second thread on the second core to perform the real-time task. | 01-09-2014 |
Rui Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150310123 | RENDERING A WEB ELEMENT IN A WEB PAGE - Rendering a web element in a web page in an integrated development environment is provided. A reference address is extracted from code of a web page. The reference address references a web element. The web element is obtained based on the reference address and in response to the reference address indicating that the web element is an embedded web element. The web element is added to a resource pool. The web element of the resource pool is rendered in the web page. | 10-29-2015 |
Shan Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150295654 | SYSTEM ARCHITECTURE FOR GLOBAL OPTIMIZATION OF FLEXIBLE GRID OPTICAL NETWORK AND GLOBAL OPTIMIZATION METHOD THEREFOR - The present invention discloses a system architecture for global optimization of a flexible grid optical network and a global optimization method therefor. The system architecture for global optimization of the flexible grid optical network provided in the present invention comprises a requesting unit for global optimization and an execution unit for global optimization, wherein the requesting unit for global optimization generates a request message for global optimization, and sends the request message for global optimization to the execution unit for global optimization; and the execution unit for global optimization parses the request message for global optimization, performs global optimization based on a constraint condition for global optimization, a computation algorithm for global optimization and a network topology and resource information of the flexible grid optical network, and returns a global optimization result to the requesting unit for global optimization. | 10-15-2015 |
Shanshan Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20130196847 | CATALYST CARRIER FOR OLEFIN POLYMERIZATION, SOLID CATALYST COMPONENT AND CATALYST - The invention relates to a dialkoxyl magnesium carrier, which is a product produced by a reflux reaction of magnesium, an alcohol and mixed halogenated agents under an inert atmosphere. The mixed halogenated agents are iodine and magnesium chloride, and the weight ratio between iodine and magnesium chloride is 0.05:1-1:0.01. The dialkoxyl magnesium carrier is spheroid with uniform particle size distribution, excellent particle morphology and high bulk density. A solid catalyst component and a catalyst based on this carrier for olefin polymerization are also provided, and olefin polymers having a wide molecular weight distribution, good stereoregularity, excellent particle morphology and a low content of fine powders can be obtained. | 08-01-2013 |
20130324682 | SOLID CATALYST COMPONENT AND CATALYST FOR OLEFIN POLYMERIZATION - Disclosed is a solid catalyst component for olefin polymerization. The catalyst component comprises a dialkoxy magnesium carrier, a titanium compound, and a product from an internal electron donor reacting in an inert solvent. Said internal electron donor compound comprises a 2,3-di-non-linear-alkyl-2-cyano succinic acid diester compound as presented in formula I: as in formula I, R | 12-05-2013 |
20140163185 | CATALYST COMPONENT FOR OLEFIN POLYMERIZATION, PREPARATION METHOD THEREFOR AND CATALYST THEREOF - A catalyst component (A) for olefin polymerization is prepared by contacting a solid component (a) containing magnesium, titanium, halogen and an internal electron donor compound with an organosilicon compound (b), wherein the organosilicon compound (b) is one or more selected from a Si—H functional group containing chainlike polysiloxane (b1) represented by formula (I | 06-12-2014 |
Shihui Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150144861 | RESISTIVE MEMORY AND METHOD FOR FABRICATING THE SAME - Embodiments of the present invention disclose a resistive memory and a method for fabricating the same. The resistive memory comprises a bottom electrode, a resistive layer and a top electrode. The resistive layer is located over the bottom electrode. The top electrode is located over the resistive layer. A conductive protrusion is provided on the bottom electrode. The conductive protrusion is embedded in the resistive layer, and has a top width smaller than a bottom width. Embodiments of the present invention further disclose a method for fabricating a resistive memory. According to the resistive memory and the method for fabricating the same provided by the embodiments of the present invention, by means of providing the conductive protrusion on the bottom electrode, a “lightning rod” effect may be occurred so that an electric field in the resistive layer is intensively distributed near the conductive protrusion. This significantly increases the possibility of generation of a conductive filament at the conductive protrusion, so that the conductive filament is not randomly formed. Thus, the stability of various parameters of the resistive memory is ensured, and thus the reliability and stability of the operation of the resistive memory are dramatically increased. | 05-28-2015 |
Shouyi Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20120140623 | LOW POWER AND FAST APPLICATION SERVICE TRANSMISSION - An apparatus, method and system are provided to allow a low power and fast application service transmission (LP-FAST) engine to enhance the quality of service (QoS) and optimize the power consumption of the mobile applications operating in a mobile terminal in a service-aware, bandwidth-aware and power-consumption-aware manner. | 06-07-2012 |
20140101465 | EXTENDING THE CAPABILITIES OF EXISTING DEVICES WITHOUT MAKING MODIFICATIONS TO THE EXISTING DEVICES - A system of extending functionalities of a host device using a smart flash storage device comprises the host device having a host interface and configured to perform a specific function to generate a first set of data. The host device is coupled with a flash storage device. The flash storage device is configured to conform to a flash memory interface. A set of data generated by the host device is to be stored in flash memory storage of the flash storage device. A processor of the flash storage device is configured to run one or more user applications to process the set of data. The processor is to operate using power supplied by the host device. | 04-10-2014 |
20140169302 | LOW POWER AND FAST APPLICATION SERVICE TRANSMISSION - An apparatus, method and system are provided to allow a low power and fast application service transmission (LP-FAST) engine to enhance the quality of service (QoS) and optimize the power consumption of the mobile applications operating in a mobile terminal in a service-aware, bandwidth-aware and power-consumption-aware manner. | 06-19-2014 |
Shujie Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20130278241 | DIGITAL CLOSED-LOOP FIBER OPTICAL CURRENT SENSOR - This present inversion provides a digital closed-loop fiber optical current sensor. The modulation signal of the optical wave “phase modulator” of the fiber optical current sensor system is modulation square wave, signal processing system extracts any harmonic wave of the photoelectric converter output modulation square waves, and extracts the measured current information from it. The preamplifier of signal processing system is transimpedance amplifier TIA, the bandwidth is extracted 1/650 instantaneous amplitude square wave directly from the modulation square wave (existing), thus the thermal noise of the preamplifier output and shot noise level is reduced to the existing technology of below 1/650; the current-voltage gain of transimpedance amplifier TIA does not depend on the feedback network resistance, thus it can have high current-voltage conversion gain and use low resistance in the feedback network TIA at the same time. So it can reduce resistance thermal noise to negligible that is accounted for a large proportion of TIA output noise. | 10-24-2013 |
Shutian Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20080310672 | EMBEDDING AND DETECTING HIDDEN INFORMATION - A novel method is disclosed for embedding hidden information in a document comprising characters, including: determining hidden information to be embedded in each class of layout transformation respectively; acquiring a code sequence for each class of layout transformation by coding the hidden information to be embedded in the class of layout transformation; performing layout transformation on characters from the document according to the acquired code sequence for each class of layout transformation respectively. | 12-18-2008 |
20130028466 | Embedding and Detecting Hidden Information - A novel method is disclosed for detecting hidden information in a document comprising characters, including: determining layout transformation for each character in the document for detection compared with the original document; obtaining a code sequence embedded in the document for detection based on the layout transformation of each character in the document for detection and the predetermined embedding rule; decoding the code sequence to get the hidden information embedded in the document for detection. | 01-31-2013 |
Wei Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20100002843 | STANDING WAVE ELECTRON LINEAR ACCELERATOR AND INSTALLATION ADJUSTING DEVICE THEREOF - The present invention discloses a standing wave linear accelerator, comprising: a microwave device configured to generate microwave; an electron beam emitting device configured to emit electron beam; an accelerating device configured to receive the microwave generated by the microwave device and form a microwave electric field, to accelerate electron beams generated from the electron beam emitting device and undertake the accelerated electron beam targeting to emit X ray beam; a synchronous device generating synchronous pulse signal; and a quick beam emitting device receiving the synchronous pulse signal generated by the synchronous device, wherein the microwave device runs and generates microwave in advance before the operation of the electron beam emitting device based on the synchronous pulse signal, and the quick beam emitting device drives the electron beam emitting device to emit electron beam after power of the microwave generated by the microwave device reaches stable state, so that the accelerating device emits X ray beam. In the accelerator, the microwave system and the electron beam emitting device do not work at the same time, and the accelerator electron beam emitting system is started only when the AFC is put into operation and runs stably. | 01-07-2010 |
20120125564 | COOLANT TEMPERATURE CONTROLLING SYSTEM FOR ENGINE PERFORMANCE TEST - The present invention provides a coolant temperature control system for engine performance test, comprising an internal coolant circulation system, a heat exchange unit, a temperature monitoring and control unit, and an external coolant circulation system, wherein, the internal coolant circulation system and the external coolant circulation system are connected to the heat exchange unit respectively, and the internal coolant circulation system is connected to the engine; the temperature monitoring and control unit is connected to the internal coolant circulation system, the heat exchange unit, and the external coolant circulation system respectively, and the internal coolant circulation system is a closed internal circulation system. A vapor exhaust pipe connects the water channel in the engine cylinder head to an expansion water tank; a bypass pipe and a bypass valve are mounted to the water inlet and water outlet of the engine. | 05-24-2012 |
20140077619 | APPARATUS AND METHOD FOR VOLTAGE ALTERNATING PULSE OUTPUT - The present invention provides a high voltage pulse modulating power source based on alternate group triggering, which comprises: a DC stabilized voltage source for supplying power to the high voltage pulse modulating power source; a plurality of solid-state switches; a plurality of triggers corresponding to said plurality of solid-state switches, wherein each trigger provides a trigger signal to its corresponding solid-state switch to turn on said corresponding solid-state switch, wherein said plurality of triggers are divided into at least two groups of triggers; a time sequence control module, which, at time t | 03-20-2014 |
20140129818 | ELECTRONIC DEVICE AND BOOTING METHOD - The present invention provides an electronic device including a write-once-then-read-only register, a chipset, a read-only memory, a flash memory and a central processor. The write-once-then-read-only register is arranged to store a determination value. The chipset is arranged to produce a CPU reset signal. The read-only memory is implemented in the chipset, and has a first memory block which corresponds to a predetermined address and is used to store a first instruction. The flash memory is coupled to the chipset, and has a second memory block which corresponds to the predetermined address and is used to store a second instruction. The central processor is arranged to determine the location of the predetermined address according to the CPU reset signal and the determination value. | 05-08-2014 |
20140320105 | APPARATUS AND METHOD FOR CONTROLLING PULSE OUTPUT - The present invention provides a pulse modulating power source, which comprises: a plurality of discharging modules connected in series during discharging; a plurality of triggers corresponding to said plurality of discharging modules, wherein each trigger provides a trigger signal to the corresponding discharging module to turn it on; a control logic module for controlling the trigger signals so as to turn on said plurality of discharging modules successively with a time delay; an output terminal for outputting a voltage. | 10-30-2014 |
Wen Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140324795 | DATA MANAGEMENT - Methods and systems for data management are disclosed. With embodiments of the present disclosure, data files originating from the same source data can be de-duplicated. One such method comprises calculating one or more of a first characteristic value for first data in a first format, and one or more second characteristic values for one or more data in one or more second formats into which the first data can be converted, said characteristic value uniquely representing an arrangement characteristic of at least part of bits of data in a particular format. The method also includes storing one of the first data and the second data in response to one of the calculated characteristic values being the same as a stored characteristic value corresponding to a second data. | 10-30-2014 |
Wen J. Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20110137710 | METHOD AND APPARATUS FOR OUTLET LOCATION SELECTION USING THE MARKET REGION PARTITION AND MARGINAL INCREMENT ASSIGNMENT ALGORITHM - A system and method of determining at least one location for a retail outlet in a region are described. The system and method use clustering technology for partitioning the region into a fixed number of sub-regions. Then, the system and method compute marginal increments from input data for each sub-region. The system and method choose a sub-region having a maximal marginal increment for a location of a first retail outlet. | 06-09-2011 |
20140006331 | POWER PREDICTION FOR NEWLY ADDED WIND TURBINE | 01-02-2014 |
Wen Jun Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20090187447 | CHECKING THE CORRECTNESS OF GIS DATA - A method and apparatus for checking the correctness of GIS data. The method includes the steps of: receiving GIS data; receiving business data of each branch; determining the geographic area to which each branch belongs, wherein the GIS data in the geographic area will be checked; and, checking whether the GIS data of the geographic area to which each branch belongs are correct based on the business data of each branch. The method and apparatus can automatically check geographic and demographic data of a given city or region. | 07-23-2009 |
20090187464 | METHOD AND APPARATUS FOR END-TO-END RETAIL STORE SITE OPTIMIZATION - A method and apparatus for end-to-end retail store one-stop site configuration integrates multiple data sources, identifying key customers, forecasting merchandise demand. Site configuration is formulated as a mathematical optimization problem with both in-store and external data as input to the problem whose solution provides proper suggestions for retail store transformation. | 07-23-2009 |
20090234782 | METHOD AND APPARATUS FOR LOCATION EVALUATION AND SITE SELECTION - Method, apparatus and system for location evaluation and site selection, capable of effectively configuring the site network and evaluating the facility location by scientifically modeling and incorporating human knowledge are provided. In one aspect, geographic and demographic data associated with a plurality of locations and human knowledge comprising partial rating knowledge and pair-wise preference knowledge are used in a regression algorithm to construct a location evaluation model. The regression algorithm is further refined using active learning that identifies a plurality of pairs of locations to improve precision of the regression algorithm. | 09-17-2009 |
20090281869 | METHOD AND APPARATUS FOR INTEGRATED MULTIPLE FACTORS INTO A UNIFIED OPTIMIZATION MODEL FOR RETAIL NETWORK CONFIGURATION - A method and system for integrating multiple factors into a unified optimization model for retail network configuration, in one aspect, obtains input data for modeling store configuration. The input data may include demand of each merchandise category from each customer segment in each facility, geographic distribution of stores in an area, current revenue of stores, and physical cost of reconfiguring stores. A trade area is generated as a function of store location, store format, and store capacity. The method and system also generates trade area demand summation representing predicted total demand of all stores for all merchandise categories for all customer segments in the trade area, as a function of store location, store format, store capacity, merchandise category, and customer segment associated with the trade area. An objective function is constructed as a function of said trade area demand summation, current revenue of stores, and physical cost of reconfiguring stores. | 11-12-2009 |
20100277497 | METHOD FOR HIGHLIGHTING TOPIC ELEMENT AND SYSTEM THEREOF - A method and system for determining highlighting colors for topic elements in a chart. The method includes acquiring contrast elements and topic elements which need to be highlighted; acquiring colors of the contrast elements and layout information of the topic elements and the contrast elements in the chart; determining a plurality of combinatorial contrast policies for the colors of the contrast elements according to a color contrast standard; calculating weights of the combinatorial contrast policies using the layout information; and determining highlighting colors of the topic elements according to the combinatorial contrast policies and their weights. A system for executing the above method is also provided. A highlighting color can be selected automatically for the information that needs attention, so that the user can determine information that should be specially noted without having professional knowledge about color, saving time and energy. | 11-04-2010 |
20110040601 | METHOD AND APPARATUS FOR CUSTOMER SEGMENTATION USING ADAPTIVE SPECTRAL CLUSTERING - A method and system for customer segmentation using adaptive spectral clustering may include determining initial segmentation labels, determining new customer behavior data, formulating a single objective minimization function that integrates the initial segmentation labels with the new customer behavior data, and determining best fit to both the initial segmentation labels and the new customer behavior data simultaneously by minimizing the single objective minimization function. | 02-17-2011 |
20110106323 | METHOD AND APPARATUS FOR PROCESSING POWER SYSTEM TOPOLOGY STRUCTURE INFORMATION - A topology structure of a power system is acquired. At least one unification set in the power system is identified based on the topology structure. Devices belonging to the same unification set have the same outage state. The at least one unification set is recorded, so as to concurrently maintain devices belonging to the same unification set. Advantageously, one or more embodiments reduce number of outages caused by power system device maintenance. | 05-05-2011 |
20110145237 | METHOD AND SYSTEM FOR MERCHANDISE HIERARCHY REFINEMENT BY INCORPORATION OF PRODUCT CORRELATION - System, method and computer program product for adjusting a representation of a merchandise hierarchy associated with an entity such as a retailer or wholesaler of products. Product correlation information discovered in that entity's customers' shopping records are obtained and incorporated into an existing merchandise hierarchy with a constraint on the consistency with the existing hierarchy. | 06-16-2011 |
20110188404 | METHOD AND APPARATUS FOR OPTIMAL SERVICE CHANNEL RECONFIGURATION BASED ON MULTI-AGENT SIMULATION - A method, and system employing the method, for service channel reconfiguration at a service outlet includes generating service transaction data of a service outlet, generating queue management system (QMS) data of the service outlet, and generating cost and profit data for the service outlet. Data is extracted from the service transaction data and the QMS data relating to specified parameters including customer experience data, and customer demand data. The service transaction data and the QMS data is integrated with the cost and profit data providing a unified objective function. Stochastic service processes and customer behavior data are modeled. The unified objective function is evaluated using the stochastic service processes and customer behavior data model, and the service channel function of the service outlet is reconfigured using the unified objective function. | 08-04-2011 |
20110196630 | Method and System for Detecting Conflicts between Outage Requests and Power Supply Guarantee Requests in a Power Grid - A method and system are provided for detecting conflicts between a power supply guarantee request (PSGR) and an outage request (OR) in a power grid. The system comprises: an accompanying outage analysis device for receiving a first outage device set including OR related devices, and using geographic information about devices in the power grid to determine a second outage device set, the second outage device set including devices in the first outage device set and accompanying outage devices that need to be in outage together with the devices in the first outage device set; an outage scale determination device for using topology information about the power grid to determine a power grid outage scale in which all of the devices in the second outage device set can be in outage; and a conflict detection device for receiving a power supply guarantee device set including PSGR related devices, and judging, for each device in the power supply guarantee device set, whether the device is included in the power grid outage scale. | 08-11-2011 |
20120016716 | JOINT MULTI-CHANNEL CONFIGURATION OPTIMIZATION FOR RETAIL INDUSTRY - A data integration module is operable to integrate a plurality of data sources, a customer preference module builds a model representing preference to different channels in merchandise category for each customer segment. A customer satisfaction module creates a model representing customer satisfaction metrics. A joint multi-channel optimization module is operable to use an optimization model that utilizes the customer preference model and the customer satisfaction model and maximize retailer's profit and customer satisfaction. | 01-19-2012 |
20120046991 | COMPETING SIMULATOR IN MULTI-CHANNEL RETAILING ENVIRONMENT AMONG MULTIPLE RETAILERS - A system, method and computer program product for providing the ability for retailers to devise a current channel strategy (e.g., adaptive price setting) that considers competitors in a dynamic competing environment, and that enables computing a competitive advantage of a channel. To estimate a price for selling a product j in a commerce channel comprises: a) receiving, at a processor device, real market data including sales and price history data of a product j sold by one or more retailers over one or alternate sales channels t; generating, by the processor device, a competitive advantage parameter value based on the sales and price history data; and, computing, utilizing the competitive advantage parameter value, an optimum price for a particular product to be marketed in one of the one or alternate sales channel. | 02-23-2012 |
20120078943 | HIGH QUANTITATIVE PATTERN SEARCHING USING SPATIAL INDEXING - A computer searching technique identifies high quantitative patterns in data. A spatial indexing technique, such as an R-tree is used to represent the data. Then a pattern searching algorithm is used to identify anchor points that define the componentwise minimum patterns. High quantitative patterns are found responsive to the componentwise minimum patterns. The search strategy is demonstrated relevant to the problem of finding suitable locations for a retail business with reference to environments of prior successful retail businesses. | 03-29-2012 |
20120084118 | SALES PREDICATION FOR A NEW STORE BASED ON ON-SITE MARKET SURVEY DATA AND HIGH RESOLUTION GEOGRAPHICAL INFORMATION - A method for predicting sales for a new store in a certain geographical area is disclosed, the method comprising geographic and non-geographic information and customer segmentation in the area to estimate sales and optionally the impact on existing competitor stores. | 04-05-2012 |
20120254179 | CLUSTERING CUSTOMERS - A computer implemented method for clustering customers includes receiving a source set of customer records, wherein each customer record represents one customer, and each customer record includes at least one data attribute, and each data attribute has an attribute value; pre-processing the source set of customer records to generate a pre-processed set of customer records; executing a clustering algorithm on the pre-processed set of customer records to group the pre-processed set of customer records into clusters of a pre-defined number. The pre-processing comprises: determining the type of a customer in the source set of customer records; using a type attribute value to indicate the type of the customer in its customer record; normalizing data attribute values and type attribute values; weighting to the data attribute values and the type attribute values respectively to obtain weighted attribute values of the data attribute and weighted attribute values of the tune attribute. | 10-04-2012 |
20120290233 | METHOD FOR DETECTING CONFLICTS BETWEEN OUTAGE REQUESTS AND POWER SUPPLY GUARANTEE REQUESTS IN A POWER GRID - A method detects conflicts between a power supply guarantee request (PSGR) and an outage request (OR) in a power grid. The method comprises receiving a first outage device set including OR related devices and a power supply guarantee device set including PSGR related devices. Geographic information about devices in the power grid is used to determine a second outage device set, which includes devices in the first outage device set and accompanying outage devices that need to be in outage together with devices in the first outage device set. Power grid topology information is used to determine a power grid outage scale in which all devices in the second outage device set can be in outage. For each device in the power supply guarantee device set, the method detects whether the device is included in the power grid outage scale, to determine any conflict between the PSGR and OR. | 11-15-2012 |
20120290580 | CLUSTERING CUSTOMERS - A computer implemented method for clustering customers includes receiving a source set of customer records, wherein each customer record represents one customer, and each customer record includes at least one data attribute, and each data attribute has an attribute value; pre-processing the source set of customer records to generate a pre-processed set of customer records; executing a clustering algorithm on the pre-processed set of customer records to group the pre-processed set of customer records into clusters of a pre-defined number. The pre-processing comprises: determining the type of a customer in the source set of customer records; using a type attribute value to indicate the type of the customer in its customer record; normalizing data attribute values and type attribute values; weighting to the data attribute values and the type attribute values respectively to obtain weighted attribute values of the data attribute and weighted attribute values of the type attribute. | 11-15-2012 |
20130117165 | MERCHANDISE HIERARCHY REFINEMENT BY INCORPORATION OF PRODUCT CORRELATION - A system for adjusting a representation of a merchandise hierarchy associated with an entity such as a retailer or wholesaler of products. Product correlation information discovered in that entity's customers' shopping records are obtained and incorporated into an existing merchandise hierarchy with a constraint on the consistency with the existing hierarchy. | 05-09-2013 |
20130155026 | NEW KIND OF MULTI-TOUCH INPUT DEVICE - A multi-touch method includes, in response to at least one finger of a user pointing toward a computer screen, recognizing an eye and at least one finger in an image frame taken by a camera; mapping a first coordinate of the recognized finger in the image frame to a second coordinate of the finger on the computer screen; mapping a third coordinate the recognized eye in the image frame to a fourth coordinate of the eye on the computer screen; determining whether the fourth coordinate is in the proximity of the second coordinate; in response to a positive decision, determining the second coordinate as a multi-touch point. | 06-20-2013 |
20140067271 | PREDICTING ICE COATING STATUS ON TRANSMISSION LINES - A method and apparatus for predicting ice coating status on transmission lines. In one embodiment of the present invention, there is provided a method for predicting ice coating status on transmission lines, including: collecting real-time meteorological data from at least one meteorological sensor; generating a meteorological element predicted value based on the real-time meteorological data, an initial field and a weather forecasting model; and obtaining a set of lines that meet ice coating conditions among the transmission lines, based on the meteorological element predicted value and attribute information of the transmission lines. In one embodiment of the present invention, there is provided an apparatus for predicting ice coating status on transmission lines. | 03-06-2014 |
20140088894 | METHOD AND DEVICE FOR EXTRACTING SKELETON TOPOLOGY STRUCTURE OF ELECTRIC POWER GRID - A system and method for extracting a skeleton topology structure for an electric power grid, the method comprising: receiving a description of a topology sub-structure corresponding with user's need and a description of skeleton topology sub-structure extracted from the topology sub-structure; generating a first incidence matrix based on the description of the topology sub-structure and a second incidence matrix based on the description of the skeleton topology sub-structure; generating a third incidence matrix based on a primary topology structure of electric power grid; searching from the third incidence matrix a sub-matrix that matches the first incidence matrix; obtaining a fourth incidence matrix by using the second incidence matrix to transform the matching sub-matrix; and generating a skeleton topology structure corresponding to the primary topology structure based on the fourth incidence matrix. | 03-27-2014 |
20140214349 | ESTIMATING CONDITION OF BATTERY, RELATED SYSTEM AND VEHICLE - An apparatus for estimating a condition of a battery includes a mode identifying unit configured to identify a usage mode of the battery during a period of time and its corresponding attenuation curve, according to recorded data on battery usage, stored usage modes of the battery and attenuation curves corresponding to the various usage modes, the attenuation curve representing a change of a fully charged capacity of the battery with battery usage; and a condition estimating unit configured to calculate battery degradation according to the recorded data, the identified usage mode and its corresponding attenuation curve, the degradation representing a quantity of the fully charged capacity of the battery that is reduced over the battery usage. The condition of the battery is estimated so as to rationally judge the residual value of the battery in operation. | 07-31-2014 |
20140239639 | CONTROLLING WIND TURBINE - The present invention relates to a method and apparatus for controlling a wind turbine. The method includes: dividing a plurality of wind turbines into at least one group based on a similarity in status information of the plurality of wind turbines; in response to having detected a fault in a first wind turbine of the plurality of wind turbines, searching a group to which the first wind turbine belongs for a second wind turbine matching status information of the first wind turbine; and controlling the first wind turbine based on parameters from the second wind turbine. | 08-28-2014 |
20140244188 | FORECASTING OUTPUT POWER OF WIND TURBINE IN WIND FARM - A method and apparatus for forecasting output power of wind turbine in a wind farm. The present invention provides a method for forecasting output power of a wind turbine in a wind farm, including: generating a corrected data set based on environmental data collected from at least one sensor in the wind farm; correcting a weather forecasting model by using the corrected data set; obtaining a forecast value of wind information at the wind turbine based on the corrected weather forecasting model; and forecasting the output power of the wind turbine based on the forecast value and a power forecasting model. | 08-28-2014 |
20150091527 | CHARGING SYSTEM, CHARGING CONTROL DEVICE AND CHARGING METHOD - The present disclosure provides a charging system, charging method, and charging control device. The charging system comprises a charger configured to be coupled to an external power source with its one end; a plurality of secondary batteries configured to be coupled to the charger, respectively; and a control device configured to be coupled to the charger and the plurality of secondary batteries, to control the charger to perform a charging operation on the plurality of secondary battery. According to the present disclosure, the secondary batteries having harmonics with identical frequencies and opposite phases are connected in parallel for charging, to reduce or eliminate the harmonic distortion generated by high order harmonics, and effectively reduce the value of THD. | 04-02-2015 |
20150212534 | GENERATING ELECTRIC SUBSTATION LOAD TRANSFER CONTROL PARAMETERS - A method for generating electric substation load transfer control parameters includes adjusting elements in a fundamental scale matrix according to a condition change of a power grid, wherein the fundamental scale matrix is constructed based on the topology structure of the power grid, and the elements in the fundamental scale matrix represent switch information and risk values of paths between nodes of the power grid, wherein the switch information represents number of switching times required for connecting two nodes of the power grid; and performing operations on the adjusted fundamental scale matrix to generate switch information and risk values of paths for electric substation load transfer control, as electric substation load transfer control parameters. | 07-30-2015 |
20150213364 | PREDICTING POLLUTION FORMATION ON INSULATOR STRUCTURES OF POWER GRIDS - A method and a device for predicting insulator pollution grade includes acquiring prediction data affecting the insulator pollution grade; acquiring current pollution status of the insulator; predicting the insulator pollution grade based on the prediction data, the current pollution status and an insulator pollution grade calculating model, wherein the insulator pollution grade calculating model at least comprises an initial pollution status variable of the insulator, and a pollutant accumulation prediction and a pollutant reduction prediction based on the initial pollution status variable, at least one of the accumulation prediction and the reduction prediction being associated with the prediction data, and the initial pollution status variable being associated with the current pollution status. | 07-30-2015 |
20150276981 | METHOD AND APPARATUS FOR LIGHTNING FORECAST - The present invention proposes a lightning forecast method, comprising: identifying a Targeted Weather of SDA carrier (TWLC) based on radar reflectivity data; forecasting a future TWLC state based on the identified TWLC; building or updating an SDA model based on SDA observation data and detected TWLC state-related data; and calculating the probability of producing SDA in the forecasted future TWLC according to the SDA model. | 10-01-2015 |
20150278394 | MANAGING DATABASE - The present invention discloses a method and system for managing a database. According to embodiments of the present invention, there is provided a method for managing a database, each item of data in the database being associated with a timestamp and a data point, the timestamps being used as row keys for rows of a table in the database, the method comprising: obtaining a behavior characteristic of a user based on a previous data access to the database by the user; partitioning columns in the table into column families based on the obtained behavior characteristic and system configuration of the database; and causing data in the database to be stored in respective column families at least in part based on the associated data point. There is further disclosed a corresponding system. | 10-01-2015 |
20150288182 | GENERATING ELECTRIC SUBSTATION LOAD TRANSFER CONTROL PARAMETERS - A method for generating electric substation load transfer control parameters includes adjusting elements in a fundamental scale matrix according to a condition change of a power grid, wherein the fundamental scale matrix is constructed based on the topology structure of the power grid, and the elements in the fundamental scale matrix represent switch information and risk values of paths between nodes of the power grid, wherein the switch information represents number of switching times required for connecting two nodes of the power grid; and performing operations on the adjusted fundamental scale matrix to generate switch information and risk values of paths for electric substation load transfer control, as electric substation load transfer control parameters. | 10-08-2015 |
20150293262 | METHOD AND APPARATUS FOR LIGHTNING FORECAST - The present invention proposes a lightning forecast method, comprising: identifying a Targeted Weather of SDA carrier (TWLC) based on radar reflectivity data; forecasting a future TWLC state based on the identified TWLC; building or updating an SDA model based on SDA observation data and detected TWLC state-related data; and calculating the probability of producing SDA in the forecasted future TWLC according to the SDA model. | 10-15-2015 |
Wensheng Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20100187917 | MICRO STAGE WITH 6 DEGREES OF FREEDOM - A micro stage with 6 degrees of freedom used in super-precise processing and sensing equipment filed is disclosed. The micro stage has three sets of electromagnetic driving units arranged in a horizontal plane for driving the micro stage to obtain movements within the horizontal plane with 3 degrees of freedom in X, Y and θ | 07-29-2010 |
20100208227 | DUAL-STAGE SWITCHING SYSTEM FOR LITHOGRAPHIC MACHINE - A dual-stage switching system for lithographic machine includes a wafer stage to be operated in an exposure station and another wafer stage to be operated in a pre-processing station. The two wafer stages are provided on a base, with four 2-DOF driving units capable of moving along X direction and Y direction being provided along the edge of the base, and the wafer stages being disposed in a space surrounded by the four 2-DOF driving units and suspended on an upper surface of the base by air bearings. Each of the 2-DOF driving units includes upper and lower linear guides and a guiding sleeve, with the upper and lower linear guides being installed vertical to each other in their corresponding guiding sleeve. Two adjacent 2-DOF driving units cooperatively drive the wafer stage) to move in the X direction and Y direction. | 08-19-2010 |
20120099094 | DUAL-STAGE EXCHANGE SYSTEM FOR LITHOGRAPHIC APPARATUS - A dual-stage exchange system for a lithographic apparatus comprises a silicon chip stage ( | 04-26-2012 |
20120099095 | DUAL-STAGE EXCHANGE SYSTEM FOR LITHOGRAPHIC APPARATUS - A dual-stage exchange system for a lithographic apparatus comprises a silicon chip stage ( | 04-26-2012 |
20120127448 | DUAL WAFER STAGE EXCHANGING SYSTEM FOR LITHOGRAPHIC DEVICE - A dual wafer stage exchanging system for a lithographic device is disclosed, said system comprises two wafer stages running between an exposure workstation and a pre-processing workstation, and said two stages are set on a base and suspended above the upper surface of the base by air bearings. Each wafer stages is passed through by a Y-direction guide rail respectively, wherein one end of said guide rail is connected with a main driving unit and another end of said guide rail is detachably coupled with one of the two X-direction auxiliary driving units with single degree of freedom, and said two wafer stages are capable of moving in Y-direction along the guide rails and moving in X-direction under the drive of the auxiliary driving units with single degree of freedom. The position exchange of said two wafer stages can be enabled by the detachment and connection of the Y-direction guide rails and the auxiliary units with single degree of freedom. | 05-24-2012 |
20130024157 | TWO-DIMENSIONAL LOCATING METHOD OF MOTION PLATFORM BASED ON MAGNETIC STEEL ARRAY - A two-dimensional locating method of a motion platform based on a magnetic steel array involves the following steps: placing more than four linear Hall sensors at any different positions within one or more polar distances of the magnetic steel array on the surface of the motion platform in a motion system; determining a magnetic flux density distribution model according to the magnetic steel array; determining the mounting positions of the above-mentioned linear Hall sensors, which are converted into phases with respect to the mass center of the motion platform; recording the magnetic flux density measured values of the linear Hall sensors as the motion proceeds; solving the phases of the mass center of the motion platform in a plane, with the measured values being served as observed quantities and the magnetic flux density distribution model being served as a computation model; and determining the position of the mass center of the motion platform with respect to an initial phase according to the phase, so as to realize the planar location of the motion platform. The present invention provides a simple, fast and robust method for computing mass center positions for a motion system containing a magnetic steel array. | 01-24-2013 |
20130038853 | NANOMETER-PRECISION SIX-DEGREE-OF-FREEDOM MAGNETIC SUSPENSION MICRO-MOTION TABLE AND APPLICATION THEREOF - A nanometer precision six-DOF magnetic suspension micro-stage and the application thereof are provided which are mainly used in semiconductor photolithography devices. The micro-stage includes a cross support and four two-DOF actuators. Each 2-DOF actuator comprises a vertically polarized permanent magnet, a horizontal force coil and a vertical force coil; the permanent magnet being mounted on an end of the cross support, the horizontal force coil and the vertical force coil being arranged on a side of and below the permanent magnet respectively and being spaced apart from the permanent magnet; the cross support and four vertically polarized permanent magnets constitute a mover of the micro-stage; the horizontal force coil and the vertical force coil being fixed by a coil framework respectively and constituting a stator of the micro-stage; and the stator being mounted on a base of the micro-stage. A dual-wafer table positioning system of a photolithography machine may be constructed by two said micro-stages in combination with a two-DOF large stroke linear motor. The present invention features simple structure, large driving force, small mass and absence of cable disturbance, and is possible to realize high precision, high acceleration six-DOF micro-motion. | 02-14-2013 |
20140160495 | TWO-DIMENSIONAL, POSITION-SENSITIVE SENSOR-BASED SYSTEM FOR POSITIONING OBJECT HAVING SIX DEGREES OF FREEDOM IN SPACE - A two-dimensional, position-sensitive sensor-based system for positioning an object having six degrees of freedom in space, used for positioning of a silicon table and mask table of a lithography machine. The system comprises mainly a semiconductor laser | 06-12-2014 |
20150077032 | SINGLE DEGREE OF FREEDOM VIBRATION ISOLATING DEVICE OF LINEAR MOTOR AND MOTION CONTROL METHOD THEREOF - A single degree of freedom vibration isolating device of a linear motor and a motion control method thereof. The vibration isolating device comprises a balance block, an anti-drifting driving unit, and a control unit. An upper surface of the balance block is connected to a stator of the linear motor, and a lower surface of the balance block is connected to a base. The anti-drifting driving unit is connected to the balance block for controlling the position of the balance block. Provided two motion control methods; inputting a second grating ruler signal to the control unit as feedback to perform variable stiffness and nonlinear control on the balance block; inputting a first and a second grating ruler signal to the control unit as feedback to obtain resultant centroid displacement signals of the rotor and the balance block to perform nonlinear anti-drifting control on the balance block. | 03-19-2015 |
20150085302 | METHOD FOR MEASURING DISPLACEMENT OF LARGE-RANGE MOVING PLATFORM - A method for measuring displacement of a large-range moving platform, comprising: arranging multiple beams of first measuring light parallel to one another and generated by an optical path distribution device and a position sensitive detector array in a certain manner, to ensure that at least one beam of first measuring light is detected by the position sensitive detector array when a moving platform is at any position of a moving area; a detection head array capable of determining whether a light beam is shaded being used for auxiliary measurement of a position of the moving platform; and determining a position of the moving platform that corresponds to the first measuring light measured by the position sensitive detector array, to calculate displacement of the moving platform. The method effectively enlarges a measurement range of the position sensitive detector array, and implements measurement of long range displacement of the moving platform. | 03-26-2015 |
20150097508 | METHOD FOR MEASURING DISPLACEMENT OF PLANAR MOTOR ROTOR - A method for measuring the displacement of a planar motor rotor. The measuring method comprises: four magnetic induction intensity sensors are distributed on the planar motor rotor; sampled signals of the four distributed sensors are processed to obtain signals B | 04-09-2015 |
20150268031 | DUAL-FREQUENCY GRATING INTERFEROMETER DISPLACEMENT MEASUREMENT SYSTEM - A dual-frequency grating interferometer displacement measurement system, comprises a dual-frequency laser, an interferometer, a measurement grating and an electronic signal processing component. The measurement system realizes displacement measurement based on grating diffraction, optical Doppler effect and optical beat frequency theory. Dual-frequency laser light is emitted from the dual-frequency laser and split into reference light and measurement light via a polarization spectroscope. The measurement light is incident to the measurement grating to generate positive and negative first-order diffraction. The diffraction light and the reference light form a beat frequency signal containing displacement information about two directions at a photo-detection unit, and linear displacement output is realized after signal processing. The measurement system can realize sub-nanometer and even higher resolution and accuracy, and is able to measure long horizontal displacement and vertical displacement at the same time. | 09-24-2015 |
Xiangdong Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20130100824 | METHOD AND SYSTEM FOR INTERMEDIATE NODE TO LOCATE A FAULT INDEPENDENTLY - A method for an intermediate node to locate a fault independently, where the intermediate node serves to forward a packet between a first end node and a second end node, the method includes: creating a test packet and sending the test packet to the first end node, where the test packet includes address information of the second end node, and the test packet is used to trigger the first end node to send a response packet to the second end node; forwarding the response packet from the first end node to the second end node; capturing the response packet ; and analyzing, according to a result of the packet capturing, whether a packet from the first end node to the second end node can be forwarded normally. | 04-25-2013 |
Xiaolei Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20100093090 | METHOD AND KIT FOR EFFICIENT REPROGRAMMING OF SOMATIC CELLS - The present invention relates to method or kit for efficient reprogramming of somatic cells. | 04-15-2010 |
Xiaoming Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20110252308 | GENERATING COMPUTER PROGRAM CODE FROM OPEN MARKUP LANGUAGE DOCUMENTS - Computer program code may be generated from open markup language documents. A computer may be utilized to load source and target open markup language documents. The computer may then be utilized to compare content in the source open markup language document and content in the target open markup language document to determine differences. After the comparison has been made, the computer may be utilized to generate computer program code representative of the differences between the source and target markup language documents. | 10-13-2011 |
Xiaoti Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20100269930 | Device for Intermittently Jetting Gas - A device for intermittently jetting gas includes a gas source, a gas conduit with one end being communicated with the gas source and the other end being in communication with a nozzle, a rotary disc and a motor, the disc being mounted on a rotating shaft of the motor for rotating along with the shaft, wherein the rotary disc is provided with at least one opening through which a gas in the gas conduit is jetted when the opening is aligned with the outlet of the gas conduit. The device can freely adjust the gas jetting frequency by adjusting the rotation speed of the motor, and thus increase the gas jetting frequency and effectively flow off the suspicious particles carried in the human clothes. | 10-28-2010 |
Xu Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20090287921 | MOBILE DEVICE ASSISTED SECURE COMPUTER NETWORK COMMUNICATION - Mobile device assisted secure computer network communications embodiments are presented that employ a mobile device (e.g., a mobile phone, personal digital assistant (PDA), and the like) to assist in user authentication. In general, this is accomplished by having a user enter a password into a client computer which is in contact with a server associated with a secure Web site. This password is integrated with a secret value, which is generated in real time by the mobile device. The secret value is bound to both the mobile device's hardware and the secure Web site being accessed, such that it is unique to both. In this way, a different secret value is generated for each secure Web site accessed, and another user cannot impersonate the user and log into a secure Web site unless he or she knows the password and possesses the user's mobile device simultaneously. | 11-19-2009 |
Xu-Cheng Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20080226171 | Correcting device and method for perspective transformed document images - This invention provides a correcting device and a correcting method for perspective transformation of document images. The correcting device comprises a horizontal vanishing point determining unit, for detecting a horizontal vanishing point of the perspective transformed document image; a vertical vanishing point determining unit, for detecting a vertical vanishing point of the perspective transformed document image; and a perspective transformation correcting and converting unit, for correcting the perspective transformed document image; wherein the horizontal vanishing point determining unit comprises a direct horizontal line segment detecting unit, an indirect horizontal line segment detecting unit and a horizontal vanishing point detecting unit, and wherein the horizontal vanishing point detecting unit detects a horizontal vanishing point in accordance with a direct horizontal line segment detected by the direct horizontal line segment detecting unit and an indirect horizontal line segment detected by the indirect horizontal line segment detecting unit. | 09-18-2008 |
Xuebing Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150155044 | STORAGE DEVICE AND METHOD FOR PERFORMING INTERRUPTION CONTROL THEREOF - A storage device includes a flash memory and a buffer memory. A method of controlling interrupts includes: receiving data to be written to the storage device from an information processing device; writing the received data to be written to the storage device to the buffer memory; fetching the data in the buffer memory and writing the data to the flash memory; in which, after writing the received data to be written to the storage device to the buffer memory, if the amount of data in the buffer memory is less than the predetermined threshold, then sending a message indicating the completion of the write operation to the information processing device. | 06-04-2015 |
20150193360 | METHOD FOR CONTROLLING INTERRUPTION IN DATA TRANSMISSION PROCESS - A method of controlling interrupts in the process of data transmission used for transmitting data between an information processing device and a storage device having a flash memory includes: receiving a write request sent by the information processing device using the storage device; in response to the write request, writing data to the flash memory; sending a message to the information processing device, to indicate completion of the write request, and calculating the number of times of sending messages to the information processing device within the predetermined time interval; in which, if the number is larger than the predetermined threshold, stopping the sending messages to the information processing device. | 07-09-2015 |
Yan-Li Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150203632 | METHOD FOR MAKING CARBON NANOTUBE COMPOSITE STRUCTURE - A method for making a carbon nanotube composite structure includes suspending a carbon nanotube layer in a reactor. A polymer monomer solution and an oxidant solution are gasified by a pressure to form polymer monomer solution gas and oxidant solution gas. The polymer monomer solution gas is polymerized and chemically bonded to the carbon nanotube layer in initiation of the oxidant solution gas. | 07-23-2015 |
Yanyan Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140346511 | ARRAY SUBSTRATE, MANUFACTURING METHOD, AND DISPLAY DEVICE THEREOF - An array substrate, a manufacturing method, and a display device thereof are disclosed. The array substrate comprises a plurality of pixel units, each of the pixel units comprises a first transparent conductive layer and a second transparent conductive layer ( | 11-27-2014 |
20150036913 | METHOD, APPARATUS AND EQUIPMENT OF INSPECTING QUALITY OF LCD - A method, an apparatus and an equipment of inspecting the quality of an LCD are provided, the method includes: obtaining optical parameters of the LCD; capturing images of the LCD; and determining that the LCD is defective after determining that the optical parameters are not in the range of the preset optical parameters and/or the captured images of the LCD are not consistent with the pre-stored images. Through the technical solution of the present invention, it can effectively differentiate the defect types of a product and record the defect position of the product, thereby it can effectively reduce misjudgment or miss test caused by the visual differences between operators to improve the quality and yield of manufactured LCD. | 02-05-2015 |
20150085240 | DISPLAY SUBSTRATE AND MANUFACTURING METHOD THEREOF, AND DISPLAY DEVICE - Embodiments of the invention provide a display substrate and a manufacturing method thereof, and a display device. The display substrate includes a display region and a sealant coating region provided outside the display region, and the sealant coating region is provided with a groove to limit a sealant. | 03-26-2015 |
20150303399 | MASK PLATE, ORGANIC LIGHT-EMITTING DIODE (OLED) TRANSPARENT DISPLAY PANEL AND MANUFACTURING METHOD THEREOF - A mask plate for manufacturing an organic light-emitting diode (OLED) transparent display panel, the OLED transparent display panel and a manufacturing method thereof are disclosed. The mask plate includes a substrate and a plurality of hollowed-out areas and a plurality of opaque areas disposed on the substrate, and a pattern of the hollowed-out areas correspond to a pattern of a cathode of the OLED transparent display panel to be manufactured; and all the hollowed-out areas are communicated with each other. | 10-22-2015 |
Yaoyao Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20110007726 | METHOD, ROAMING PROCESSING DEVICE AND COMMUNICATION SYSTEM FOR IMPLEMENTING INTERNATIONAL ROAMING - There are disclosed an international roaming method, a roaming processing device and a communication system for international roaming of an international roaming subscriber of a first operator in a third operator network. When the international roaming subscriber of the first operator provided with a first operator IMSI and a second operator IMSI. roams in the third operator network, the roaming processing device of a second operator modifies a first signaling message from a first operator network and carrying the first operator IMSI to a second signaling message carrying the second operator IMSI and transmits the second signaling message to the third operator network. and modifies a third signaling message from the third operator network and carrying the second operator IMSI to a fourth signaling message carrying the first operator IMSI and transmits the fourth signaling message to the first operator network. There is also disclosed a gateway device. | 01-13-2011 |
Yingwu Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150176207 | Chemical Pulping Method - The present invention provides a pulping method. Caustic soda is replaced with cheap lime to manufacture pulp in high efficiency, and meanwhile complexation, flocculation and acid-base neutralization of aluminum sulfate are further utilized, so as to recycle effective ingredients in a black liquid, achieve a yield approximate to a mechanical pulp yield, obtain quality of the chemical pulp, implement cyclic utilization of the black liquid and solve the pollution thereof. | 06-25-2015 |
Yuanbin Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150092602 | Method and Device for Clearing Media Access Control Forwarding Entry - The present application provides a method and a device for clearing a MAC forwarding entry. The method includes: detecting, by a first RB, that a topology of a network accessed by a local terminal changes; and sending, by the first RB, a first packet to a second RB, so that the second RB clears a corresponding forwarding entry after receiving the first packet, where the second RB refers to an RB configured with at least one VLAN the same as that of the first RB. According to embodiments of the present application, after the topology of the network accessed by the local terminal changes, MAC forwarding entries of relevant devices in a whole network can be cleared in time, thereby avoiding a forwarding error. | 04-02-2015 |
20150109938 | METHOD, NODE, AND SYSTEM FOR DETECTING PERFORMANCE OF LAYER 3 VIRTUAL PRIVATE NETWORK - Embodiments of the present invention disclose a method, apparatus, and system for detecting performance of a layer 3 virtual private network. A first node creates an OAM instance according to OAM configuration information set by a user, and sends the OAM configuration information to a second nodet; the second node creates an OAM instance according to the OAM configuration information; and the first node performs OAM detection by sending an OAM packet to the second node and/or receiving an OAM packet from the second node, where the sending and/or receiving is implemented by using the OAM instance of the first node. According to the present invention, not only an OAM configuration procedure is simplified and manpower is saved, but also consistency of OAM configuration at two ends can be ensured. In addition, a configuration delay in this dynamic configuration manner may be ignored. | 04-23-2015 |
Yuejing Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20110119394 | System and Method for Media Content Streaming - In accordance with an embodiment, a method includes electronically receiving a media presentation description (MPD) from a network. The MPD describes multimedia content that includes alternative representations of a plurality of media types, and the MPD includes information indicative of how the alternative representations are encoded. The method also includes selecting one of the plurality of alternative representations for at least one of the plurality of media types based on information included in the MPD, requesting the selected one of the plurality of alternative representations piece-by-piece, and electronically receiving a piece of media data. | 05-19-2011 |
20120089740 | METHOD AND DEVICE FOR SELECTING AN SVC OPERATION POINT, AND METHOD AND DEVICE FOR PROVIDING INFORMATION OF SVC OPERATION POINTS - A method for selecting an SVC operation point is provided, which includes: receiving an SDP message, where the SDP message includes a unique ID of each operation point and characteristic parameters of at least one operation point of multiple operation points, where the unique ID includes a layer-ID; selecting an operation point from the multiple operation points; and sending a session setup request that includes the unique ID of the selected operation point. A server device and a client device are also provided. Through the method and the device, each operation point may be uniquely identified, and the mapping relationship between each operation point and characteristic parameters is clarified. | 04-12-2012 |
20140112354 | METHOD, APPARATUS, AND SYSTEM FOR PROCESSING STREAMING MEDIA DATA - Embodiments of the present invention relate to a method, an apparatus, and a system for processing streaming media data. An embodiment of the present invention provides a method for processing streaming media data, including: receiving, by a streaming media data encapsulation device, an application layer streaming media data block sent by a streaming media server, determining a source format and a target format of the application layer streaming media data block, where the number of data packets in the application layer streaming media data block is greater than the maximum integral number of data packets that are in the source format and can be contained in one packet in the target format, and segmenting and encapsulating, by the streaming media data encapsulation device, the data packets in the application layer streaming media data block into at least two packets in the target format according to the source format and the target format. | 04-24-2014 |
20140164575 | System and Method for Media Content Streaming - In accordance with an embodiment, a method includes electronically receiving a media presentation description (MPD) from a network. The MPD describes multimedia content that includes alternative representations of a plurality of media types, and the MPD includes information indicative of how the alternative representations are encoded. The method also includes selecting one of the plurality of alternative representations for at least one of the plurality of media types based on information included in the MPD, requesting the selected one of the plurality of alternative representations piece-by-piece, and electronically receiving a piece of media data. | 06-12-2014 |
20150215358 | System and Method for Media Content Streaming - In accordance with an embodiment, a method includes electronically receiving a media presentation description (MPD) from a network. The MPD describes multimedia content that includes alternative representations of a plurality of media types, and the MPD includes information indicative of how the alternative representations are encoded. The method also includes selecting one of the plurality of alternative representations for at least one of the plurality of media types based on information included in the MPD, requesting the selected one of the plurality of alternative representations piece-by-piece, and electronically receiving a piece of media data. | 07-30-2015 |
Yueyan Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20110071833 | SPEECH RETRIEVAL APPARATUS AND SPEECH RETRIEVAL METHOD - Disclosed are a speech retrieval apparatus and a speech retrieval method for searching, in a speech database, for an audio file matching an input search term by using an acoustic model serialization code, a phonemic code, a sub-word unit, and a speech recognition result of speech. The speech retrieval apparatus comprises a first conversion device, a first division device, a first speech retrieval unit creation device, a second conversion device, a second division device, a second speech retrieval unit creation device, and a matching device. The speech retrieval method comprises a first conversion step, a first division step, a first speech retrieval unit creation step, a second conversion step, a second division step, a second speech retrieval unit creation step, and a matching step. | 03-24-2011 |
20110131236 | SPEECH RETRIEVAL APPARATUS AND SPEECH RETRIEVAL METHOD - Disclosed are a speech retrieval apparatus and a speech retrieval method for searching, in an audio file database, for one or more target audio files by using one or more input search terms. The speech retrieval apparatus comprises a related document obtaining unit configured to search, in a related document database where documents related to audio files in the audio file database are stored, for one or more related documents by using the search terms; a correspondence audio file obtaining unit configured to search, in the audio file database, for one or more correspondence audio files corresponding to the obtained related documents; and a speech-to-speech search unit configured to search, in the audio file database, for the target audio files by using the obtained correspondence audio files. | 06-02-2011 |
Yuzong Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20150205580 | Method and System for Sorting Online Videos of a Search - Disclosed herein is a method and system for sorting network videos as a result of an online search. Under the present invention, the system obtains a final sorting factor by calculating weight values of different dimensions, including the release time of a network video, the amount of playbacks of the network video, the classification of the network video and a probability j indicating how often the network video occurs in a collection V. By presenting the videos more needed by the user on the top of a web page, the present invention provides a more accurate display of the search results, thereby improving the user viewing experience. | 07-23-2015 |
20150213136 | Method and System for Providing a Personalized Search List - Disclosed herein is a method and system for providing a personalized search list, which comprises: recording a viewing log of a user based on the user's viewing activities of network videos; analyzing the recorded viewing log at a cloud server to generate a list of network videos that the user may like, wherein the list of network videos the user may like comprises a list of network videos based on the user information, or a list of network videos based on the contents of network videos viewed by the user, or a list of network videos based on a degree of viewing similarity between the user and other users, or combination thereof. After a list of search results are generated in response to a user-entered search term, an intersection between the list of search results and the list of network videos that the user may like is calculated to provide the personalized search list. | 07-30-2015 |
Zhidong Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140082158 | METHOD, APPARATUS AND SYSTEM FOR CONFIGURING NETWORK DEVICE - In a method, an apparatus and a system for configuring a network device, where the method includes: obtaining, by a configuration server, attribute information of a new device; and delivering, by the configuration server, configuration information of the new device, where the configuration information of the new device is determined according to the attribute information, to the new device, so that the new device performs device configuration according to the configuration information. A configuration server, a new device, an uplink device and a device configuration system are provided. The technical solutions of the present invention not only have network interoperability, but also greatly simplify IPV6 network deployment, thus reducing network configuration costs. | 03-20-2014 |
Zhigang Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20100276002 | PROCESS AND APPARATUS FOR PRODUCING POLYSILICON SHEETS - The present invention relates to a process for producing polysilicon wafer and a dual temperature field chemical vapor deposition apparatus for implementing the process. The process for producing polysilicon wafer is based on the formation of the polysilicon wafer through the reaction of trichlorosilane with hydrogen on the substrate. The dual temperature field chemical vapor deposition apparatus includes a reactor and a substrate, wherein the reactor has a closed space defined by a gas-feeding unit, a reaction heating furnace, a substrate heating furnace, and a substrate housing box, the gas-feeding unit is positioned on the reaction heating furnace and is contact with a water-cooling unit at the outer wall of the reaction heating furnace, the substrate heating furnace is positioned under the reaction heating furnace, the substrate moves along the gap between the reaction heating furnace and the substrate heating furnace. | 11-04-2010 |
Zhiqiang Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20100035034 | LIGHT SELECTIVE ABSORBING COATING AND ITS PROCESS - The present invention relates to a light selective absorbing coating and a production process thereof. The light selective absorbing coating consists of a composite material film deposited by reaction of iron chromium alloy and a non-metal gas with vacuum deposition technology. Said non-metal gas comprises gases of nitrogen and oxygen elements. The present invention also relates to a solar energy heat collecting element or solar energy selective absorbing coating system comprising said light selective absorbing coating and a production process thereof. The present invention further relates to use of said composite material film as a light selective absorbing coating of a solar energy heat collecting element or of a solar energy selective absorbing coating system. | 02-11-2010 |
Zhuhua Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20120030680 | System and Method of General Service Management - A system and method is provided for servicing service management requests via a general service management framework that supports a plurality of platforms (for example, Windows®, UNIX®, Linux, Solaris™, and/or other platforms), and that manages local and/or remote machine services at system and/or application level. | 02-02-2012 |
Zhuo Yin, Beijing CN
Patent application number | Description | Published |
---|---|---|
20140244654 | DATA MIGRATION - In one embodiment, a method for data migration includes: receiving intermediate data and corresponding key value-row location information mapping list; importing each row of the intermediate data to a corresponding row of a target data table, where each row of the target data table has a target physical row ID; and establishing, by a computer processor, a target index of the target data table based on the target physical row IDs and the key value-row location information mapping list. With the method or system of this invention, the efficiency of reestablishing a data index after data migration can be improved. | 08-28-2014 |