A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
La-Lc Ld-Lf Lg-Li Lj-Ll Lm-Lo Lp-Lr Ls-Lu Lv-Lx Ly-Lz
Inventor's name | Country | City/State | Last publication | Number of Patent applications |
---|---|---|---|---|
Hao Q. La | US | Raleigh | 2013-01-03 / 20130007723 - SMART REBINDING FOR LIVE PRODUCT INSTALL | 1 |
Jong Shin La | KR | Seoul | 2014-05-22 / 20140137688 - ROBOT NECK JOINT STRUCTURE | 1 |
Duong La | US | Poway | 2014-09-11 / 20140252105 - VISCOUS NON-CONTACT JETTING METHOD AND APPARATUS | 2 |
Yeon Hwa La | KR | Daejeon | 2016-04-14 / 20160102328 - Microalgae Aurantiochytrium sp. LA3 (KCTC12685BP) and Method for Preparing Bio-Oil Using the Same | 1 |
Doohyun La | KR | Gyeonggi-Do | 2015-09-03 / 20150249004 - METHOD OF FABRICATING NITRIDE FILM AND METHOD OF CONTROLLING COMPRESSIVE STRESS OF THE SAME | 1 |
Sung-Chul La | KR | Sejong | 2015-09-03 / 20150247687 - APPARATUS FOR CIRCULATING BALLS FOR CLEANING A PIPE LINE | 1 |
Yeo Joon La | KR | Namyangju | 2011-06-02 / 20110131195 - NETWORK SEARCH METHOD FOR PROVIDING SEARCH WINDOW DURING EXECUTION OF APPLICATION PROGRAM | 1 |
Hyun Joon La | KR | Daejon | 2014-10-30 / 20140323755 - Method for Producing Biodiesel Using Microorganisms Without Drying Process | 1 |
Muhn-Ho La | KR | Daejeon | 2015-11-19 / 20150328152 - Method of Preparing Composition for Delivering an Anionic Drug | 3 |
Hyun Jung La | KR | Seoul | 2015-10-15 / 20150296043 - DYNAMIC IDENTIFICATION SYSTEM AND METHOD FOR IoT DEVICES | 2 |
So Jeong La | KR | Suwon-Si | 2016-02-25 / 20160054614 - DISPLAY DEVICE | 4 |
Jung In La | KR | Asan-Si | 2012-01-12 / 20120007019 - WET ETCHING SOLUTION | 1 |
David La | US | Seattle | 2015-12-31 / 20150376238 - Polypeptides for Treating and/or Limiting Influenza Infection | 1 |
Daniel La | US | Brookline | 2016-02-18 / 20160046626 - BICYCLIC SULFONAMIDE COMPOUNDS AS SODIUM CHANNEL INHIBITORS | 15 |
Duong La | US | Rancho Santa Fe | 2016-05-12 / 20160129467 - CONTROL METHOD AND APPARATUS FOR DISPENSING HIGH-QUALITY DROPS OF HIGH-VISCOSITY MATERIALS | 2 |
James Q. La | US | Long Beach | 2013-08-15 / 20130209079 - CAMERA SYSTEM | 1 |
Thai Quoc La | US | San Jose | 2014-02-06 / 20140034474 - MULTI-POLE SINGLE-THROW DOME SWITCH ASSEMBLIES | 2 |
Arron La | US | Fountain Valley | 2015-10-01 / 20150281161 - CONTEXT-BASED STORAGE OF A CONVERSATION OF ONE OR MORE INSTANT MESSAGES AS A RECORD | 2 |
Xiaodond La | US | Kirkland | 2013-06-13 / 20130151233 - AUTOMATIC LANGUAGE SENSITIVE, EVENT BASED ACTIVITY FEEDS | 1 |
John La | US | San Jose | 2013-05-30 / 20130139209 - Context Relevant Interactive Television | 1 |
Jason La | US | San Francisco | 2014-02-20 / 20140051593 - Assay Methods and Systems | 2 |
Hyo-Sung La | KR | Seoul | 2015-03-19 / 20150077655 - ELECTRONIC DEVICE WITHOUT FRONT CASE FRAME | 1 |
Jin La | KR | Suwon-Si | 2015-11-26 / 20150341900 - WEARABLE DEVICE AND METHOD OF SETTING RECEPTION OF NOTIFICATION MESSAGE THEREIN | 3 |
Gaishi La | CN | Beijing | 2013-02-07 / 20130034179 - Multi-Antenna System and Method for Transmitting and Receiving Information in Multi-Antenna System | 1 |
Kwang-Hyun La | KR | Uiwang-Si | 2015-05-21 / 20150143018 - FLEXIBLE SERVER SYSTEM | 2 |
Chi Thuong-Le La | US | Happy Valley | 2016-01-28 / 20160028060 - LEAD-ACID BATTERY SEPARATORS WITH ULTRA LOW RESISTIVITY AND SUSTAINED WETTABILITY | 4 |
Daniel La | US | Brookline | 2016-02-18 / 20160046626 - BICYCLIC SULFONAMIDE COMPOUNDS AS SODIUM CHANNEL INHIBITORS | 15 |
Thai La | US | San Jose | 2011-10-20 / 20110254464 - PULSE WIDTH MODULATION (PWM) CLOSED LOOP LED CURRENT DRIVER IN AN EMBEDDED SYSTEM | 2 |
Moonwoo La | KR | Chuncheon-Si | 2014-11-20 / 20140342391 - DISK-TYPE MICROFLUIDIC SYSTEM AND METHOD FOR MEASURING DEFORMABILITY OF BLOOD CELLS | 4 |
Timothy La | US | Kardinya | 2010-10-07 / 20100254866 - NOVEL APPROACH IN CONTROLLING DSP SCALE IN BAYER PROCESS | 1 |
Thai Q. La | US | San Jose | 2015-11-19 / 20150334799 - MULTIPLE BACKLIGHT KEYBOARD | 1 |
Thai T. La | US | Raleigh | 2015-11-19 / 20150334349 - SELECTIVE TELECONFERENCE OR VIDEOCONFERENCE REPLAY FOR FUTURE PARTICIPANTS IN A GIVEN SESSION | 2 |
Michael K. La | US | Renton | 2015-08-27 / 20150244462 - Inline Optoelectronic Converter and Associated Methods | 3 |
Hao Q. La | US | Durham | 2011-06-30 / 20110161376 - AUTOMATED FILE MERGING THROUGH CONTENT CLASSIFICATION | 1 |
Linh La | US | Webster | 2013-10-10 / 20130268926 - Tools And Methods For Customizing Multi-Function Devices | 1 |
Xiaodong La | US | Bellevue | 2010-06-10 / 20100146478 - MULTI-LAYERED STORAGE AND MANAGEMENT OF SOFTWARE COMPONENTS | 1 |
William H.t. La | US | Stockton | 2009-05-28 / 20090133688 - Solar cooking pot | 1 |
Tom Q. La | US | Murrieta | 2009-05-07 / 20090117211 - Compositions and methods for stimulating synthesis of pro-collagen or collagen and hyaluronic acid | 1 |
Louis Ba La | US | Webster | 2011-06-23 / 20110153876 - HIGH-PERFORMANCE DIGITAL IMAGE MEMORY ALLOCATION AND CONTROL SYSTEM | 2 |
Ton La | US | Houston | 2008-12-11 / 20080303211 - Three-dimensional logical cube | 1 |
Luke K. La | US | Oakland | 2008-11-27 / 20080294899 - SECURE MANAGEMENT OF DOCUMENT IN A CLIENT-SERVER ENVIRONMENT | 1 |
Thanh La | US | Grand Praire | 2008-10-23 / 20080258903 - Multifunctional Powerline Sensor Network | 1 |
Loi La | US | Cupertino | 2015-04-16 / 20150103601 - MULTI-PASS SOFT PROGRAMMING | 1 |
Thai T. La | US | Durham | 2014-07-03 / 20140185785 - COLLABORATIVE VOLUME MANAGEMENT | 1 |
Cuong K. La | US | Santa Ana | 2014-05-08 / 20140125498 - UNIVERSAL INTERFACE FOR COMMUNICATION OF TRAFFIC SIGNAL PRIORITY BETWEEN MASS TRANSIT VEHICLES AND INTERSECTION SIGNAL CONTROLLERS FOR PRIORITY REQUEST AND CONTROL | 1 |
Evangeline La | US | Costa Mesa | 2015-05-28 / 20150149545 - APPARATUS AND METHOD FOR SHARING OF LOCATION INFORMATION USING SOCIAL NETWORK SERVICE | 1 |
Thai H. T. La | US | Raleigh | 2014-12-18 / 20140372846 - READER-CONFIGURABLE AUGMENTATION OF DOCUMENT CONTENT | 1 |
Jong Gyu La | KR | Uiwang-Si | 2013-10-31 / 20130286330 - OPTICAL FILM AND LIQUID CRYSTAL DISPLAY INCLUDING THE SAME | 2 |
Min-Su La | KR | Yongin-City | 2012-09-20 / 20120235048 - FLAT PANEL DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 2 |
Wan Geun La | KR | Cheonan-Si | 2015-07-02 / 20150182741 - MEDICAL PATCH | 1 |
Byeong Du La | KR | Suwon-Si | 2015-08-20 / 20150237347 - VIDEO ENCODING DEVICE USING ADAPTIVE SEARCH RANGE AND METHOD THEREOF | 3 |
Anh La | SG | Singapore | 2011-05-05 / 20110107137 - System and method for providing automated support to electronic devices | 1 |
Tom La | AU | Willetton | 2015-12-10 / 20150353610 - NOVEL RECOMBINANT OUTER MEMBRANE PROTEINS FROM BRACHYSPIRA HYODYSENTERIAE AND USES THEREOF | 3 |
Hyun-Ju La | KR | Gyeonggi-Do | 2010-11-11 / 20100286094 - NOVEL COMPOUNDS OF REVERSE TURN MIMETICS AND THE USE THEREOF | 1 |
Hyen-Oh La | KR | Seoul | 2010-09-23 / 20100240967 - BELT WITH HEALTH MANAGEMENT FUNCTION | 1 |
Jung-In La | KR | Chungcheongnam-Do | 2011-03-31 / 20110073801 - Composition for etching silicon oxide and method of forming a contact hole using the same | 1 |
Timothy La | AU | Kardinya | 2014-05-08 / 20140124451 - SURFACTANT BASED SMALL MOLECULES FOR REDUCING ALUMINOSILICATE SCALE IN THE BAYER PROCESS | 6 |
Peter La | CA | Mississauga | 2009-01-08 / 20090013275 - SYSTEM AND METHOD FOR QUICK VIEW OF APPLICATION DATA ON A HOME SCREEN INTERFACE TRIGGERED BY A SCROLL/FOCUS ACTION | 2 |
Tom La | AU | Parkwood | 2016-02-25 / 20160052974 - NOVEL GENES AND PROTEINS OF BRACHYSPIRA HYODYSENTERIAE AND USES THEREOF | 6 |
Dieu Quang La | CA | Markham | 2009-02-26 / 20090055350 - AGGREGATE QUERY OPTIMIZATION | 1 |
Giang Manh La | CA | Mississauga | 2012-07-12 / 20120178427 - SYSTEM, METHOD AND MOBILE DEVICE FOR DISPLAYING WIRELESS MODE INDICATORS | 3 |
Hyun-Ju La | KR | Seoul | 2010-10-21 / 20100267672 - NOVEL COMPOUNDS OF REVERSE-TURN MIMETICS, METHOD FOR MANUFACTURING THE SAME AND USE THEREOF | 1 |
Yuan La | CN | Guangzhou City | 2010-09-23 / 20100241372 - ONLINE PARTIAL DISCHARGE MONITORING SYSTEM OF GENERATOR AND METHOD THEREOF | 1 |
Hung Trong La | JP | Tokyo | 2015-12-31 / 20150378079 - MULTILAYER RETARDATION FILM AND METHOD FOR PRODUCING SAME | 2 |
Mi-Hyang La | KR | Daejon | 2009-01-01 / 20090004336 - Instant Food Package | 1 |
Tan-Phat La | DE | Altdorf | 2008-12-18 / 20080307921 - Activation Device for Controlling the Power of a Vehicle Drive Having a Foot Pedal | 1 |
Kwang-Hyun La | KR | Anyang-Si | 2008-10-30 / 20080270996 - APPARATUS AND METHOD FOR AUTOMATICALLY EXTRACTING INTERFACE OF EMBEDDED SOFTWARE | 2 |
Bao-Quoc H. La | US | Houston | 2015-07-16 / 20150196066 - GARMENT HAVING ANTIBACTERIAL, ANTIMICROBIAL, SKIN ENHANCEMENT, SKIN REGENERATION, AND/OR SKIN WELLNESS PROPERTIES AND UTILITY FUNCTIONAL COMPARTMENTS | 1 |
Tuan La | US | Canton | 2012-04-26 / 20120100418 - Battery Fuse Terminal Cover with Latch | 1 |
Selma Laabidi | FR | Grenoble | 2014-08-07 / 20140218089 - LOW POWER LATCHING CIRCUITS | 2 |
Holger Laabs | DE | Berlin | 2014-11-06 / 20140328044 - LIGHTING APPARATUS WITH LUMINOPHORE ON A MOVABLE CARRIER | 3 |
Matthias Laabs | DE | Munich | 2015-02-19 / 20150049757 - Method for Transmitting and Receiving of an Information-Signal Via a Network, Transmitter and Receiver for Application of Method and Splitter Unit for Application Within the Network | 1 |
Nadia Patricia Laabs | US | Cincinnati | 2013-01-03 / 20130001422 - Apparatus And Method For Monitoring The Condition Of A Living Subject | 1 |
Edward Anthony Laabs | US | Rochester Hills | 2011-03-31 / 20110076903 - TENSION SLEEVE SYSTEM FOR ELECTRIC TROLLING MOTORS | 1 |
Timothy P. Laabs | US | Palatine | 2015-11-19 / 20150330107 - Food freezer locks and keys having safety features for preventing child entrapment | 1 |
Steffen Laabs | DE | Jena | 2015-06-25 / 20150177508 - OPTICAL MEASURING SYSTEM | 3 |
Matthias Laabs | DE | Munchen | 2014-07-03 / 20140184909 - APPARATUS AND METHOD FOR SWITCHING REAL-TIME MEDIA STREAMS | 3 |
Peter Laackmann | DE | Munich | 2016-02-18 / 20160048749 - CHIP CARD AND CHIP CARD SLEEVE | 13 |
Oren Laadan | US | New York | 2016-04-28 / 20160119358 - TECHNIQUES FOR MANAGING ACCESS TO HARDWARE RESOURCES ON MULTIPLE-PERSONA MOBILE TECHNOLOGY PLATFORMS | 16 |
Oren Laadan | US | New York | 2016-04-28 / 20160119358 - TECHNIQUES FOR MANAGING ACCESS TO HARDWARE RESOURCES ON MULTIPLE-PERSONA MOBILE TECHNOLOGY PLATFORMS | 16 |
Boaz Laadan | IL | Even-Yehuda | 2010-10-21 / 20100267111 - POLYPEPTIDE HAVING HADH DEPENDENT HMF REDUCTASE ACTIVITY | 1 |
Rico Laage | DE | Schriescheim | 2010-07-15 / 20100179130 - USE OF PIPERINE AND DERIVATIVES THEREOF FOR THE THERAPY OF NEUROLOGICAL CONDITIONS | 1 |
Rico Laage | DE | Schriesheim | 2010-11-11 / 20100284926 - USE OF G-CSF FOR THE TREATMENT OF STROKE | 2 |
Samu Laaja | FI | Turku | 2015-10-29 / 20150311908 - LOCAL OSCILLATOR INTERFERENCE CANCELLATION | 1 |
Andreas W. Laake | GB | Kingston | 2011-04-14 / 20110085418 - Joint Interpretation of Rayleigh Waves and Remote Sensing for Near-Surface Geology | 3 |
Elizabeth T. Laake | US | Columbus | 2011-01-20 / 20110012396 - CUP HOLDER AND PIVOTING ARMREST | 1 |
Andreas Laake | GB | Kingston | 2011-06-30 / 20110158046 - SEISMIC DATA ACQUISITION AND PROCESSING QUALITY CONTROL | 2 |
Bernard Laake | DE | Langen | 2009-04-16 / 20090095227 - Farrowing Pen | 1 |
Andreas Laake | US | Houston | 2013-07-11 / 20130177217 - WATER TABLES MAPPING | 1 |
Andreas W. Laake | US | Houston | 2013-10-03 / 20130262061 - SEISMIC ATTRIBUTE COLOR MODEL TRANSFORM | 1 |
Stephen Michael Laake | US | Rockville | 2011-12-15 / 20110303331 - PORTABLE STORAGE DEVICE, WALLET, OR HOLDER | 1 |
Andreas W. Laake | DE | Hannover | 2012-10-25 / 20120271609 - METHODS AND COMPUTING SYSTEMS FOR HYDROCARBON EXPLORATION | 1 |
Andreas Laake | GB | Surrey | 2010-05-13 / 20100119118 - GLACIAL GEOMORPHOLOGIC MAPPING | 2 |
Richard Charles Laake, Jr. | US | Haysville | 2014-04-17 / 20140102736 - DOUBLE BLADED (OPPOSITE) COULTER ASSEMBLY | 1 |
Kyle Laaker | US | Lexington | 2016-05-19 / 20160136093 - ENGINEERED AEROSOL PARTICLES, AND ASSOCIATED METHODS | 3 |
Micah Laaker | US | Mountain View | 2015-02-05 / 20150039983 - SYSTEM AND METHOD FOR CUSTOMIZING A USER INTERFACE | 3 |
Olli-Pekka Laakkio | FI | Turku | 2014-07-10 / 20140192521 - LIGHT GUIDE ELEMENT | 1 |
Jussi Laakkonen | FI | Vantaa | 2014-06-05 / 20140156037 - SYSTEM AND METHOD FOR SHARING SCORE EXPERIENCES | 4 |
Pirjo Laakkonen | FI | Helsinki | 2015-07-30 / 20150212086 - Diagnosis and Treatment of Brain Tumor | 5 |
Pasi Laakkonen | FI | Joensuu | 2011-02-17 / 20110038049 - METHOD FOR DESIGNING A DIFFRACTION GRATING STRUCTURE AND A DIFFRACTION GRATING STRUCTURE | 4 |
Kauko Laakkonen | FI | Jyvaskyla | 2015-10-01 / 20150272466 - DEVICE FOR PHYSIOLOGICAL MEASUREMENT | 1 |
Perttu Laakkonen | FI | Parola | 2013-03-28 / 20130079922 - METHOD AND A SYSTEM FOR PROCESSING MATERIAL THAT CONTAINS BIOMASS | 1 |
Pasi Tapani Laakkonen | FI | Ruspp | 2010-05-13 / 20100118403 - Layered light guide with diffractive structures | 1 |
Marko Laakkonen | FI | Vantaa | 2013-04-11 / 20130090502 - PROCESS FOR MANUFACTURE OF LIQUID FUEL COMPONENST FROM RENEWABLE SOURCES | 1 |
Pekka Laakkonen | FI | Helsinki | 2008-11-27 / 20080289436 - Measuring Apparatus in Connection with a Gear | 1 |
Pasi Laakkonen | FI | Kuopio | 2015-12-10 / 20150355126 - METHOD AND APPARATUS FOR DETERMINING THE LOCATION OF AN INTERFACE OF INTEREST, AND COMPUTER PROGRAM | 1 |
Eric S. Laakmann | US | Cupertino | 2014-12-11 / 20140361934 - ANTENNA WINDOW AND ANTENNA PATTERN FOR ELECTRONIC DEVICES AND METHODS OF MANUFACTURING THE SAME | 1 |
Mika Laakso | FI | Veikkola | 2009-03-26 / 20090078285 - Method and Device for Cleaning Pipe Runs | 1 |
Päivi Laakso | FI | Ilmarinen | 2010-02-25 / 20100048549 - Peptidomimetics selective for the somatostatin receptor subtypes 1 and/or 4 | 1 |
Leif Mark Laakso | US | Chester | 2008-10-30 / 20080269213 - 8-Hydroxyquinoline compounds and methods thereof | 1 |
Timothy T. Laakso | US | Marysville | 2011-01-27 / 20110017535 - AUTOMOBILE OVER-BULKHEAD AIR INTAKE SYSTEM | 1 |
Jeffrey P. Laakso | US | Reno | 2010-06-24 / 20100160031 - GAMING DEVICE HAVING MULTIPLE SELECTABLE DISPLAY INTERFACES BASED ON PLAYER'S WAGERS | 1 |
Saija Laakso | FI | Salo | 2015-10-15 / 20150289531 - AN AROMA MILK COMPOSITION COMPRISING DIACETYL AND USES THEREOF | 1 |
Päivi Laakso | FI | Ilmarinen | 2010-02-25 / 20100048549 - Peptidomimetics selective for the somatostatin receptor subtypes 1 and/or 4 | 1 |
Tim Laakso | US | Marysville | 2010-02-25 / 20100043733 - Protective System for a Crank Angle Sensor | 1 |
Katri Laakso | FI | Tampere | 2011-09-08 / 20110219328 - METHODS AND APPARATUSES FOR FACILITATING LOCATION SELECTION | 1 |
Thomas Laakso | US | Piedmont | 2011-10-06 / 20110240206 - WATERPROOF SYSTEM FOR ATTACHING AN ANCHOR MEMBER TO A PORTION OF A TENT AND RELATED METHOD | 1 |
Simo Laakso | FI | Turku | 2012-08-30 / 20120220509 - Oil Recovery Method | 6 |
Sami Pekka Laakso | FI | Masala | 2015-12-03 / 20150347824 - NAME BUBBLE HANDLING | 4 |
Perri Laakso | FI | Renko | 2010-06-17 / 20100148794 - INDICATOR ARRANGEMENT | 1 |
Brian John Laakso | US | Medfield | 2012-04-12 / 20120087754 - Tapping attachment | 1 |
Markku Laakso | FI | Kuopio | 2014-05-22 / 20140142170 - COMPOSITION AND METHOD FOR INFLUENCING ENERGY METABOLISM AND TREATING METABOLIC AND OTHER DISORDERS | 2 |
Päivi Laakso | FI | Ilmarinen | 2010-02-25 / 20100048549 - Peptidomimetics selective for the somatostatin receptor subtypes 1 and/or 4 | 1 |
Veikko Laakso | FI | Saukkola | 2010-02-11 / 20100034727 - PROCESS FOR PRODUCING GYPSUM | 1 |
Ari-Pekka Juhani Laakso | FI | Raisio | 2015-07-16 / 20150197892 - FILLER SUSPENSION AND ITS USE IN THE MANUFACTURE OF PAPER | 2 |
Janne Laakso | FI | Jyvaskyla | 2009-10-15 / 20090258149 - Forming Section | 1 |
Marko Laakso | FI | Oulu | 2014-07-31 / 20140215620 - System for Testing Computer Application | 2 |
Raymond L. Laakso | US | St.francisville | 2008-10-16 / 20080255313 - Cure System for Chlorinated Elastomer Compositions and a Method of Curing Chlorinated Elastomer Compositions | 1 |
Brandy Kay Laakso | US | Marysville | 2015-10-01 / 20150274003 - AUTOMOBILE OVER-BULKHEAD AIR INTAKE SYSTEM | 3 |
Tuukka Laakso | FI | Vaasa | 2016-05-05 / 20160123285 - FUEL SYSTEM AND METHOD FOR OPERATING A PISTON ENGINE | 1 |
Tero Laakso | FI | Turku | 2009-08-27 / 20090216357 - SYSTEM AND METHODS FOR AUTOMATIC GENERATION OF COMPONENT DATA | 1 |
Thomas Laakso | US | Park City | 2015-05-07 / 20150123413 - Collaapsable shovel handle | 6 |
Katri T. Laakso | FI | Tampere | 2009-06-25 / 20090164910 - Sharing of scheduling time-blocks | 1 |
Petri Laakso | FI | Lappeenranta | 2009-06-18 / 20090152333 - Method for Manufacturing a Board Tray, a Blank for the Tray, and a Tray Obtained by the Method | 1 |
Terho Laakso | FI | Espoo | 2015-06-25 / 20150178248 - DELAYED RENDERING OF CONTENT | 7 |
Jyri Laakso | FI | Nastola | 2013-11-21 / 20130307260 - CLAMPING RING | 1 |
Richard O. Laakso | US | Queensbury | 2009-06-04 / 20090142147 - MONITORING AND ADJUSTMENT SYSTEM AND METHOD FOR A HIGH PRESSURE FEEDER IN A CELLULOSE CHIP FEEDING SYSTEM FOR A CONTINUOUS DIGESTER | 1 |
Dennis P. Laakso | US | Howell | 2012-06-21 / 20120153680 - VEHICLE PILLAR WITH OPENING HAVING ANGLED FLANGE | 1 |
Raymond L. Laakso, Jr. | US | St. Francisville | 2016-03-24 / 20160083571 - A POLYOLEFIN BLEND COMPOSITION AND ARTICLES MADE THEREFROM | 10 |
Raymond L. Laakso, Jr. | US | St. Francisville | 2016-03-24 / 20160083571 - A POLYOLEFIN BLEND COMPOSITION AND ARTICLES MADE THEREFROM | 10 |
Reijo Laaksonen | FI | Lampaala | 2016-01-28 / 20160025751 - Lipidomic Biomarkers for Identification of High-Risk Coronary Artery Disease Patients | 1 |
Päivi Laaksonen | FI | Vtt | 2012-03-08 / 20120058344 - Electronic Devices with Protein Layers | 1 |
Mika H. Laaksonen | FI | Oulu | 2012-03-29 / 20120078839 - METHOD AND APPARATUS FOR PROVIDING LOW COST PROGRAMMABLE PATTERN RECOGNITION | 1 |
Heikki Jarmo Tapani Laaksonen | FI | Helsinki | 2016-03-31 / 20160092294 - FAULT TRACKING IN A TELECOMMUNICATIONS SYSTEM | 1 |
Lasse Laaksonen | FI | Tampere | 2016-02-11 / 20160041806 - AUDIO SOURCE CONTROL | 1 |
Hannu Laaksonen | FI | Vaasa | 2015-01-15 / 20150015302 - ISLANDING DETECTION RELIABILITY IN ELECTRICITY DISTRIBUTION NETWORK | 2 |
Lasse Juhani Laaksonen | FI | Tampere | 2016-04-28 / 20160119733 - SPATIAL OBJECT ORIENTED AUDIO APPARATUS | 10 |
Iiro Laaksonen | FI | Tampere | 2013-11-28 / 20130313083 - PROTECTIVE COVER FOR THE DISCHARGE END OF A CONVEYOR | 2 |
Lasse Laaksonen | FI | Nokia | 2015-12-24 / 20150371643 - STEREO AUDIO SIGNAL ENCODER | 13 |
Timo Laaksonen | FI | Espoo | 2014-10-30 / 20140322327 - DRUG DELIVERY SYSTEM FOR SUSTAINED DELIVERY OF BIOACTIVE AGENTS | 2 |
Reijo Laaksonen | FI | Lempaala | 2015-12-17 / 20150362513 - CERAMIDES AND THEIR USE IN DIAGNOSING CVD | 11 |
Lasse Juhani Laaksonen | FI | Nokia | 2016-01-28 / 20160027445 - STEREO AUDIO SIGNAL ENCODER | 23 |
Mika Heino Laaksonen | FI | Oulu | 2010-12-09 / 20100309008 - CONTROLLING OPERATION OF A POSITIONING MODULE | 1 |
Miika Laaksonen | FI | Espoo | 2013-01-24 / 20130023295 - METHOD, APPARATUS, AND COMPUTER PROGRAM PRODUCT FOR WIRELESS NETWORK DISCOVERY BASED ON GEOGRAPHICAL LOCATION | 7 |
Paivi Laaksonen | FI | Espoo | 2012-05-31 / 20120135081 - HYDROPHOBINS FOR DISPERSING ACTIVE AGENTS | 1 |
Mikko Antti-Pekka Laaksonen | FI | Salo | 2010-11-04 / 20100279750 - SLIDE DEVICE AND SLIDE ASSEMBLY | 1 |
Lasse Juhani Laaksonen | FI | Tampere | 2016-04-28 / 20160119733 - SPATIAL OBJECT ORIENTED AUDIO APPARATUS | 10 |
Mikko Antti-Pekka Laaksonen | FI | Angelniemi | 2009-12-31 / 20090320239 - Apparatus for fold hinge assemblies for electronic devices and associated methods | 1 |
Harri Laaksonen | FI | Tampere | 2014-11-27 / 20140348946 - Antimicrobial ionomer composition and uses thereof | 1 |
Heikki Antero Laaksonen | FI | Espoo | 2012-09-13 / 20120233402 - Apparatus and Method for a Removable Memory Module | 1 |
Teppo Tapani Laaksonen | FI | Turku | 2013-08-15 / 20130206610 - Integrated carbon electrode chips for the electric excitation of lanthanide chelates, and analytical methods using these chips | 2 |
Lasse Juhani Laaksonen | FI | Nokia | 2016-01-28 / 20160027445 - STEREO AUDIO SIGNAL ENCODER | 23 |
Maiju Laaksonen | FI | Helsinki | 2015-04-09 / 20150098888 - METHOD FOR PRODUCING AMMONIA OR AMMONIUM BY FERMENTATION | 1 |
Eetu Laaksonen | FI | Vantaa | 2015-02-12 / 20150046469 - CONTENT RETRIEVAL AND REPRESENTATION USING STRUCTURAL DATA DESCRIBING CONCEPTS | 1 |
Mika H. Laaksonen | US | 2013-01-31 / 20130030745 - Processing Data for Calibration | 1 | |
Laura Laaksonen | FI | Espoo | 2014-01-16 / 20140019125 - LOW BAND BANDWIDTH EXTENDED | 2 |
Bentley Laaksonen | US | Albuquerque | 2015-07-30 / 20150208983 - SYSTEM FOR NONINVASIVE DETERMINATION OF ALCOHOL IN TISSUE | 7 |
Reima T. Laaksonen | US | Dallas | 2012-06-14 / 20120149186 - FORMATION OF GATE DIELECTRICS WITH UNIFORM NITROGEN DISTRIBUTION | 2 |
Reima Tapani Laaksonen | US | Dallas | 2012-02-02 / 20120028431 - METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE USING A NITROGEN CONTAINING OXIDE LAYER | 1 |
Risto Laaksonen | FI | Lahti | 2011-12-01 / 20110294909 - PROCESS FOR ADDING A COUPLING AGENT TO RAW STOCK | 3 |
Reijo Laaksonen | FI | Lempaala | 2015-12-17 / 20150362513 - CERAMIDES AND THEIR USE IN DIAGNOSING CVD | 11 |
Jyrki Laaksonheimo | FI | Hyvinkaa | 2015-06-25 / 20150175386 - METHOD AND SYSTEM FOR INCREASING THE AVAILABILITY OF AN ELEVATOR STANDING UNUSED AT A LANDING | 5 |
Terho Laaksot | FI | Espoo | 2015-05-07 / 20150128027 - PREPARATION OF TEXTUAL CONTENT | 1 |
Suman Laal | US | Croton-On-Hudson | 2009-11-12 / 20090280140 - Early detection of mycobacterial disease using peptides | 1 |
Suman Laal | US | Cortlandt Manor | 2011-05-05 / 20110104194 - IMMUNODOMINANT MYCOBACTERIUM TUBERCULOSIS PEPTIDES FROM CELL WALL PROTEINS FOR EARLY DIAGNOSIS AND IMMUNIZATION | 1 |
Kenneth K. Laali | US | Jacksonville | 2016-04-07 / 20160096852 - Synthesis of 4-(pentafluorosulfanyl)benzenediazonium tetrafluoroborate and Analogs | 1 |
Ville Laalo | FI | Imatra | 2010-04-15 / 20100091054 - METHOD AND A PRINTING MACHINE FOR MANUFACTURING PRINTED BOARD CONTAINERS | 1 |
Kamran Laal Riahi | DE | Marburg | 2008-10-02 / 20080240634 - SLIDING ELEMENT PROCEDURE AND DEVICE FOR ITS PRODUCTION | 1 |
Heikki Laamanen | FI | Espoo | 2013-11-28 / 20130314098 - EQUIPMENT AND A PLUG-IN UNIT OF THE EQUIPMENT | 7 |
Satu Laamanen | FI | Espoo | 2010-12-23 / 20100324160 - Composite Containing Modified Hybride Resin Based on Natural Fatty Acids | 1 |
Arto Laamanen | FI | Lempaala | 2011-10-27 / 20110259187 - DIGITAL HYDRAULIC SYSTEM | 1 |
Roger Van Der Laan | US | Redwood City | 2015-10-22 / 20150302627 - APPARATUS AND METHOD FOR PERFORMING MOTION CAPTURE USING A RANDOM PATTERN ON CAPTURE SURFACES | 13 |
Dominicus Jozef Laan | NL | Bg Driebergen-Rijsenburg | 2016-03-17 / 20160076884 - BRA FITTING METHOD | 1 |
Dominicus Laan | BE | Driebergen-Rijsenburg | 2015-12-17 / 20150359462 - FITTING ROOM MIRROR | 1 |
Remmert Laan | DE | Weinheim | 2013-05-02 / 20130110549 - DEVICE AND METHOD FOR ASSESSING BLOOD GLUCOSE CONTROL | 3 |
Roger Van Der Laan | US | Menlo Park | 2016-03-17 / 20160080739 - System and Method for Compressing Video Based on Latency Measurements and Other Feedback | 45 |
Willem-Peter Vander Laan | NZ | Masterton | 2010-11-11 / 20100283603 - PASTURE MANAGEMENT | 1 |
David Chia-Wen Laan | US | San Francisco | 2011-01-06 / 20110000945 - Electronic Device Holder | 1 |
Remmert Laan | US | Zionsville | 2011-08-25 / 20110208027 - Methods And Systems For Providing Therapeutic Guidelines To A Person Having Diabetes | 1 |
Roger Van Der Laan | US | Redwood City | 2015-10-22 / 20150302627 - APPARATUS AND METHOD FOR PERFORMING MOTION CAPTURE USING A RANDOM PATTERN ON CAPTURE SURFACES | 13 |
Tristan Laan | CA | Lewis Lake | 2015-08-06 / 20150219320 - LIGHT EMITTING DIODE (LED) LIGHTING FIXTURE HAVING TOOL-LESS LIGHT ENGINE MODULE | 1 |
Amina Laanan | BE | Rixensart | 2014-08-21 / 20140234424 - PRAME PURIFICATION | 3 |
Antoon Laane | US | 2012-10-11 / 20120260002 - Output Module For An Industrial Controller | 1 | |
Lisa Ann Laane | US | Cupertino | 2010-08-12 / 20100204970 - Method, system, and product for performing sub-configuration of entities | 1 |
Lisa Laane | US | Menlo Park | 2008-09-11 / 20080221949 - System and Method for Updating Forecast Model | 1 |
Aki Laaninen | FI | Espoo | 2016-02-11 / 20160040935 - METHOD AND ARRANGEMENT FOR FEEDING FEED MATERIAL FROM A BIN FOR FEED MATERIAL INTO A FURNACE SPACE OF A SMELTING FURNACE | 1 |
Aki Laaninen | FI | Helsinki | 2015-04-16 / 20150102537 - METHOD AND ARRANGEMENT FOR REMOVING OUTGROWTH IN A SUSPENSION SMELTING FURNACE | 1 |
Risto Laanoja | EE | Tallinn | 2015-10-15 / 20150295720 - System and Method for Sequential Data Signatures | 2 |
Eero Laansoo | US | Bloomfield Hills | 2011-07-28 / 20110183596 - METHOD AND SYSTEM FOR DEMIST FUNCTION IN A MANUAL CLIMATE CONTROL MODE | 1 |
Jan Metske Laan Van Der | NL | Breda | 2012-02-23 / 20120045549 - Novel asparaginases and uses thereof | 4 |
Jan Metske Laan, Van Der | NL | Maassluis | 2011-08-04 / 20110189728 - PENTOSE SUGAR FERMENTING CELL | 1 |
Jorma Laapotti | FI | Palokka | 2008-11-27 / 20080289784 - Method For Treating A Fibrous Web Downstream Of Slitting | 1 |
Frederik Van Laar | AE | Dubai | 2011-08-11 / 20110196181 - PROCESS FOR REACTING AN AROMATIC HYDROCARBON IN THE PRESENCE OF HYDROGEN | 10 |
Jeroen Laarakkers | NL | Nootdorp | 2012-11-15 / 20120291091 - CONTROLLING LOCKING STATE TRANSITIONS IN A TERMINAL | 7 |
Toine Van Laarhoven | NL | Hertogenbosch | 2013-01-17 / 20130017715 - Visual Indicator Device and Heat Sink For Input/Output ConnectorsAANM Laarhoven; Toine VanAACI HertogenboschAACO NLAAGP Laarhoven; Toine Van Hertogenbosch NLAANM Hooft; TheoAACI HelvoirtAACO NLAAGP Hooft; Theo Helvoirt NLAANM Walmsley; Michael J.AACI ElizabethtownAAST PAAACO USAAGP Walmsley; Michael J. Elizabethtown PA US | 1 |
Johannes Antonius Hendrikus Van Laarhoven | NL | Oss | 2014-10-09 / 20140302115 - DRUG DELIVERY SYSTEM BASED ON POLYETHYLENE VINYLACETATE COPOLYMERS | 3 |
Luuk Van Laarhoven | NL | Udenhout | 2013-05-02 / 20130110752 - On Demand Multi-Objective Network Optimization | 1 |
Thijs Laarhoven | NL | Hoofddorp | 2015-01-29 / 20150033026 - DYNAMIC TARDOS TRAITOR TRACING SCHEMES | 1 |
Jan Herman Laarhuis | NL | Enschede | 2008-10-23 / 20080259838 - Method and Device for Inverse Multiplexing of Multicast Transmission | 1 |
Aki Laari | FI | Kouvola | 2008-10-02 / 20080236775 - Method and apparatus in a paper or board machine for confining pulp flow from the headbox | 1 |
Ave Laas | EE | Tallinn | 2008-12-11 / 20080306001 - TRANSCRIPTIONAL MODULATION OF EXTRACELLULAR MATRIX (ECM) OF DERMAL FIBROBLASTS | 1 |
Hans-Josef Laas | DE | Odenthal | 2016-05-19 / 20160139301 - Method for Preparing Optical Lenses | 22 |
Leonardus Jacobus Laas | NL | Alkmaar | 2008-11-20 / 20080286599 - Method and Device for Producing Metal Panels with a Pattern | 1 |
Ave Laas | EE | Kohila Vlad | 2013-08-29 / 20130224235 - POTATO VIRUS A COAT PROTEIN-BASED VACCINES FOR MELANOMA | 1 |
Hans-Josef Laas | DE | Odenthal | 2016-05-19 / 20160139301 - Method for Preparing Optical Lenses | 22 |
Vahur Laas | EE | Purje Tee | 2013-12-12 / 20130332626 - ADDRESS SYSTEM | 1 |
Harald Laas | DE | Maxdorf | 2009-02-12 / 20090043121 - METHOD FOR PRODUCING VITAMIN A ACETATE | 1 |
Joshua E. Laase | US | Houston | 2011-11-10 / 20110273296 - HIGH LEVEL RFID SOLUTION FOR RENTAL TOOLS AND EQUIPMENT | 1 |
William Taylor Laaser | US | Palo Alto | 2009-06-11 / 20090150411 - Automatic rules driven data visualization selection | 1 |
Andreas Laaser | DE | Hattingen | 2014-01-02 / 20140000054 - Brush Roller of a Floor Cleaning Device | 1 |
William T. Laaser | US | Palo Alto | 2016-04-07 / 20160098804 - METHOD AND SYSTEM FOR USING INTERCHANGEABLE ANALYTICS MODULES TO PROVIDE TAX RETURN PREPARATION SYSTEMS | 5 |
Peter Laaser | DE | Muenchen | 2009-03-05 / 20090058495 - CIRCUIT ARRANGEMENT FOR SIGNAL MIXING | 1 |
Peter Laaser | DE | Munich | 2015-10-01 / 20150282099 - MULTI-STANDARD SYSTEMS AND METHODS WITH INTERFERER MITIGATION | 4 |
Amyn Laasi | PK | Karachi | 2011-12-29 / 20110320355 - VALUE TRANSFER WITH IDENTITY DATABASE | 1 |
Marek Laasik | EE | Tallinn | 2015-11-12 / 20150326731 - Notification of Communication Events | 21 |
Jaan Laaspere | US | Norwich | 2011-04-28 / 20110096114 - METHOD AND APPARATUS TO EJECT DROPS HAVING STRAIGHT TRAJECTORIES | 1 |
Jaan T. Laaspere | US | Norwich | 2015-12-31 / 20150375543 - High Height Ink Jet Printing | 4 |
Jaan Thomas Laaspere | US | Norwich | 2009-03-05 / 20090058971 - Method of Printing | 1 |
Malte Laass | US | San Diego | 2015-11-26 / 20150338659 - HELMET MOUNTED DISPLAY | 1 |
Najib Laatiaoui | FR | Bordeaux | 2015-03-05 / 20150060150 - MASS BALANCING DRILL BIT DESIGN METHODS AND MANUFACTURING | 1 |
Reino Laatikainen | FI | Kuopio | 2014-09-18 / 20140275186 - NOVEL NON-STEROIDAL COMPOUNDS AS ANDROGEN RECEPTOR MODULATORS | 3 |
Ali Laayoun | FR | Toussieu | 2008-09-25 / 20080233632 - Process for Labeling and Purification of Nucleic Acids of Interest Present in a Biological Sample to be Treated in a Single Reaction Vessel | 1 |
Ali Laayoun | FR | Colombe | 2011-05-12 / 20110111514 - LABELLING REAGENTS HAVING A PYRIDINE NUCLEUS BEARING A DIAZOMETHYL FUNCTION, PROCESS FOR SYNTHESIS OF SUCH REAGENTS AND PROCESSES FOR DETECTION OF BIOLOGICAL MOLECULES | 8 |
Ali Laayoun | FR | La Frette | 2015-07-30 / 20150210732 - METHODS OF FUNCTIONALIZATION AND REAGENTS USED IN SUCH METHODS USING AN AZA-ISATOIC ANHYDRIDE OR A DERIVATIVE THEREOF, BIOLOGICAL MOLECULES THUS TREATED AND KITS | 4 |
Jessie Joseph Laba | CA | Belle River | 2012-02-09 / 20120031368 - ROTARY MACHINE WITH ROLLER CONTROLLED VANES | 1 |
Lawrence Laba | US | Lake Orion | 2014-10-09 / 20140298936 - GEARBOX FOR A MOTOR VEHICLE | 2 |
Andre Laba | CA | Windsor | 2009-09-10 / 20090223480 - Internal Combustion Engine | 1 |
Dennis Laba | US | Langhorne | 2010-06-10 / 20100143426 - COSMETIC DISPERSIONS UTILIZING A SILICONE-FREE HYDROCARBON COMPLEX | 2 |
Matthew D. Laba | US | Oakland | 2015-09-17 / 20150263578 - INTEGRAL SLOT LINER FOR MULTI-LAYER ELECTRIC MACHINES | 11 |
Lawrence K. Laba | US | Lake Orion | 2014-12-25 / 20140373661 - GEAR BLOCKOUT FOR A MANUAL TRANSMISSION | 2 |
Jessie Laba | CA | Tecumseh | 2009-09-10 / 20090223480 - Internal Combustion Engine | 1 |
Andre Sarkis Laba | CA | Windsor | 2012-02-09 / 20120031368 - ROTARY MACHINE WITH ROLLER CONTROLLED VANES | 1 |
Raafat Lababidi | LB | Tripoli | 2013-04-25 / 20130102261 - METHOD FOR CALIBRATING A BAND REJECTION FILTER OF A TERMINAL AND MULTISTANDARD TERMINAL WITH CALIBRATED BAND REJECTION FILTER | 3 |
Samir Lababidi | US | Rockville | 2008-09-04 / 20080214606 - Methods for the Identification and Use of Compounds Suitable for the Treatment of Drug Resistant Cancer Cells | 1 |
Raafat Lababidi | FR | Brest | 2014-11-20 / 20140340174 - ACTIVE FILTER WITH DUAL RESPONSE | 2 |
Raafat Lababidi | FR | Rennes | 2011-03-03 / 20110050363 - ACTIVE LOW PASS FILTER | 1 |
Raafat Lababidi | LB | Tok-Tripoli | 2012-02-02 / 20120028576 - FILTERING NETWORK IN HR-SI SILICON TECHNOLOGY | 1 |
Robert F. Labadie | US | Nashville | 2015-12-31 / 20150379723 - AUTOMATIC SEGMENTATION OF INTRA-COCHLEAR ANATOMY IN POST-IMPLANTATION CT OF UNILATERAL COCHLEAR IMPLANT RECIPIENTS | 12 |
Jean Labadie | CA | Laval | 2011-09-15 / 20110224006 - SYSTEM AND METHOD FOR AUTOMATICALLY ALTERING A THEME ASSOCIATED WITH A ROOM OR SIMILAR SPACE | 2 |
Eric Labadie | CA | Toronto | 2009-06-11 / 20090150334 - SCOPE AND DISTRIBUTION OF KNOWLEDGE IN AN AUTONOMIC COMPUTING SYSTEM | 3 |
Joseph B. Labadie | US | Perrysburg | 2009-04-30 / 20090107999 - NON-REAPPLYING DISPENSING CLOSURE | 1 |
Joseph P. Labadie | US | Perrysburg | 2011-11-17 / 20110278313 - THREADED FINISH FOR NONREMOVABLE CLOSURES | 4 |
Sharada Shenvi Labadie | US | Sunnyvale | 2014-10-09 / 20140303149 - PYRAZOLO[1,5a]PYRIMIDINE DERIVATIVES AS IRAK4 MODULATORS | 4 |
Robert F. Labadie | US | Bozeman | 2010-07-15 / 20100179564 - MICROSTEREOTACTIC TABLE | 1 |
Robert F. Labadie | US | Nashville | 2015-12-31 / 20150379723 - AUTOMATIC SEGMENTATION OF INTRA-COCHLEAR ANATOMY IN POST-IMPLANTATION CT OF UNILATERAL COCHLEAR IMPLANT RECIPIENTS | 12 |
Guillermo Roberto Labadie | US | Salt Lake City | 2009-03-12 / 20090069548 - Immobilized Proteins and Methods and Uses Thereof | 1 |
Eric E. Labadie | CA | Toronto | 2009-02-12 / 20090043825 - LOG LOCATION DISCOVERY AND MANAGEMENT | 1 |
Christian Labadie | FR | Saint-Die | 2012-12-13 / 20120313641 - METHOD AND DEVICE FOR MAGNETIC RESONANCE SPECTROSCOPIC IMAGING | 1 |
Sharada Labadie | US | Sunnyvale | 2011-08-18 / 20110201593 - TRICYCLIC HETEROCYCLIC COMPOUNDS, COMPOSITIONS AND METHODS OF USE THEREOF | 1 |
Nathan Labadie | US | San Diego | 2014-07-17 / 20140198004 - FILTER ANTENNA | 1 |
Sharada Labadie | US | South San Francisco | 2016-03-03 / 20160060267 - PYRAZOLO COMPOUNDS AND USES THEREOF | 1 |
Guillermo Roberto Labadie | AR | Rosario | 2013-05-30 / 20130137858 - IMMOBILIZED PROTEINS AND METHODS AND USES THEREOF | 1 |
Joshua Labaer | US | Chandler | 2016-05-05 / 20160122751 - NUCLEIC ACID-TAGGED COMPOSITIONS AND METHODS FOR MULTIPLEXED PROTEIN-PROTEIN INTERACTION PROFILING | 10 |
Joshua Labaer | US | Chandler | 2016-05-05 / 20160122751 - NUCLEIC ACID-TAGGED COMPOSITIONS AND METHODS FOR MULTIPLEXED PROTEIN-PROTEIN INTERACTION PROFILING | 10 |
Joshua Labaer | US | 2016-05-05 / 20160122751 - NUCLEIC ACID-TAGGED COMPOSITIONS AND METHODS FOR MULTIPLEXED PROTEIN-PROTEIN INTERACTION PROFILING | 1 | |
Joshua Labaer | US | Medfield | 2012-10-18 / 20120264651 - EVALUATING PROTEINS | 1 |
Justin R. Labagh | US | San Francisco | 2015-08-20 / 20150235182 - METHOD AND SYSTEM FOR CREATING AND MAINTAINING ACTIVITY PLANS | 2 |
Mehdi Labaied | US | Bainbridge Island | 2011-02-10 / 20110033502 - LIVE GENETICALLY ATTENUATED MALARIA VACCINE | 1 |
Christopher Labak | US | Brookline | 2015-09-24 / 20150265774 - Syringe Having Pivoting Arm Plunger Rod | 9 |
Christopher Labak | US | Brookline | 2015-09-24 / 20150265774 - Syringe Having Pivoting Arm Plunger Rod | 9 |
Chris Labak | US | Milford | 2011-03-17 / 20110066142 - WIRE AND DEVICE FOR VASCULAR TREATMENT | 2 |
John Gary Ryan Laban | CA | Vancouver | 2014-06-26 / 20140180748 - SCHEDULE MANAGEMENT INTERFACE | 1 |
Abraham Laban | IL | Jerusalem | 2010-03-18 / 20100069264 - Libraries of recombinant chimeric proteins | 1 |
David Laban | DE | Schwedt | 2010-09-30 / 20100242349 - PROCESS FOR THE CONTINUOUS HYDROGENATION OF TRIGLYCERIDE CONTAINING RAW MATERIALS | 1 |
John Gary Ryan Laban | CA | Toronto | 2014-09-18 / 20140278667 - REALTIME SCHEDULE MANAGEMENT INTERFACE | 2 |
Harpreet Singh Labana | US | Palo Alto | 2015-10-29 / 20150309783 - DYNAMIC UPDATING OF OPERATING SYSTEMS AND APPLICATIONS USING VOLUME ATTACHMENT | 1 |
Harpreet Singh Labana | US | Millwood | 2014-04-17 / 20140109003 - SYSTEM AND METHOD FOR SELECTIVELY MUTING COMPUTER APPLICATIONS | 2 |
Paramjit S. Labana | US | Herndon | 2009-07-23 / 20090185582 - Unified data packet for encapsulating data packets having diverse formats | 1 |
Daniel Robert Labar | US | Lexington | 2010-07-01 / 20100165015 - System and Method for Selecting and Applying Appropriate Print Quality Defect Correction Technique to Compensate for Specified Print Quality Defect | 3 |
Franklin Labarbara | US | Rumford | 2014-06-05 / 20140154945 - DUAL PURPOSE YO-YO SYSTEM | 1 |
Rudi Labarbe | BE | Boncelles | 2009-07-02 / 20090168960 - DEVICE AND METHOD FOR POSITIONING A TARGET VOLUME IN A RADIATION THERAPY APPARATUS | 1 |
Rudi Labarbe | BE | Bonceluel | 2009-08-27 / 20090213371 - Spectrophotometer Comprising Two Detectors for Overlapping Wavelength Ranges | 1 |
Rudi Labarbe | BE | Ougree | 2011-06-09 / 20110137159 - Device And Method For Positioning A Target Volume In A Radiation Therapy Apparatus | 1 |
Vincent Labarbera | US | Poway | 2015-10-01 / 20150272415 - Adaptive suspension for rotary cleaning machine | 1 |
Salvatore J. Labarbera | US | Sterling | 2009-09-03 / 20090217957 - UMBRELLA FEATURING A VERTICALLY DEPLOYABLE SUN SHADE | 1 |
Anthony Labarbera | US | New Milford | 2009-01-08 / 20090007762 - MUSICAL INSTRUMENT WITH SYSTEM AND METHODS FOR ACTUATING DESIGNATED ACCOMPANIMENT SOUNDS | 1 |
Brad Labarbera | US | Raleigh | 2015-06-11 / 20150157317 - SLIDING SUTURE GRASPER | 4 |
John F. Labarbera | US | Poway | 2008-08-21 / 20080196198 - Carpet and floor vacuuming device with improved suction head | 1 |
Daniel V. Labarbera | US | Centennial | 2015-07-16 / 20150197536 - COMPOUNDS REDUCING THE PRODUCTION OF SORBITOL IN THE EYE AND METHODS OF USING THE SAME | 3 |
Bradley J. Labarbera | US | Raleigh | 2013-09-26 / 20130253540 - AUTOMATIC SURGICAL LIGATION CLIP APPLIER | 1 |
Robert Labarbera | US | New Rochelle | 2013-09-12 / 20130233904 - SPAD SETTING TOOL | 1 |
Atanasio Labarbera | IT | Aci Catena (catania) | 2014-04-24 / 20140111086 - ELECTRONIC DEVICE AND METHOD FOR DRIVING THE LAMPS OF THE BLINKERS OF A VEHICLE | 1 |
John Labarbera | US | Poway | 2015-10-01 / 20150272415 - Adaptive suspension for rotary cleaning machine | 1 |
Paul A. Labarbera | US | Santa Barbara | 2012-06-07 / 20120137544 - FOOTWEAR | 1 |
Richard Scott Labarca | US | Bellport | 2008-09-11 / 20080222613 - METHOD AND APPARATUS FOR DATA PROCESSING | 1 |
Gonzalo Labarca | US | Boca Raton | 2012-04-19 / 20120095839 - INTERACTIVE ADVERTISEMENT ENVIRONMENT | 1 |
Richard S. Labarca | US | Bayport | 2010-04-22 / 20100100626 - METHODS AND APPARATUS RELATED TO INTER-WIDGET INTERACTIONS MANAGED BY A CLIENT-SIDE MASTER | 2 |
Justin Labarca | US | Matawan | 2015-09-03 / 20150247923 - Advanced Techniques for Ground-Penetrating Radar Systems | 1 |
Richard S. Labarca | US | Bellport | 2009-04-09 / 20090094339 - METHODS AND APPARATUS FOR WIDGET SHARING BETWEEN CONTENT AGGREGATION POINTS | 1 |
Chad E. Labarge | US | Poplar Grove | 2013-06-20 / 20130155138 - System For Detecting Leakage of Phase Change Inks | 1 |
Chad E. Labarge | US | Fairport | 2010-03-04 / 20100054769 - METHOD AND APPARATUS FOR CONTROLLING COLOR IN MULTICOLOR MARKING PLATFORM | 1 |
Matthew Labarge | CA | Toronto | 2008-10-02 / 20080244440 - RIBBON-STYLE USER INTERFACE FOR A SOFTWARE APPLICATION | 1 |
John Robert Labarge | US | Mountain View | 2010-03-11 / 20100061534 - Multi-Platform Capable Inference Engine and Universal Grammar Language Adapter for Intelligent Voice Application Execution | 1 |
Bryan M. Labarge | US | Terryville | 2014-12-11 / 20140360906 - FLUID LEVEL DETECTOR | 4 |
Mark A. Labarge | US | Orinda | 2013-12-05 / 20130324439 - Elastic Modulus-modified MicroEnvironment microArrays (eMEArrays) and Uses Thereof | 2 |
Chad Labarge | US | Fairport | 2010-04-08 / 20100084809 - SYSTEMS AND METHODS FOR CONTROLLING SUBSTRATE FLATNESS IN PRINTING DEVICES USING THE FLOW OF AIR | 1 |
Bryon M. Labarge | US | Terryville | 2015-03-19 / 20150075278 - FLUID LEVEL DETECTOR | 1 |
Aaron Labarge | US | San Diego | 2012-04-19 / 20120095461 - Power generating and control apparatus for the treatment of tissue | 1 |
Peter C. Labaron | US | Hope | 2011-03-10 / 20110059844 - POROUS BODY PRECURSORS, SHAPED POROUS BODIES, PROCESSES FOR MAKING THEM, AND END-USE PRODUCTS BASED UPON THE SAME | 1 |
Dominique Labarre | FR | Neuilly Sur Seine | 2014-01-16 / 20140014335 - FAMILIES OF SCALE-INHIBITORS HAVING DIFFERENT ABSORPTION PROFILES AND THEIR APPLICATION IN OILFIELD | 4 |
Jean Labarre | US | Barrington | 2014-05-22 / 20140140598 - SYSTEMS AND METHODS FOR 2D AND 3D IMAGE INTEGRATION AND SYNCHRONIZATION | 1 |
Dominique Labarre | FR | Neuilly | 2013-11-21 / 20130310298 - Detergent Composition with Anti-Spotting and/or Anti-Filming Effects | 1 |
Leo E. Labarre | US | Woonsocket | 2010-10-28 / 20100269985 - INTERCHANGEABLE WINDOW TREATMENT FOR A ROMAN-STYLE SHADE | 2 |
Andre Labarre | CA | Boucherville | 2010-10-28 / 20100270814 - MOTORIZED SYSTEM FOR LATCHING AND UNLATCHING CASEMENT WINDOWS | 1 |
Denis Labarre | FR | Chatenay-Malabry Cedex | 2010-10-14 / 20100260845 - Biocompatible and Biodegradable Biopolymer Matrix | 1 |
Jeremy Labarre | FR | Guermantes | 2009-05-21 / 20090126362 - VEHICLE MASTER CYLINDER CONNECTED TO AN AUXILIARY BRAKING DEVICE | 1 |
Paul Labarre | US | Seattle | 2009-02-05 / 20090036665 - Disposable Sample Processing Unit | 1 |
Paul Donald Labarre | US | Suquamish | 2014-06-05 / 20140154786 - Chemical Temperature Control | 2 |
Michael James Labarre | US | San Diego | 2013-01-24 / 20130022588 - Stable formulations of a hyaluronan-degrading enzyme related applications | 2 |
Charles Labarre | CA | Mcmastervile | 2012-12-13 / 20120314783 - SYSTEM AND METHOD FOR POWER LINE COMMUNICATION | 1 |
Robert E. Labarre | US | Ashford | 2012-11-01 / 20120276517 - MODEL-BASED EGRESS SUPPORT SYSTEM | 1 |
Denis Labarre | FR | Villebon | 2012-09-13 / 20120230937 - IMPLANTABLE BIO-RESORBABLE POLYMER | 1 |
Denis Labarre | FR | Villebon Sur Yvette | 2012-08-02 / 20120195826 - INJECTABLE BIOMATERIAL | 1 |
André Labarre | CA | Boucherville | 2012-07-05 / 20120169071 - MOTORIZED SYSTEM FOR LATCHING AND UNLATCHING CASEMENT WINDOWS | 1 |
Paul D. Labarre | US | Seattle | 2012-05-10 / 20120115212 - Assay Tube | 1 |
Paul Labarre | US | Suquamish | 2011-05-19 / 20110117668 - SELF-POWERED SMART DIAGNOSTIC DEVICES | 1 |
Xavier Labarre | FR | Saint Georges Du Bois | 2015-12-24 / 20150369308 - Disk-Brake Pad | 1 |
Etienne Labarre | FR | Paris | 2011-09-08 / 20110219458 - SECURE AVIONICS EQUIPMENT AND ASSOCIATED METHOD OF MAKING SECURE | 1 |
Carlos A. Labarrere | US | Indianapolis | 2015-08-20 / 20150233941 - SUBSTANCES, VACCINES AND METHODS FOR DIAGNOSING AND REDUCING INCIDENCES OF TRANSPLANT REJECTION | 1 |
Nathalie Labarriere | FR | Nantes | 2015-10-15 / 20150291660 - NOVEL MELANOMA ANTIGEN PEPTIDE AND USES THEREOF | 4 |
Eric Labarriere | FR | Saint Eloi | 2010-02-11 / 20100034337 - BOTTOM END-PIECE HAVING AN ANTI-DEBRIS DEVICE WITH A BAFFLE FOR A NUCLEAR ASSEMBLY AND CONRRESPONDING ASSEMBLY | 1 |
Nathalie Labarriere | FR | Nantes Cedex 1 | 2014-03-27 / 20140088290 - Novel Melanoma Antigen Peptide and Uses Thereof | 1 |
Armando Labarta | DE | Ludwigsburg | 2015-06-11 / 20150162627 - Humidifier, in Particular for a Fuel Cell | 2 |
Sebastien Labarthe | FR | Voiron | 2015-10-15 / 20150292500 - METHOD FOR OPERATING A PERISTALTIC PUMP | 3 |
Franck Labarthe | FR | Baudreix | 2010-05-13 / 20100119368 - DOUBLE SEAL WITH PRESSURISED LIP | 1 |
Benoit Labarthe | CA | Montreal | 2010-12-30 / 20100330604 - QUANTIFICATION OF CHANGES IN THE DEGREES OF ORDER OF CELLULAR AND VIRAL MEMBRANES AND APPLICATIONS TO DIAGNOSIS, TREATMENT AND DRUG SCREENING | 1 |
Danijel Labas | DE | Baesweiler | 2010-06-10 / 20100142217 - LAMP | 1 |
Daniel Thomas Labash | US | Appleton | 2013-02-28 / 20130053810 - PACKAGED TAMPON AND APPLICATOR ASSEMBLY | 1 |
Josiah Labash | US | Port Hueneme | 2011-11-03 / 20110270013 - Pressure-Applying device | 1 |
Sebastiaan Labastide | CH | Muri B. Bern | 2015-09-03 / 20150248541 - SYSTEM FOR COLLECTING PATIENT INFORMATION FOR DIABETES MANAGEMENT | 2 |
Sebastiaan Labastide | US | San Mateo | 2010-09-23 / 20100240978 - GAME DISPLAY OF A DIAGNOSTIC DEVICE AND METHOD OF PLAYING | 1 |
Sebastiaan La Bastide | CH | Muri Bei Bern | 2008-10-02 / 20080242963 - SYSTEM, TOOLS, DEVICES AND A PROGRAM FOR DIABETES CARE | 1 |
Sebastiaan La Bastide | CH | Myri Bei Bern | 2010-09-16 / 20100235316 - SYSTEM, TOOLS, DEVICES AND A PROGRAM FOR DIABETES CARE | 1 |
Sebastiaan La Bastide | US | Carmel | 2015-04-02 / 20150095042 - HIGH/LOW BLOOD GLUCOSE RISK ASSESSMENT SYSTEMS AND METHODS | 1 |
Marine Labastie | FR | Toulouse | 2015-06-04 / 20150151849 - METHOD AND DEVICE FOR AUTOMATICALLY DETERMINING A SPEED PROFILE WITH SPEED LEVELS FOR AN AIRCRAFT | 2 |
Emilie Labat | CH | Renens | 2013-10-24 / 20130280378 - CEREAL MILK DRINK COMPRISING HYDROLYZED WHOLE GRAIN FOR INFANTS | 1 |
Karen Louise Labat | US | Circle Pines | 2015-11-05 / 20150313295 - PROTECTIVE GARMENTS AND METHODS OF MAKING | 2 |
Jerome Labat | US | San Carlos | 2012-12-06 / 20120311523 - DEPENDENCY-BASED IMPACT ANALYSIS USING MULTIDIMENSIONAL MODELS OF SOFTWARE OFFERINGS | 6 |
Chris A. Labat | US | Thibodaux | 2014-10-09 / 20140299040 - VALVE STEM NUT WEAR ANALYSIS APPARATUS AND METHOD | 3 |
Eric Labat | FR | Marcq En Baroeuil | 2010-09-09 / 20100228144 - DEVICE FOR OCULAR STIMULATION AND DETECTIOIN OF BODY REACTIONS | 1 |
Ivan Labat | US | Alameda | 2009-11-12 / 20090280124 - Methods for the Diagnosis and Treatment of Preeclampsia | 1 |
Ivan Labat | US | Mountain View | 2008-10-02 / 20080241882 - Methods and materials relating to novel stem cell growth factor-like polypeptides and polynucleotides | 3 |
Sebastien J. Labat | US | Chicago | 2008-09-18 / 20080227368 - DOCUMENT ABRADING DEVICE | 1 |
Sebastien Labat | DE | Schwanfeld | 2015-11-19 / 20150333596 - ROTARY ELECTRIC MACHINE | 2 |
Jerome Labat | US | Sunnyvale | 2015-06-25 / 20150180949 - HYBRID CLOUD ENVIRONMENT | 1 |
Christian Labat | FR | Montreuill | 2011-12-29 / 20110317810 - METHODS AND SYSTEMS FOR LOCATING OBJECTS EMBEDDED IN A BODY | 1 |
Carlos Alberto Labate | BR | Sao Paulo | 2008-11-13 / 20080277080 - Method for the Genetic Modulation of the Biosynthesis of Hemicelluloses, Cellulose and Uronic Acids in Plant Cells Using Gene Expression Cassettes | 1 |
Maria Grazia Labate | IT | Rome | 2013-07-04 / 20130169482 - METHOD FOR SYNTHESIZING AN ELECTRO-MAGNETIC PULSE IN THE TME DOMAIN, AND APPARATUS FOR THE IRRADIATION OF SUCH AN ELECTRO-MAGNETIC PULSE | 1 |
Diego Labate | IT | Cosenza | 2011-03-17 / 20110063125 - METHOD AND SYSTEM FOR REMOTE METERING THE CONSUMPTION OF ELECTRICITY, WATER OR GAS | 1 |
Pedro Bruni Labate | BR | Sao Paulo-Sp | 2009-05-07 / 20090114663 - RIB AND GASKET ARRANGEMENT FOR A CAN | 1 |
Pedro Bruni Labate | BR | Sao Paulo | 2009-05-28 / 20090134160 - CLOSURE ARRANGEMENT FOR A CAN | 1 |
Monica Teresa Venesiano Labate | BR | Sao Paulo | 2008-11-13 / 20080277080 - Method for the Genetic Modulation of the Biosynthesis of Hemicelluloses, Cellulose and Uronic Acids in Plant Cells Using Gene Expression Cassettes | 1 |
Richard Louis Labat, Jr. | US | Thibodaux | 2010-12-09 / 20100310211 - SYSTEMS AND METHODS FOR INSTALLATION OF DEVICES AROUND AN ELEMENT | 1 |
Isaac J. Labaton | IL | Macabim | 2015-05-14 / 20150135297 - METHOD AND APPARATUS FOR THE SECURE AUTHENTICATION OF A WEB SITE | 8 |
Jonathan Labaton | IL | Hertzlya | 2008-12-11 / 20080306827 - System for Registration of Commercial Transactions | 1 |
Isaac J. Labaton | IL | Jerusalem | 2009-12-31 / 20090327130 - SECURE AND PORTABLE PAYMENT SYSTEM | 1 |
Isaac J. Labaton | IL | Macabim | 2015-05-14 / 20150135297 - METHOD AND APPARATUS FOR THE SECURE AUTHENTICATION OF A WEB SITE | 8 |
Isacc J. Labaton | IL | Macabim | 2009-06-25 / 20090164802 - MEMORY MANAGEMENT METHOD | 1 |
Brian D. Labatte | CA | Montreal | 2010-07-01 / 20100169272 - Concurrent Engineering Conflict Manager | 1 |
Frederique Labatut | FR | Paris | 2015-12-03 / 20150342864 - COMPOSITION COMPRISING A SUPERABSORBENT POLYMER AND AN ORGANOPOLYSILOXANE ELASTOMER | 2 |
Richard F. Labaudiniere | US | Sherbron | 2010-05-06 / 20100112091 - USE OF RAMOPLANIN TO TREAT DISEASES ASSOCIATED WITH THE USE OF ANTIBIOTICS | 1 |
Pierre R. Labaume | FR | Auteuil Le Roi | 2009-10-15 / 20090257347 - Spatial Clustering | 1 |
Damien Labaume | FR | Preserville | 2015-08-13 / 20150226631 - Method for diagnosing a single-flow or dual-flow ventilation unit and associated ventilation unit | 4 |
Lance Labauve | US | Highlands Ranch | 2015-09-10 / 20150257045 - Handset Transitions in a Dual-Mode Environment | 3 |
Mikel Labayen Esnaola | ES | Arturo Campion 22 | 2016-05-05 / 20160127363 - Method and System for Verifying the Identity of a User of an Online Service | 1 |
Kristen Labazzo | US | Springfield | 2016-04-21 / 20160106784 - ANGIOGENESIS USING PLACENTAL STEM CELLS | 8 |
Kristen S. Labazzo | US | Springfield | 2014-01-16 / 20140017211 - METHODS AND COMPOSITIONS FOR TREATMENT OF BONE DEFECTS WITH PLACENTAL CELL POPULATIONS | 3 |
Kristen Labazzo | US | Springfield | 2016-04-21 / 20160106784 - ANGIOGENESIS USING PLACENTAL STEM CELLS | 8 |
Kristen S. Labazzo | US | North Brunswick | 2008-11-06 / 20080274158 - Hydrazide Compounds with Angiogenic Activity | 1 |
Joseph Labazzo | US | Mason | 2010-09-02 / 20100222453 - METHOD FOR COLORING BUILDING MATERIALS USING A CATIONIC PIGMENT DISPERSION | 1 |
Raed Labban | US | Glen Allen | 2014-09-18 / 20140263043 - S/M FOR BIOLOGICAL TREATMENT OF WASTEWATER WITH SELENIUM REMOVAL | 1 |
Jocelyn Labbe | CA | Saint-Germain-De-Grantham | 2009-02-12 / 20090042678 - REVERSIBLE DRIVEN PULLEY FOR A CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Etienne Labbe | CA | Sainte-Marle | 2009-02-19 / 20090044508 - Brush cutting head with internally housed drive and bearing assembly | 1 |
David Labbe | CA | Granby | 2010-12-09 / 20100312149 - HARNESS SYSTEM FOR KINEMATIC ANALYSIS OF THE KNEE | 1 |
Etienne Labbe | CA | Sainte-Marie | 2014-09-18 / 20140261889 - BRUSH CUTTING HEAD | 6 |
Christian Labbe | CA | Saint-Denis-De-Brompton | 2011-07-28 / 20110181019 - WATERCRAFT TRAILER | 4 |
Christian Labbe | CA | Sherbrooke | 2016-05-05 / 20160121970 - SNOWMOBILE SUSPENSION | 3 |
Frederick Labbe | CA | St-Hubert | 2014-09-25 / 20140284150 - TROLLEY COMPRISING A FALL ARREST ACTUATOR | 2 |
Kevin F. Labbe | US | Houston | 2015-08-27 / 20150245529 - MEMORY INSERTION TOOL | 1 |
Diane Labbe | CA | Pierrefonds | 2012-07-12 / 20120178146 - BACTERIA CAPABLE OF DEGRADING MULTIPLE PETROLEUM COMPOUNDS IN SOLUTION IN AQUEOUS EFFLUENTS AND PROCESS FOR TREATING SAID EFFLUENTS | 2 |
Guillaume Labbe | CA | Thetford Mines | 2015-08-20 / 20150230404 - DEVICE FOR COLLECTING AND RELEASING DEBRIS | 1 |
Steve Labbe | CA | Laval | 2015-06-25 / 20150175670 - FUSION PROTEINS AND COMBINATION VACCINES COMPRISING HAEMOPHILUS INFLUENZAE PROTEIN E AND PILIN A | 4 |
David Labbe | CH | Lausanne | 2012-12-13 / 20120315313 - LIQUID-FILLED CHITOSAN-ANIONIC LIPOSOLUBLE SURFACTANT CAPSULE DISPERSIONS | 1 |
Daniel Labbe | FR | Caen | 2011-02-03 / 20110028430 - INJECTABLE VISCOUS MEDICINAL PREPARATION COMPRISING ETHANOL AND AN X-RAY OPAQUE FAT-SOLUBLE COMPOUND | 2 |
Arnaud Labbe | FR | Saint-Julien-En-Genevois | 2010-10-14 / 20100259248 - CURRENT SENSOR WITH LAMINATED MAGNETIC CORE | 2 |
Nicolas Labbe | FR | Lyon | 2016-03-03 / 20160065008 - ROTATING ELECTRIC MACHINE, IN PARTICULAR FOR THE STARTER OF AN AUTOMOTIVE VEHICLE | 13 |
Arnaud Labbe | FR | St. Girod | 2015-10-29 / 20150309082 - ELECTRICAL CURRENT TRANSDUCER WITH GROUNDING DEVICE | 3 |
Nicolas Labbe | FR | Lyon | 2016-03-03 / 20160065008 - ROTATING ELECTRIC MACHINE, IN PARTICULAR FOR THE STARTER OF AN AUTOMOTIVE VEHICLE | 13 |
Eric Labbe | US | Sunnyvale | 2015-01-29 / 20150032175 - IMPLANTABLE CARDIAC STIMULATION DEVICES, AND METHODS OF USE THEREWITH, THAT USE ASSIGNABLE PACE RETURN CAPACITORS | 2 |
Michael J. Labbe | US | Hebron | 2009-04-16 / 20090094832 - Heat treating apparatus and method of using same | 2 |
Magnus Labbe | DE | Moeglingen | 2009-05-28 / 20090133662 - Method for Operating an Internal Combustion Engine having a plurality of cylinder banks | 1 |
Jennifer Elizabeth Labbe | US | Copley | 2010-08-19 / 20100206443 - Heterogeneous Rubbery Polymers Having Random, Tapered, and Block Portions Therein and Methods of Making Same | 1 |
David Philippe Labbe | CH | Lausanne | 2010-11-11 / 20100285178 - PRODUCTION OF FOOD PRODUCTS WITH ENHANCED IN MOUTH AND MENTAL REFRESHMENT | 4 |
Patrick Labbe | FR | Antony | 2010-05-06 / 20100111220 - METHOD AND APPARATUS USING SOUNDING PPDUs TO PROVIDE RANGE EXTENSION TO IEEE 802.11n SIGNALS | 4 |
Mickael Labbe | FR | Montlhery | 2014-03-27 / 20140087027 - Probiotic Enriched And Low Organic Acid Food Products | 3 |
Allen F. Labbe | US | Abingdon | 2010-06-03 / 20100138644 - SYSTEM AND METHOD FOR DYNAMIC DATA MINING AND DISTRIBUTION OF MARITIME DATA | 1 |
Gilles G. Labbe | CA | Quebec | 2012-10-04 / 20120251054 - APPARATUS FOR PLUGGING MULTIPLE CONNECTORS WITH SPRING LOADED SLEEVES INTO AN ADAPTER SIMULTANEOUSLY | 1 |
Klaus Labbe | DE | Undenheim | 2015-06-25 / 20150176315 - HINGE FOR A MOTOR VEHICLE | 4 |
Nicole Labbe | US | Knoxville | 2014-05-15 / 20140134668 - ANTIMICROBIAL AND ANTI-INFLAMMATORY ACTIVITY OF SWITCHGRASS-DERIVED EXTRACTIVES | 1 |
Michael S. Labbe | US | Twinsburg | 2013-01-03 / 20130006330 - DUAL PATIENT CONTROLLERS | 1 |
Gilles Labbe | CA | Waterloo | 2012-08-30 / 20120217986 - MODULE ASSEMBLY HOLDING WORKBOARD | 1 |
Jean-Louis Labbe | FR | Noumea | 2013-06-13 / 20130150898 - VERTEBRAL OSTEOSYNTHESIS ASSEMBLY FORMED BY A VERTEBRAL OSTEOSYNTHESIS MATERIAL AND INSTRUMENTS FOR PLACING SAID MATERIAL | 1 |
Michel Labbe | CA | Trois-Riviere | 2013-01-10 / 20130008816 - PACKAGING HEADER FOR A ROLL OF MATERIAL AND METHOD FOR PACKAGING A ROLL OF MATERIAL | 1 |
Donald E. Labbe | US | Woburn | 2013-05-16 / 20130122433 - Smart Firing Control in a Rankine Cycle Power Plant | 3 |
Robert A. Labbe | US | Woodbury | 2011-11-24 / 20110283788 - Boat Steering Fluid Sight Gauge | 1 |
Michael Labbe | US | Twinsburg | 2016-04-28 / 20160118842 - IMPLANT CURRENT CONTROLLED BATTERY CHARGING BASED ON TEMPERATURE | 8 |
Sebastien Labbe | CA | Saint-Jean-Sur-Richelieu | 2011-12-08 / 20110297269 - METAL TUBE WITH POROUS METAL LINER | 1 |
Michael Labbe | US | Twinsburg | 2016-04-28 / 20160118842 - IMPLANT CURRENT CONTROLLED BATTERY CHARGING BASED ON TEMPERATURE | 8 |
Klaus Labbe | DE | Udenheim | 2014-06-19 / 20140165364 - ASSEMBLY DEVICE FOR THE ASSEMLY OF A HOLDING ELEMENT FOR ATTCHMENT PARTS ON A MOTOR VEHICLE AND METHOD FOR THE ASSEMBLY OF ATTACHMENT PARTS ON A MOTOR VEHICLE | 2 |
Christophe Labbe | BR | Rio De Janeiro | 2016-01-07 / 20160002012 - PASSIVE HEAVE COMPENSATOR | 2 |
Benoît Labbe | FR | Grenoble | 2014-10-09 / 20140300335 - Circuit with Current-Controlled Frequency | 2 |
David Labbe | CA | Acton Vale | 2014-03-27 / 20140084644 - BACKREST FOR A STRADDLE-SEAT VEHICLE | 1 |
Richard Labbé | CA | Saint-Vallier | 2016-03-03 / 20160063846 - HOSPITAL BED WITH PATIENT WEIGHT AND DISPLACEMENT SENSORS | 1 |
Jean Labbé | CA | Portneuf | 2012-08-23 / 20120214391 - System and Method for Trussing Slaughtered Birds | 1 |
Michel Labbé | CA | Victoriaville | 2010-03-04 / 20100051674 - WATER-REPELLANT AND GAS BARRIER COMPOSITE MATERIAL | 1 |
Jocelyn Labbé | CA | St-Germain-De-Grantham | 2010-07-01 / 20100167852 - DRIVEN PULLEY FOR A CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Pascal Labbé | CA | Sherbrooke | 2013-05-30 / 20130134773 - TRACK SYSTEM FOR TRACTION OF AN OFF-ROAD VEHICLE SUCH AS A SNOWMOBILE OR AN ALL-TERRAIN VEHICLE (ATV) | 2 |
Jean Labbé | CA | Portneuf | 2012-08-23 / 20120214391 - System and Method for Trussing Slaughtered Birds | 1 |
Michel Labbé | CA | Victoriaville | 2012-04-26 / 20120097564 - PROTECTIVE WRAPPING PAPER FOR ROLLS | 4 |
Michel Labbé | CA | Montreal | 2016-02-04 / 20160031316 - TANK AND FILLER TUBE DEVICE WITH PROTECTIVE ARRANGEMENT | 3 |
Michel Labbé | CA | Victoriaville | 2012-04-26 / 20120097564 - PROTECTIVE WRAPPING PAPER FOR ROLLS | 4 |
Etienne Labbé | CA | Sainte-Marie | 2011-09-01 / 20110209797 - BRUSH CUTTING HEAD | 1 |
Etienne Labbé | CA | Sainte-Marie | 2011-09-01 / 20110209797 - BRUSH CUTTING HEAD | 1 |
Michel Labbé | CA | Victoriaville | 2010-03-04 / 20100051674 - WATER-REPELLANT AND GAS BARRIER COMPOSITE MATERIAL | 1 |
Jocelyn Labbé | CA | St-Germain-De-Grantham | 2010-07-01 / 20100167852 - DRIVEN PULLEY FOR A CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Abderrahim Labbi | CH | Zurich | 2011-10-06 / 20110246537 - MATRIX RE-ORDERING AND VISUALIZATION IN THE PRESENCE OF DATA HIERARCHIES | 2 |
Abderrahim Labbi | CH | Thalwil | 2016-03-10 / 20160070708 - GUIDED KEYWORD-BASED EXPLORATION OF DATA | 2 |
Ronald R. Labby | US | San Jose | 2016-05-05 / 20160125989 - PERMANENT MAGNETIC CHUCKING DEVICE WITH LARGE FORCE DIFFERENTIAL | 2 |
Nitin Labdhe | IN | Bangalore | 2015-08-20 / 20150235059 - METHOD OF TRANSFERRING DATA, COMPUTER PROGRAM PRODUCT AND TAG | 2 |
Virginie Labe | FR | Orange | 2013-01-24 / 20130023713 - METHOD FOR THE PRE-CALCINING TREATMENT OF AN AQUEOUS NITRIC SOLUTION COMPRISING AT LEAST ONE RADIONUCLIDE AND OPTIONALLY RUTHENIUM | 1 |
Thomas H. Labean | US | Hillsborough | 2009-11-05 / 20090275031 - Biomolecular nano device | 3 |
Marie-Pierre Labeau | FR | Sevres | 2015-07-02 / 20150183979 - RHEOLOGY MODIFIER COMPOSITIONS AND METHODS OF USE | 3 |
Marie-Pierre Labeau | US | Burlington | 2014-03-20 / 20140079659 - USE OF MODIFIED GALACTOMANNANS FOR PROTECTING DYED HAIR COLOR FROM FADING | 14 |
Marie-Pierre Labeau | FR | Paris | 2009-07-02 / 20090165216 - COMPOSITION BASED ON NANOPARTICLES OR A NANOLATEX OF POLYMERS FOR FABRIC CARE | 1 |
Paul J. Labeaume | US | Auburn | 2016-04-14 / 20160103392 - PHOTORESIST COMPOSITION AND ASSOCIATED METHOD OF FORMING AN ELECTRONIC DEVICE | 14 |
Paul J. Labeaume | US | Auburn | 2016-04-14 / 20160103392 - PHOTORESIST COMPOSITION AND ASSOCIATED METHOD OF FORMING AN ELECTRONIC DEVICE | 14 |
Paul J. Labeaume | US | Framingham | 2012-07-05 / 20120171616 - POLYMERIZABLE PHOTOACID GENERATORS | 2 |
Mathieu Labedan | FR | Bagneres De Bigorre | 2010-12-02 / 20100303389 - FLEXIBLE SHIPPING CONTAINER AND MANUFACTURING PROCESS | 1 |
Guillaume Labedan | FR | Paris | 2015-11-19 / 20150330350 - STARTER FOR PISTON ENGINE ALLOWING A MITIGATION OF THE RESISTIVE TORQUE | 2 |
Mathieu Labedan | FR | Loegnan | 2012-10-25 / 20120267367 - TIGHT CONNECTION AND TIGHT TRANSFER BETWEEN TWO HOUSINGS IN VIEW OF AN ASEPTIC TRANSFER THEREBETWEEN | 1 |
Christopher D. Labedz | US | Streetsboro | 2016-01-28 / 20160022519 - METHOD OF CONTROLLING A PRESSURIZED MATTRESS SYSTEM FOR A SUPPORT STRUCTURE | 5 |
Ralph H. Labedz | US | Mchenry | 2015-03-12 / 20150073378 - METHOD OF OPERATING AN INFUSION PUMP WITH A MULTIPLE ORIENTATION DISPLAY | 3 |
Ralph Labedz | US | Mchenry | 2011-06-30 / 20110158823 - SHUTTLE PUMP WITH CONTROLLED GEOMETRY | 1 |
Frank Labedz | US | Omaha | 2009-12-31 / 20090327014 - SYSTEM AND METHOD FOR MANAGING MAINTENANCE OF BUILDING FACILITIES | 1 |
Lawrence Labedz | US | Naperville | 2011-01-20 / 20110010972 - Lockable menu board device and method | 2 |
Gerald P. Labedz | US | Chicago | 2010-07-01 / 20100165945 - METHOD AND SYSTEM FOR IN-BAND WIRELESS BACKSTRETCH | 1 |
Shaik Labeeb | US | Tustin | 2010-12-16 / 20100314730 - Stacked hybrid interposer through silicon via (TSV) package | 1 |
Bernard Labeeuw | FR | Montpellier | 2009-06-18 / 20090156574 - 2-ACYLAMINO-4-PHENYLTHIAZOLE DERIVATIVES, PREPARATION THEREOF AND THERAPEUTIC APPLICATION THEREOF | 1 |
Olivier Labeeuw | FR | Rennes | 2009-04-30 / 20090111808 - NOVEL HISTAMINE H3-RECEPTOR LIGANDS AND THEIR THERAPEUTIC APPLICATIONS | 1 |
Olivier Labeeuw | FR | Fougeres | 2013-12-05 / 20130324507 - Novel (aza)Benzhydryl Ether Derivatives, Their Process of Preparation and Their Use as H4-Receptor Ligands for Therapeutical Applications | 4 |
Mare Labeille | FR | Vourles | 2009-03-19 / 20090072424 - Process for the preparation of particles based on a thermoplastic polymer and powder thus obtained | 1 |
Rachel Labell | US | Vail | 2008-08-21 / 20080200862 - Method To Administer Stem Cells In Combination With One Or More Acoustically Active Materials And Ultrasound Energy | 1 |
Rachel Labell | US | Coatesville | 2009-04-30 / 20090112150 - CAVITATION ENHANCED TREATMENT THROUGH LOCAL DELIVERY | 1 |
Rachel Y. Labell | US | Coatesville | 2015-11-26 / 20150335750 - Liquid Formulations of Bendamustine | 12 |
Lawrence R. Labella | US | Bellmore | 2016-04-07 / 20160098725 - DYNAMIC CARD VALIDATION USING USER REQUESTED CELL IDENTIFIERS | 5 |
Lawrence Robert Labella | US | Bellmore | 2013-02-21 / 20130047200 - Apparatus and Method for Performing Data Tokenization | 1 |
Thomas Labella | DE | Nuernberg | 2014-05-29 / 20140149014 - TECHNIQUE FOR GENERATING FROM POINT DATA GEOMETRIC DATA THAT CONTINUOUSLY DESCRIBE A COURSE OF A GEOGRAPHIC OBJECT | 1 |
David Labella | US | Higganum | 2015-06-11 / 20150160075 - CANE-BASED U-BEND | 3 |
Lawrence R. Labella | US | Bellimore | 2016-04-14 / 20160104162 - DYNAMIC CARD VALIDATION | 1 |
Florent La Bella | FR | Romans Sur Isere | 2009-01-01 / 20090000801 - Process for Determining Usage Data for a Portable Hand-Activated Apparatus and the Device for Implementing the Process | 4 |
Angela La Bella | IT | Roma | 2011-09-01 / 20110212954 - ALPHA-LIPOIC ACID DERIVATIVES AND THEIR USE IN DRUG PREPARATION | 1 |
Catherine B. Labelle | US | Wappinger Falls | 2010-10-21 / 20100267237 - METHODS FOR FABRICATING FINFET SEMICONDUCTOR DEVICES USING ASHABLE SACRIFICIAL MANDRELS | 1 |
Jeffrey T. Labelle | US | Tempe | 2015-08-27 / 20150238140 - SYSTEM AND METHOD FOR STRESS SENSING | 6 |
Joseph Benjamin Labelle | US | Fishers | 2010-08-19 / 20100209252 - DISK FOR TURBINE ENGINE | 2 |
Guy James Labelle | US | Flagstaff | 2010-05-20 / 20100125466 - SYSTEM AND METHOD OF DISPENSING INSURANCE THROUGH A COMPUTER NETWORK | 1 |
Andrea L. Labelle | US | Flagstaff | 2010-05-20 / 20100125466 - SYSTEM AND METHOD OF DISPENSING INSURANCE THROUGH A COMPUTER NETWORK | 1 |
Ed Labelle | US | Austin | 2010-04-01 / 20100081278 - Methods for Nanoscale Feature Imprint Molding | 1 |
Donald J. Labelle | US | Macomb Township | 2009-10-01 / 20090242108 - METHOD OF MANUFACTURING PRINTED AND LAMINATED BED AND BED LINER | 1 |
Marc Labelle | US | Chatham | 2013-10-17 / 20130273024 - METHODS FOR TREATING ATHEROSCLEROSIS | 3 |
Lilian Labelle | FR | St. Samson Sur Rance | 2009-01-15 / 20090016433 - Method and Device for Selecting a Transcoding Method Among a Set of Transcoding Methods | 1 |
Anthony Labelle | US | Saco | 2011-07-14 / 20110168153 - METHODS FOR OPERATING A TOP LOADING WOOD FIRED APPLIANCES HAVING A COOPERATING TOP LOADING DOOR AND MOVABLE BAFFLE | 1 |
Jacob Labelle | US | Pittsfield | 2015-01-22 / 20150024185 - FORCE SPUN SUB-MICRON FIBER AND APPLICATIONS | 1 |
Jacob Labelle | US | Pitssfield | 2015-01-22 / 20150024186 - FORCE SPUN SUB-MICRON FIBER AND APPLICATIONS | 2 |
Michael S. Labelle | US | Chandler | 2012-10-18 / 20120263258 - CIRCUITS AND METHODS FOR PROVIDING A CONTROL VOLTAGE AND IMPROVING SWITCH PERFORMANCE IN RADIO FREQUENCY (RF) MODULES | 1 |
Richard F. Labelle | US | North Palm Beach | 2015-05-07 / 20150121884 - STRESS RELIEVED RECTILINEAR DUCT | 1 |
Paul Labelle | CA | Montreal | 2013-10-31 / 20130284623 - Hinge Lid Package | 1 |
Stéphane Labelle | CA | St-Jerome | 2012-10-04 / 20120247149 - PROCESS COOLING SYSTEM AND METHOD USING SEAWATER | 1 |
Hubert Labelle | CA | Laval | 2015-10-08 / 20150287184 - SYSTEM AND METHOD FOR PREDICTING SCOLIOSIS PROGRESSION | 5 |
Catherine Labelle | US | Wappingers Falls | 2015-02-26 / 20150054179 - ARC RESIDUE-FREE ETCHING | 7 |
Lisa Ann Labelle | US | Fairfield | 2011-07-21 / 20110174845 - AMPHIBIOUS CARRIER AND METHOD OF MANUFACTURING | 1 |
Marc Labelle | US | Basking Ridge | 2016-04-14 / 20160102096 - COMPOUNDS AND METHODS FOR INHIBITING HISTONE DEMETHYLASES | 4 |
Catherine B. Labelle | US | Wappingers Falls | 2015-07-02 / 20150187905 - METHODS OF FORMING GATE STRUCTURES FOR SEMICONDUCTOR DEVICES USING A REPLACEMENT GATE TECHNIQUE AND THE RESULTING DEVICES | 3 |
James Labelle | US | Orange | 2016-02-11 / 20160040844 - Electric Lighting Devices | 2 |
Gary E. Labelle | US | Stillwater | 2012-11-29 / 20120301737 - TRANSPARENT CONDUCTIVE FILMS, METHODS, AND ARTICLES | 3 |
Brigitte Labelle | CA | Blainville | 2012-01-12 / 20120007748 - CONTROLLED ELECTRO-PNEUMATIC POWER TOOLS AND INTERACTIVE CONSUMABLE | 3 |
Mark D. Labelle | US | Chino | 2012-04-05 / 20120083765 - Drug Introduction and Placement System | 1 |
Richard Labelle | CA | Le Gardeur | 2010-12-02 / 20100303574 - ANCHOR FOR HOLLOW WALLS | 1 |
Stéphane Labelle | CA | Quebec | 2010-11-04 / 20100275596 - SYSTEM FOR EXPLOITING THE THERMAL ENERGY AT THE BOTTOM OF THE OCEAN | 1 |
Paul Andrew Labelle | CA | Smith Falls | 2010-10-28 / 20100271058 - SYSTEM AND METHOD FOR PROBING WORK PIECES | 1 |
Guy Labelle | CA | Montreal | 2010-04-15 / 20100095225 - INTERACTIVE SYSTEM AND METHOD | 2 |
Martin Labelle | CA | Ottawa | 2012-02-02 / 20120030017 - USAGE MEASUREMENT COLLECTION AND ANALYSIS TO DYNAMICALLY REGULATE CUSTOMER NETWORK USAGE | 2 |
George William Labelle | CA | Regina | 2009-07-30 / 20090188177 - METHOD AND APPARATUS FOR RAISING BUILDINGS | 1 |
Edward V. Labelle | US | Charleston | 2015-09-17 / 20150259669 - MICROBIAL ELECTROSYNTHETIC CELLS | 1 |
Chad Labelle | US | Troy | 2015-03-12 / 20150071579 - ROLLING BEARING HAVING RINGS WITH STEPPED SURFACES OPPOSITE TO THE RACEWAYS | 3 |
Stéphane Labelle | CA | Quebec | 2010-11-04 / 20100275596 - SYSTEM FOR EXPLOITING THE THERMAL ENERGY AT THE BOTTOM OF THE OCEAN | 1 |
Catherine B. Labelle | US | Schenectady | 2016-04-14 / 20160104799 - DUAL-STRAINED NANOWIRE AND FINFET DEVICES WITH DIELECTRIC ISOLATION | 2 |
Jacob Scott Labelle | US | Pittsfield | 2014-06-19 / 20140167329 - HIGH TEMPERATURE MELT INTEGRITY BATTERY SEPARATORS VIA SPINNING | 1 |
Catherine Labelle | US | Schenectady | 2016-02-25 / 20160056263 - METHODS OF FORMING A GATE CAP LAYER ABOVE A REPLACEMENT GATE STRUCTURE | 1 |
Jeffrey Labelle | US | Tempe | 2015-09-24 / 20150268108 - Electrochemical Pressure Transducer | 3 |
Lisa Labelle | US | Fairfield | 2009-11-19 / 20090285872 - Amphibious Carrier and Method of Manufacturing | 1 |
Kathleen M. La Belle | US | Lawrence | 2009-10-01 / 20090241271 - METHOD FOR DETERMINING LOAD SIZE AND/OR SETTING WATER LEVEL IN A WASHING MACHINE | 2 |
Ross Labelson | US | Westbury | 2010-08-19 / 20100205789 - Buckle attachment device | 1 |
Jakub Labenski | PL | Krakow | 2015-12-31 / 20150378880 - Dynamically Configurable Test Doubles For Software Testing And Validation | 1 |
Joel E. Labenz | US | Chandler | 2009-03-26 / 20090078828 - ANTI-ICE VALVE COMPONENTS AND METHODS OF COUPLING A VALVE ASSEMBLY TO A SERVO CONTROLLER OF ANTI-ICE VALVE COMPONENTS | 2 |
Regine Labeque | BE | Brussels | 2011-12-29 / 20110319314 - Stable Non-Aqueous Liquid Compositions Comprising A Cationic Polymer In Particulate Form | 13 |
Régine Labeque | BE | Neder Over Heembeek | 2012-07-12 / 20120175797 - Method for controlling the plasticization of a water soluble film | 3 |
Régine Labeque | BE | Neder Over Heembeek | 2012-07-12 / 20120175797 - Method for controlling the plasticization of a water soluble film | 3 |
Regine Labeque | BE | Brussels | 2011-12-29 / 20110319314 - Stable Non-Aqueous Liquid Compositions Comprising A Cationic Polymer In Particulate Form | 13 |
Regine Labeque | BE | Heembeek | 2013-03-14 / 20130065811 - STABLE WATER-SOLUBLE UNIT DOSE ARTICLE | 1 |
Regine Labeque | BE | Neder Over Heembeek | 2016-04-14 / 20160102279 - Articles Comprising Water-Soluble Polyvinyl Alcohol Film with Plasticizer Blend and Related Methods | 13 |
Regine Labeque | BE | Bruxelles | 2015-04-02 / 20150093526 - WATER-SOLUBLE FILM HAVING IMPROVED DISSOLUTION AND STRESS PROPERTIES, AND PACKETS MADE THEREFROM | 3 |
Regine Labeque | BE | Neder Over Heembeek | 2016-04-14 / 20160102279 - Articles Comprising Water-Soluble Polyvinyl Alcohol Film with Plasticizer Blend and Related Methods | 13 |
Edgardo A. Laber | US | Monte Sereno | 2016-01-07 / 20160003914 - SYSTEMS AND METHODS FOR AN OPEN WIRE SCAN | 1 |
Bernd Laber | DE | Idstein | 2015-09-24 / 20150267180 - HPPD VARIANTS AND METHODS OF USE | 16 |
Tyler A. Laber | US | Fargo | 2014-03-20 / 20140082385 - ON DEMAND POWER MANAGEMENT FOR SOLID-STATE MEMORY | 1 |
Axel Laber | DE | Alfeld | 2010-01-28 / 20100021662 - METHOD FOR THE PREPARATION OF COATING FORMULATIONS AND PAPERS COATED THEREWITH | 1 |
Bernd Laber | DE | Idstein | 2015-09-24 / 20150267180 - HPPD VARIANTS AND METHODS OF USE | 16 |
Edgardo Laber | US | Monte Sereno | 2012-04-12 / 20120086401 - CELL BALANCING CIRCUIT FOR USE IN A MULTI-CELL BATTERY SYSTEM | 2 |
Patrick Laber | US | Winston-Salem | 2014-04-10 / 20140099290 - ENDOTHELIAL SCAFFOLDS | 2 |
Heinz Laber | DE | Geisenheim | 2008-08-21 / 20080199585 - Process for Refining Aqueous Preparations | 1 |
Sebastian Laber | DE | Leinfelden-Echterdingen | 2014-01-30 / 20140028121 - handheld power tool | 4 |
Edgardo Laber | US | San Jose | 2011-07-28 / 20110182126 - FLASH MEMORY ARRAY OF FLOATING GATE-BASED NON-VOLATILE MEMORY CELLS | 7 |
Werner Laber | DE | Ubstadt-Weiher | 2014-02-20 / 20140052483 - METHODS, APPARATUS AND SYSTEM FOR MEDIATING SERVICES | 1 |
Aurelie Laberdure-Nedder | FR | Mulhouse | 2012-08-30 / 20120219668 - Food Product with a Moulded Body | 1 |
Jason C. Laberge | US | Morristown | 2014-01-23 / 20140025615 - ASSESSING RISK ASSOCIATED WITH A DOMAIN | 1 |
Travis Laberge | US | Boulder | 2014-10-02 / 20140295918 - WEARABLE MOBILE DEVICE | 1 |
Tim Laberge | US | Saint Paul | 2011-05-12 / 20110113017 - Supporting Internal Consistency Checking with Consistency Coded Journal File Entries | 1 |
Tim Laberge | US | St. Paul | 2013-12-19 / 20130339401 - Method for Reliable and Efficient Filesystem Metadata Conversion | 7 |
Paul Laberge | US | Shoreview | 2009-01-08 / 20090013143 - SYSTEM AND METHOD FOR READ SYNCHRONIZATION OF MEMORY MODULES | 1 |
Veronique Laberge | CA | Mississauga | 2015-02-26 / 20150056550 - Simplified Process for Sustainable Toner Resin | 1 |
Jason Laberge | US | New Brighton | 2013-08-08 / 20130204408 - SYSTEM FOR CONTROLLING HOME AUTOMATION SYSTEM USING BODY MOVEMENTS | 11 |
Jason C. Laberge | US | Lauderdale | 2015-12-17 / 20150362929 - USER INTERFACE FOR SPECIAL PURPOSE CONTROLLER | 2 |
Jason C. Laberge | US | New Brighton | 2008-11-27 / 20080295030 - USER INTERFACE FOR SPECIAL PURPOSE CONTROLLER | 3 |
Jason Charles Laberge | CA | Calgary | 2014-11-27 / 20140349255 - OPERATOR COMPETENCY MANAGEMENT | 1 |
Serge Laberge | CA | Sainte Foy | 2011-12-22 / 20110312095 - METHOD AND CONSTRUCTS FOR INCREASING RECOMBINANT PROTEIN PRODUCTION IN PLANTS DEHYDRATION STRESS | 1 |
Philippe-Armand Laberge | CA | Quebec | 2011-08-11 / 20110196524 - METHOD FOR PLANNING A DENTAL COMPONENT | 1 |
Paul A. Laberge | US | Shoreview | 2014-11-27 / 20140351503 - MULTI-SERIAL INTERFACE STACKED-DIE MEMORY ARCHITECTURE | 31 |
Remi-Martin Laberge | US | Novato | 2013-10-31 / 20130288981 - TARGETING SENESCENT CELLS AND CANCER CELLS BY INTERFERENCE WITH JNK AND/OR FOXO4 | 2 |
Jason Laberge | US | New Brighton | 2013-08-08 / 20130204408 - SYSTEM FOR CONTROLLING HOME AUTOMATION SYSTEM USING BODY MOVEMENTS | 11 |
Kevin Leon Laberge | US | Sherwood | 2014-01-16 / 20140018764 - Containment System | 3 |
Matthew Laberge | US | Plymouth | 2013-12-12 / 20130330154 - SUPPORT FOR SEMICONDUCTOR SUBSTRATE | 1 |
Michel Georges Laberge | CA | West Vancouver | 2015-06-04 / 20150152899 - PRESSURE WAVE GENERATOR WITH MOVABLE CONTROL ROD FOR GENERATING A PRESSURE WAVE IN A MEDIUM | 8 |
Sylvain Laberge | CA | Ste-Martine | 2016-05-05 / 20160121379 - MOBILE SOIL TREATMENT UNIT | 1 |
Fabrice Laberge | CA | Outremont | 2014-02-27 / 20140057813 - HYDRAULIC FRACTURING WITH IMPROVED VISCOSITY LIQUEFIED INDUSTRIAL GAS BASED SOLUTION | 2 |
Michel Georges Laberge | CA | West Vancouver | 2015-06-04 / 20150152899 - PRESSURE WAVE GENERATOR WITH MOVABLE CONTROL ROD FOR GENERATING A PRESSURE WAVE IN A MEDIUM | 8 |
E.f. Charles Laberge | US | Towson | 2012-05-10 / 20120113823 - METHOD AND SYSTEM FOR VERY HIGH FREQUENCY DATA LINK CAPACITY ENHANCEMENT | 7 |
Martin Laberge | CA | Montreal | 2016-03-31 / 20160088877 - PROTECTIVE STRETCHABLE MATERIAL AND GARMENT MADE THEREWITH | 5 |
Martine Laberge | US | Seneca | 2011-06-16 / 20110138932 - CONTACT SENSORS AND METHODS FOR MAKING SAME | 2 |
Meaulnes Laberge | US | Graham | 2009-01-08 / 20090007752 - Guitar Body Reinforcement | 1 |
Matthew M. Laberge | US | Plymouth | 2014-10-23 / 20140314535 - SUBSTRATE HANDLER | 2 |
Francois Laberge | US | Boston | 2015-11-05 / 20150314454 - APPARATUS AND METHODS FOR PROVIDING A PERSISTENT COMPANION DEVICE | 1 |
Serge Laberge | CA | Sillery | 2008-09-25 / 20080233574 - Map-Based Genome Mining Method for Identifying Regulatory Loci Controlling the Level of Gene Transcripts and Products | 1 |
Aaron P. Laberge | US | Burlington | 2016-04-14 / 20160105710 - System for the Delivery and Dynamic Presentation of Large Media Assets over Bandwidth Constrained Networks | 4 |
Michel G. Laberge | CA | West Vancouver, British Columbia | 2015-11-12 / 20150321223 - PRESSURE WAVE GENERATOR WITH A SABOT LAUNCHED PISTON | 1 |
J. Michel G. Laberge | CA | West Vancouver | 2016-04-21 / 20160107176 - JET CONTROL DEVICES AND METHODS | 2 |
Sylvain Laberge | CA | Sainte-Therese | 2015-08-13 / 20150226490 - Baseboard for use in preheating water | 1 |
Tim Laberge | US | Sammamish | 2015-08-06 / 20150220124 - POWER CONNECTIVITY MONITORING FOR COMPUTING SYSTEMS | 1 |
Michel G. Laberge | CA | West Vancouver | 2015-07-30 / 20150216028 - APPARATUS FOR ACCELERATING AND COMPRESSING PLASMA | 1 |
Norbert Labermeier | DE | Wenzenbach | / - | 1 |
Joseph Robert Labermeier | US | East Troy | 2014-05-01 / 20140116853 - ACTIVE CONTROL ROLLER TOP MODULAR CONVEYING ASSEMBLY | 1 |
Jürgen Labermeier | DE | Schwanstetten | 2011-06-02 / 20110127862 - ELECTRIC MACHINE HAVING RADIAL DIVIDERS FOR GUIDING COOLING AIR | 1 |
Kenneth P. Laberteaux | US | Ann Arbor | 2015-07-16 / 20150200874 - Apparatus and Method to Switch Packets Using a Switch Fabric With Memory | 10 |
Kenneth P. Laberteaux | US | Ann Arbor | 2015-07-16 / 20150200874 - Apparatus and Method to Switch Packets Using a Switch Fabric With Memory | 10 |
Chrystel Laberty | FR | Montrouge | 2013-10-03 / 20130260283 - ORGANIC-INORGANIC HYBRID NANOFIBRES HAVING A MESOPOROUS INORGANIC PHASE, PREPARATION THEREOF BY ELECTROSPINNING, MEMBRANE, ELECTRODE, AND FUEL CELL | 1 |
Christel Laberty-Robert | US | Alexandria | 2009-06-18 / 20090155693 - DISPERSED SOLUTION OF CARBON-CONTAINING MATERIALS FOR THE PRODUCTION OF CURRENT COLLECTORS | 1 |
John Labes | US | San Diego | 2013-09-26 / 20130254806 - System and Method for Displaying a Media Program Stream on Mobile Devices | 1 |
Kurt Labes | AU | Surfers Paradise | 2015-07-30 / 20150214824 - ELECTROMAGNETIC TURBINE | 3 |
Holger Labes | DE | Kaiserslautern | 2011-12-22 / 20110308439 - Sewing machine | 1 |
Jon Labes | US | San Diego | 2013-09-26 / 20130254822 - System for Creating and Displaying a Media Program Stream | 1 |
Laurie Labesque | US | Parsippany | 2008-09-11 / 20080217425 - Evaporating Device | 1 |
Dzmitry Labetski | NL | Eindhoven | 2015-03-19 / 20150077729 - MODULE AND METHOD FOR PRODUCING EXTREME ULTRAVIOLET RADIATION | 6 |
Dzmitry Labetski | NL | Utrecht | 2015-10-15 / 20150293456 - DROPLET GENERATOR, EUV RADIATION SOURCE, LITHOGRAPHIC APPARATUS, METHOD FOR GENERATING DROPLETS AND DEVICE MANUFACTURING METHOD | 10 |
Dzmitry Labetski | NL | Utrecht | 2015-10-15 / 20150293456 - DROPLET GENERATOR, EUV RADIATION SOURCE, LITHOGRAPHIC APPARATUS, METHOD FOR GENERATING DROPLETS AND DEVICE MANUFACTURING METHOD | 10 |
Marta Labeur | DE | Munchen | 2010-03-18 / 20100069463 - METHODS FOR THE DIAGNOSIS AND TREATMENT OF AFFECTIVE DISORDERS AND CUSHING'S SYNDROMES | 1 |
Pierre-Yves Labey | FR | Paris | 2015-01-15 / 20150013860 - Retractable flexible handle | 1 |
Marc R. Labgold | US | Reston | 2012-10-25 / 20120269728 - Methods and compositions for detecting one or more target agents using tracking components | 3 |
Rachana Labh | IN | Bangalore | 2010-07-29 / 20100192128 - SYSTEM AND METHODS OF USING TEST POINTS AND SIGNAL OVERRIDES IN REQUIREMENTS-BASED TEST GENERATION | 1 |
Meret Labhart | CH | Zurich | 2016-04-07 / 20160095629 - SPINAL STABILIZING AND GUIDING FIXATION SYSTEM | 1 |
Jay Todd Labhart | US | Corsicana | 2015-06-25 / 20150181763 - ELECTRONICS CHASSIS AND METHOD OF FABRICATING THE SAME | 1 |
Jay Todd Labhart | US | Cary | 2015-10-01 / 20150282380 - THERMAL INTERFACE DEVICES | 2 |
Meret Labhart | CH | Aarau | 2011-11-03 / 20110270314 - SPINAL STABILIZING AND GUIDING FIXATION SYSTEM | 1 |
Vinod D. Labhasetwar | US | Cleveland | 2016-02-04 / 20160030402 - SUSTAINED-RELEASE NANOPARTICLE COMPOSITIONS AND METHODS FOR USING THE SAME | 3 |
Vinod Labhasetwar | US | Solon | 2015-12-31 / 20150374798 - Methods of Treating Spinal Cord Injury | 5 |
Vinod Labhasetwar | US | Cleveland | 2015-05-21 / 20150139906 - SURFACE-MODIFIED NANOPARTICLES FOR INTRACELLULAR DELIVERY OF THERAPEUTIC AGENTS AND COMPOSITIONS FOR MAKING SAME | 1 |
Disha V. Labhasetwar | US | Cincinnati | 2015-11-12 / 20150320437 - METHOD OF OPERATING AN ARTICULATING ULTRASONIC SURGICAL INSTRUMENT | 1 |
Vinod D. Labhasetwar | US | Omaha | 2010-08-12 / 20100203153 - SUSTAINED-RELEASE NANOPARTICLE COMPOSITIONS AND METHODS USING THE SAME | 3 |
Disha Vinod Labhasetwar | US | Solon | 2014-10-09 / 20140302249 - COATED FIBERS EXHIBITING BEADS-ON-A-STRING MORPHOLOGY | 1 |
Nitin Labhsetwar | IN | Nehru Marg | 2009-12-10 / 20090305883 - DEFLUORIDATION OF WATER | 1 |
Nitin Kumar Labhsetwar | IN | Maharashtra | 2014-10-02 / 20140295518 - PROCESS FOR GENERATION OF HYDROGEN AND SYNGAS | 1 |
Nancy Labianca | US | Yalesville | 2009-04-23 / 20090102070 - Alignment Marks on the Edge of Wafers and Methods for Same | 1 |
Erik Labianca | US | Washington | 2014-08-28 / 20140244292 - Method for Helping Patients Find Treatments Based on Similar Patients' Experiences | 1 |
Nancy C. Labianca | US | Yalesville | 2013-03-14 / 20130062740 - TUNABLE RADIATION SOURCE | 8 |
Nancy Carolyn Labianca | US | Yalesville | 2008-12-18 / 20080310808 - PHOTONIC WAVEGUIDE STRUCTURE WITH PLANARIZED SIDEWALL CLADDING LAYER | 1 |
Nancy C. Labianca | US | Yalesville | 2013-03-14 / 20130062740 - TUNABLE RADIATION SOURCE | 8 |
Erik S. Labianca | US | Ashburn | 2015-04-16 / 20150106724 - METHOD OF VISUALLY INDICATING OPTIMAL COMMUNICATION TIMES | 1 |
Michel Labianca | US | Scottsdale | 2012-10-04 / 20120254261 - DIGITAL TRAVEL RECORD | 1 |
Nancy Labianca | US | Yatesville | 2008-10-30 / 20080265445 - Marks for the Alignment of Wafer-Level Underfilled Silicon Chips and Method to Produce Same | 1 |
Mercedes Labiano Ros | ES | Zaragoza | 2012-01-19 / 20120012495 - PROCESS OF TREATING HARD SURFACE | 4 |
Mohammed E. Labib | US | Princeton | 2012-06-14 / 20120148530 - Method for the treatment or prevention of virus infection using polybiguanide-based compounds | 1 |
Sherif Labib | US | Sugar Land | 2013-06-06 / 20130141100 - ANOMALY DETECTION SYSTEM FOR WIRELINE CABLES | 1 |
Mohamed Emam Labib | US | Princeton | 2016-05-05 / 20160121029 - Medical Item For Prevention and Treatment of Ear Infection | 9 |
Mohamed Emam Labib | US | Princeton | 2016-05-05 / 20160121029 - Medical Item For Prevention and Treatment of Ear Infection | 9 |
Mohamed E. Labib | US | Princeton | 2016-01-28 / 20160022497 - DRUG-RELEASING DEVICE USABLE IN MUCOSAL BODY CAVITIES | 3 |
Rami Labib | CA | Ottawa | 2016-02-11 / 20160043766 - DUAL ELECTRICAL COMPACT SMALL FORM-FACTOR PLUGGABLE MODULE | 3 |
Mahmoud Aziz Mahmoud Labib | CA | Ottawa | 2014-11-20 / 20140342918 - Method For Affinity Purification | 1 |
Farid Labib | DE | Diessen | 2011-12-29 / 20110320997 - Delay-Cell Footprint-Compatible Buffers | 2 |
Mohamed Eman Labib | US | Princeton | 2015-04-09 / 20150096598 - METHOD FOR CLEANING PASSAGEWAYS USING FLOW OF LIQUID AND GAS | 1 |
Maurice J. Labiche | US | Cary | 2010-06-17 / 20100150252 - Multimedia Stream Combining | 2 |
Cyril Labidi | US | San Francisco | 2012-12-06 / 20120309362 - Outgoing Telephone Call Indication | 6 |
Tomer Labin | IL | Haifa | 2015-04-02 / 20150095866 - VLSI CIRCUIT SIGNAL COMPRESSION | 1 |
Yaakov Labin | IL | Ashdod | 2014-02-27 / 20140057145 - QUASI-BIPOLAR BATTERY CELLS AND ARRANGEMENTS | 1 |
Jay Alan Labinger | US | Claremont | 2010-07-15 / 20100179364 - Process for the Production of a Hydrocarbon | 1 |
Jay A. Labinger | US | Claremont | 2014-07-17 / 20140200376 - TANDEM TRANSFER HYDROGENATION AND OLIGOMERIZATION FOR HYDROCARBON PRODUCTION | 2 |
Jeffery J. Labinski | US | Lakewood | 2010-10-14 / 20100258203 - FAUCET WITH LOCKING SAFETY HANDLE | 1 |
Unai Labirua Iturburu | GB | Newbury | 2013-09-05 / 20130231191 - METHOD FOR INTRODUCING A PHYSICAL OBJECT IN A VIRTUAL WORLD | 1 |
Oliver Labisch | DE | Mannheim | 2015-11-19 / 20150329714 - AQUEOUS BINDERS FOR GRANULAR AND/OR FIBROUS SUBSTRATES | 5 |
Daniel Labisch | DE | Karlsruhe | 2016-04-28 / 20160115058 - CONTROL APPARATUS AND METHOD FOR A SEWAGE PLANT | 1 |
Rafael Labisch | DE | Essen | 2011-11-17 / 20110280712 - Passage wall section for an annular flow passage of an axial turbomachine with radial gap adjustment | 1 |
John Vincent Labish | US | Macomb | 2012-05-10 / 20120112515 - SEATING TRIM ATTACHMENT RETAINER FOR EPP/EPS AND POLYURETHANE FOAM | 1 |
Delphine Labit | CA | Lasalle | 2010-11-18 / 20100292269 - BIR DOMAIN BINDING COMPOUNDS | 1 |
Jennifer Lynn Labit | US | Arnold | 2013-01-10 / 20130012903 - REUSABLE DIAPERS | 8 |
James Andrew Labit | US | Arnold | 2013-01-10 / 20130012903 - REUSABLE DIAPERS | 6 |
Jennifer Lynn Labit | US | Arnold | 2013-01-10 / 20130012903 - REUSABLE DIAPERS | 8 |
Bruce David Labitt | US | Nashua | 2012-10-11 / 20120256778 - Short-range vehicular radar system | 1 |
Kevin Max Labitzke | US | West Chester | 2014-06-26 / 20140178442 - COMPOSITIONS AND ARTICLES HAVING A PARENT FRAGRANCE AND MICROCAPSULES ENCAPSULATING A NON-PARENT FRAGRANCE | 1 |
Kevin M. Labitzke | US | Fairfield | 2008-08-28 / 20080206355 - Composition comprising a particulate zinc material, a pyrithione or a polyvalent metal salt of a pyrithione and a synthetic cationic polymer | 1 |
Kevin M.` Labitzke | US | Hamilton | 2010-11-18 / 20100291165 - PERSONAL CARE COMPOSITION IN THE FORM OF AN ARTICLE HAVING A HYDROPHOBIC SURFACE-RESIDENT COATING | 2 |
Herbert Labitzke | DE | Markgroeningen | 2013-02-28 / 20130049460 - METHOD FOR PREVENTING OVERVOLTAGES IN AN ELECTRICAL SYSTEM OF A MOTOR VEHICLE | 4 |
Reiner Labitzke | DE | Schwerte | 2009-10-08 / 20090254087 - APPARATUS FOR STABILIZING LONG BONE FRACTURES | 1 |
Ekkehard Labitzke | DE | Koditz | 2009-05-14 / 20090124155 - PROCESS FOR PRODUCING SHEATH-CORE STAPLE FIBERS WITH A THREE-DIMENSIONAL CRIMP AND A CORRESPONDING SHEATH-CORE STAPLE FIBER | 1 |
Stefan Labitzke | DE | Rostock | 2008-12-04 / 20080296877 - Gas Generator | 1 |
Kevin Max Labitzke | US | Hamilton | 2016-02-04 / 20160030320 - COMPOSITIONS COMPRISING AN EFFICIENT PERFUME BLOOM | 2 |
Rainer Labitzke | DE | Dresden | 2014-12-04 / 20140354149 - APPARATUS FOR GENERATING A HOLLOW CATHODE ARC DISCHARGE PLASMA | 1 |
Boris Labkovsky | US | Wales | 2015-06-25 / 20150175684 - Abeta CONFORMER SELECTIVE ANTI-Abeta GLOBULOMER MONOCLONAL ANTIBODIES | 14 |
Boris Labkovsky | US | Marlborough | 2015-03-12 / 20150071875 - HUMAN ANTIBODIES THAT BIND HUMAN IL-12 AND METHODS FOR PRODUCING | 24 |
Boris Labkovsky | US | Framingham | 2010-01-21 / 20100016557 - HUMAN ANTIBODIES THAT BIND HUMAN TNFalpha | 1 |
Boris Labkovsky | US | Wales | 2015-06-25 / 20150175684 - Abeta CONFORMER SELECTIVE ANTI-Abeta GLOBULOMER MONOCLONAL ANTIBODIES | 14 |
Terry D. Lablanc | US | Oklahoma City | 2012-02-02 / 20120024878 - LIDS FOR SECURING ITEMS IN CONTAINERS | 1 |
Alyssa Lynn Lablanc | US | Humble | 2015-07-30 / 20150211345 - METHODS AND SYSTEMS FOR STIMULATING A SUBTERRANEAN FORMATION CONTAINING A CARBONATE MINERAL | 1 |
Michael R. Lablanc | US | Wilton | 2009-07-30 / 20090192868 - Method and System for Analyzing Performance of a Wind Farm | 1 |
Alyssa L. Lablanc | US | Humble | 2016-02-11 / 20160040060 - TREATMENT FLUIDS COMPRISING AN ALKALI METAL COMPLEXING AGENT AND METHODS FOR USE THEREOF | 1 |
Sylvester M. Lablanc | US | Issaquah | 2011-08-18 / 20110202412 - TOUCHLESS AND TOUCH OPTIMIZED PROCESSING OF RETAIL AND OTHER COMMERCE TRANSACTIONS | 1 |
Sylvester M. Lablanc | US | Redmond | 2014-04-10 / 20140100979 - TOUCHLESS AND TOUCH OPTIMIZED PROCESSING OF RETAIL AND OTHER COMMERCE TRANSACTIONS | 1 |
Peter Lablans | US | Morris Township | 2016-04-21 / 20160112069 - Methods and Apparatus in Alternate Finite Field Based Coders and Decoders | 48 |
Donna Laboda | US | Niles | 2015-07-09 / 20150192218 - HIGH-TEMPERATURE TORQUE MOTOR ACTUATOR | 1 |
Kevin J. Laboe | US | Birmingham | 2015-12-31 / 20150377077 - ORGANIC RANKINE CYCLE WASTE HEAT RECOVERY SYSTEM | 3 |
Denis E. Labombard | US | Georgetown | 2012-07-12 / 20120177436 - Tubular slide lock mechanism | 1 |
Denis Labombard | US | Georgetown | 2015-08-20 / 20150230782 - Adapter for Attaching Devices to Endoscopes | 9 |
Denis Labombard | US | Georgetown | 2015-08-20 / 20150230782 - Adapter for Attaching Devices to Endoscopes | 9 |
Michel Labonne | FR | Angouleme | 2011-05-26 / 20110121668 - PERMANENT MAGNET ROTOR, AND ROTATING MACHINE COMPRISING SUCH A ROTOR | 1 |
Eric Labonne | FR | Bernay | 2011-03-03 / 20110052649 - FINE POWDER OF POLYAMIDE FROM RENEWABLE MATERIALS AND METHOD FOR MAKING SUCH A POWDER | 1 |
Carole B. Labonne | US | Evanston | 2013-11-14 / 20130303737 - TARGETED SCHIFF BASE COMPLEXES | 2 |
Ella Labonne | FR | Courdimanche | 2009-12-10 / 20090306816 - Sequential Selective Sorting Method and Installation for Implementing it | 1 |
Ella Labonne | FR | Saint Germain En Laye | 2014-09-25 / 20140283660 - METHOD FOR ESTABLISHING A STRATEGY FOR CUTTING OUT A FLAT SCREEN | 1 |
Andre Labonte | US | Mechanicville | 2016-05-12 / 20160133623 - METHODS OF FORMING A COMBINED GATE AND SOURCE/DRAIN CONTACT STRUCTURE AND THE RESULTING DEVICE | 2 |
Eric Labonte | US | Fremont | 2016-03-10 / 20160067242 - NHE3-BINDING COMPOUNDS AND METHODS FOR INHIBITING PHOSPHATE TRANSPORT | 1 |
Patrick Labonte | CA | Laval | 2012-03-08 / 20120055109 - CLIP ASSEMBLY FOR USE WITH A SUSPENDED CEILING | 3 |
Rene Labonte | CA | Farnham | 2013-12-05 / 20130320124 - Rolled Sheets of Floor Covering and Manufacture | 1 |
Paula W. Labonte | US | Clarksburg | 2015-03-26 / 20150084325 - SELF-STANDING DESKTOP CALENDAR | 1 |
Eric Labonte | US | Redwood City | 2015-06-11 / 20150157637 - COMPOUNDS AND METHODS FOR INHIBITING PHOSPHATE TRANSPORT | 6 |
Greg Labonte | US | Phoenix | 2013-08-29 / 20130226491 - METHODS AND APPARATUS FOR HYBRID OUTLIER DETECTION | 1 |
John Labonte | US | Denison | 2013-01-03 / 20130001464 - APPARATUS AND METHOD FOR STEAM DISINFECTION OF A POWDER | 1 |
Tanzina Labonte | US | Boston | 2011-08-18 / 20110200582 - LIPIDS, LIPID COMPOSITIONS, AND METHODS OF USING THEM | 1 |
Luc W. Labonte | CA | Calgary | 2009-05-28 / 20090133858 - System and Method for Cooling a Computer | 2 |
Francis Labonte | CA | Sherbrooke | 2010-08-05 / 20100195713 - Buffer Based Rate Control in Video Coding | 1 |
Francis Roger Labonte | CA | Sherbrooke | 2013-08-29 / 20130227160 - FLOW-RATE ADAPTATION FOR A CONNECTION OF TIME-VARYING CAPACITY | 7 |
Tanzina Labonte | US | Cambridge | 2014-10-16 / 20140309277 - LIPIDS, LIPID COMPOSITIONS, AND METHODS OF USING THEM | 1 |
Michel Labonte | CA | Montreal | 2016-05-12 / 20160130413 - THERMOFORMABLE POLYLACTIC ACID | 1 |
Andre Labonte | US | Scarborough | 2012-05-17 / 20120119262 - SiGe Heterojunction Bipolar Transistor and Method of Forming a SiGe Heterojunction Bipolar Transistor | 1 |
Jason Labonte | US | Denver | 2013-08-01 / 20130196800 - Compression Collar Apparatus | 1 |
Joseph Labonte | US | Indianapolis | 2014-09-18 / 20140264109 - REDUCED NOISE VALVE MECHANISM | 2 |
Jean Labonte | CA | Quebec | 2014-12-11 / 20140359996 - LAMINATE PERIPHERAL CLAMPING TO CONTROL MICROELECTRONIC MODULE BSM WARPAGE | 1 |
Andre P. Labonte | US | Mechanicville | 2015-10-29 / 20150311082 - SELF-ALIGNED GATE CONTACT FORMATION | 1 |
Andre Paul Labonte | US | Lewiston | 2011-11-24 / 20110287596 - SYSTEM AND METHOD FOR PROVIDING LOW VOLTAGE HIGH DENSITY MULTI-BIT STORAGE FLASH MEMORY | 1 |
David Labonte | CA | Edmonton | 2015-01-22 / 20150020373 - METHOD OF MANUFACTURING CONTINUOUS SUCKER ROD | 2 |
Laurent Labonte | FR | St Laurent Du Var | 2014-12-04 / 20140355998 - METHOD AND DEVICE FOR SYNCHRONIZING ENTANGLEMENT SOURCES FOR A QUANTUM COMMUNICATION NETWORK | 1 |
Daniel Joseph Labonte | US | Loves Park | 2009-02-12 / 20090043478 - Method and device for monitoring an internal combustion engine with a duel fuel injection system | 1 |
Greg I. Labonte | US | Phoenix | 2010-02-11 / 20100036637 - METHODS AND APPARATUS FOR HYBRID OUTLIER DETECTION | 1 |
Andre P. Labonte | US | Scarborough | 2013-08-08 / 20130200471 - ALIGNMENT TOLERANT SEMICONDUCTOR CONTACT AND METHOD | 4 |
Don R. Labonte | US | Baton Rouge | 2015-09-17 / 20150264851 - Sweetpotato plant named 'LA06-52' | 6 |
André Paul Labonté | US | Lewiston | 2011-05-19 / 20110115042 - STRUCTURE FOR DECREASING MINIMUM FEATURE SIZE IN AN INTEGRATED CIRCUIT | 1 |
Françoys Labonté | CA | Longueuil | 2010-06-24 / 20100158334 - Non-invasive joint evaluation | 1 |
Ivan Labonté | CA | Montreal | 2014-09-18 / 20140265175 - ICE SKATE | 9 |
Ivan Labonté | CA | Montreal | 2014-09-18 / 20140265175 - ICE SKATE | 9 |
Françoys Labonté | CA | Longueuil | 2010-06-24 / 20100158334 - Non-invasive joint evaluation | 1 |
André P. Labonté | US | Scarborough | 2013-08-08 / 20130200471 - ALIGNMENT TOLERANT SEMICONDUCTOR CONTACT AND METHOD | 2 |
Ivan Labonté | CA | Montreal | 2012-07-26 / 20120187642 - ICE SKATE BLADE ASSEMBLY | 2 |
Gerard J. Labonville | US | San Jose | 2010-04-01 / 20100080669 - Operator Input Device for a Robotic Surgical System | 1 |
U.s. Army Research Laboratory Attn: Rdrl-Loc-I | US | 2015-12-31 / 20150375301 - BINARY OR HIGHER ORDER HIGH-DENSITY THERMODYNAMICALLY STABLE NANOSTRUCTURED COPPER-BASED TANTALUM METALLIC SYSTEMS, AND METHODS OF MAKING THE SAME | 13 | |
U.s. Army Research Laboratory Attn: Rdrl-Loc-I | US | Adelphi | 2013-08-01 / 20130193970 - PROBE FOR MAGNETIC RESONANCE FORCE MICROSCOPY AND METHOD THEREOF | 2 |
U.s. Army Research Laboratory Attn: Rdrl-Loc-I | US | 2015-12-31 / 20150375301 - BINARY OR HIGHER ORDER HIGH-DENSITY THERMODYNAMICALLY STABLE NANOSTRUCTURED COPPER-BASED TANTALUM METALLIC SYSTEMS, AND METHODS OF MAKING THE SAME | 13 | |
Laboratory Corporation Of America Holdings | US | 2014-02-06 / 20140039909 - Clinical Laboratory-Based Disease Management Program, With Automated Patient-Specific Treatment Advice | 4 | |
Laboratory Corporation Of America Holdings | US | Burlington | 2013-08-01 / 20130197943 - Systems, Methods, and Media for Laboratory Benefit Services | 6 |
Elise Laborbe | FR | Courtempierre | 2015-08-20 / 20150232725 - Cure-On-Demand Liquid Sealant Composition, Process For The Preparation Thereof And Uses Thereof | 2 |
Lee Laborczfalvi | DE | Freiburg | 2015-03-05 / 20150067096 - REDIRECTING LOCAL STORAGE TO CLOUD STORAGE | 1 |
Lee Laborczfalvi | AU | Seven Hills | 2008-08-21 / 20080201405 - Method and System for Generating a Graphical Display for a Remote Terminal Session | 1 |
Lee George Laborczfalvi | AU | Wollstonecraft | 2014-06-05 / 20140156807 - METHODS AND APPARATUS FOR GENERATING GRAPHICAL AND MEDIA DISPLAYS AT A CLIENT | 3 |
Lee Laborczfalvi | AU | Wollstonecraft | 2014-09-25 / 20140289816 - Mediating Resource Access Based on a Physical Location of a Mobile Device | 4 |
Jorge Laborda | ES | Albacete | 2009-06-25 / 20090162363 - PEDF-R RECEPTOR AND USES | 1 |
Steve Laborda | DE | Dietzenbach | 2009-02-05 / 20090036641 - Anionic Soil Release Polymers | 1 |
Steve Laborda | DE | Hofheim Am Taunus | 2013-08-08 / 20130203927 - Plasticized Vinyl Acetate Copolymer Binder Compositions for Chopped Strand Mat | 3 |
Steve Laborda | DE | Hafheim Am Taunus | 2010-07-01 / 20100167609 - Vinyl acetate / neoalkanoic acid vinyl ester copolymers and uses thereof | 1 |
Steve Laborda | DE | Hofheim | 2012-03-15 / 20120061607 - Vinyl acetate based alkaline resistant scrim binder | 3 |
Patrice Laborde | FR | Billere | 2015-11-05 / 20150315965 - DEVICE AND METHOD FOR TEMPORARILY INCREASING POWER | 2 |
Paul G. Laborde | US | Placentia | 2012-01-26 / 20120019011 - Security device for garage doors | 1 |
Gregory Laborde | FR | Epinay-Sur-Orge | 2009-10-29 / 20090267799 - ARRANGEMENT OF A RECORDER FOR AN AIRCRAFT MORE PARTICULARLY ADAPTED TO THE GENERAL AVIATION | 1 |
Sandra Laborde | FR | Ottrott | 2009-10-01 / 20090246822 - Composition for cell permeabilization comprising NOG, HMP, rubidium chloride and/or lithium chloride for detecting living cells on a membrane | 1 |
Cyrille Laborde | FR | Villeneuve Louvet | 2015-04-16 / 20150103402 - ACTIVE SPACE TELESCOPE WITH SUSPENDED MIRROR | 1 |
Pascal Laborde | FR | Poissy | 2009-09-24 / 20090236025 - APPARATUS AND METHODS FOR PRODUCING FOAMED MATERIALS | 1 |
Fabrice Laborde | FR | Paris | 2009-07-02 / 20090166367 - Method for manufacturing a plastic fuel tank | 1 |
Jean-Marie Laborde | FR | St. Sauveur | 2009-05-07 / 20090115782 - Display of Analytic Objects and Geometric Objects | 1 |
Ronald T. Laborde | US | San Diego | 2014-07-24 / 20140205503 - FRAZIL ICE CONJUGATE ASSAY DEVICE AND METHOD | 1 |
Maximiliano G. Laborde | US | Hudson | 2011-11-17 / 20110280536 - CABLE NETWORK INTERCONNECTION SYSTEM WITH CONNECTOR PACKAGE AND CABLE PACKAGE | 1 |
Brian W. Laborde | US | Franklin | 2012-10-25 / 20120267942 - VEHICLE WHEEL BALANCE WEIGHTS | 1 |
Edgardo Laborde | US | Forest City | 2012-05-24 / 20120130076 - Synthetic Multimerizing Agents | 1 |
Lauren Elizabeth Laborde | US | Houston | 2015-06-11 / 20150159776 - SYSTEM, METHOD AND APPARATUS FOR SUBSEA INSTALLATION OF BUOYANCY MODULES | 1 |
David Laborde | US | Norcross | 2015-10-01 / 20150281949 - PROTECTED HEALTH INFORMATION IMAGE CAPTURE, PROCESSING AND SUBMISSION FROM A MOBILE DEVICE | 2 |
Louis Laborde | US | Cupertino | 2015-10-01 / 20150277989 - SYNCHRONIZING TIMESTAMP COUNTERS | 1 |
Laurence Laborde | FR | Toulouse | 2013-10-24 / 20130278474 - Directional Mobile Antenna with Polarization Switching by Displacement of Radiating Panels | 1 |
Jeff Laborde | US | Anaheim | 2013-10-17 / 20130274075 - EXERCISE APPARATUS | 1 |
Maximiliano Gaston Laborde | US | Hickory | 2016-03-03 / 20160062058 - FIBER OPTIC SOLUTIONS FOR MIGRATION BETWEEN DUPLEX AND PARALLEL MULTI-FIBER SOLUTIONS ALLOWING FOR FULL FIBER UTILIZATION | 1 |
Tobin Laborde | US | Winter Park | 2015-05-14 / 20150128417 - TURBINE BLADE REMOVAL TOOL AND METHOD THEREOF | 1 |
John Laborde | US | Placentia | 2015-01-22 / 20150024125 - Methods and Systems for Coating and Sealing Inside Piping Systems | 6 |
Justin Laborde | US | Ypsilanti | 2009-09-03 / 20090217595 - SLIDING WINDOW ASSEMBLY | 1 |
John Laborde | CA | Placentia | 2010-09-30 / 20100243092 - Methods and Systems for Coating and Sealing Inside of Piping Systems | 1 |
David Laborde | SA | Al-Jubail Industrial City | 2009-08-13 / 20090202395 - Pressure control system | 1 |
Edgardo Laborde | US | Foster City | 2013-07-18 / 20130184280 - SUBSTITUTED THIAZOLES AS VEGFR2 KINASE INHIBITORS | 2 |
Gant Laborde | US | New Orleans | 2009-06-04 / 20090144374 - System and Method for Unsolicited Electronic Mail Identification and Evasion | 1 |
Aurelie Laborde | FR | Marsannay La Cote | 2015-06-25 / 20150174285 - SELF-SUPPORTING INTERFACE DRESSING | 1 |
Pierre Laborde | FR | Elancourt | 2015-10-08 / 20150286366 - METHOD FOR ADJUSTING A VIEWING/MASKING SECTOR OF AN ENVIRONMENT SCANNING DEVICE, AND CORRESPONDING ADJUSTING DEVICE AND OPERATOR TERMINAL | 1 |
Christopher W. Laborde | US | Nashville | 2011-07-28 / 20110183280 - ORTHODONTIC APPLIANCE SYSTEMS | 1 |
Stephane Laborde | FR | Yerres | 2012-03-15 / 20120060611 - INSPECTION VEHICLE FOR THE INSPECTION OF SUBSTANTIALLY CYLINDRICAL OBJECTS | 1 |
Julien Laborde | FR | Dampierre En Yvelines | 2011-06-16 / 20110142571 - METHOD FOR MANUFACTURE OF A PACKAGE FOR THE TRANSPORT AND/OR STORAGE OF NUCLEAR MATERIAL, USING THE PHENOMENON OF WELDING SHRINKAGE | 1 |
Cyrille Laborde | FR | Cannes La Bocca | 2015-12-31 / 20150378128 - METHOD FOR MANUFACTURING A MIRROR | 1 |
Jerome Laborde | FR | Lyon | 2014-10-16 / 20140305728 - COMPACT URBAN VEHICLE | 1 |
Pierre Laborde | FR | Brest | 2014-10-16 / 20140310632 - MISSION SYSTEM ADAPTED FOR USE IN A STRONGLY DISTURBED ENVIRONMENT PERTURBED BY MOVEMENTS OF THE CARRIER | 1 |
Brian W. Laborde | US | Lancaster | 2016-02-04 / 20160033007 - VEHICLE WHEEL BALANCE WEIGHTS | 1 |
David V. Laborde | US | Tucker | 2014-01-30 / 20140032242 - CROSS-FACILITY CLOUD BASED PHYSICIAN PATIENT DATA MANAGEMENT AND REPORTING PLATFORM | 1 |
Pierro Laborde | FR | Grenoble | 2009-05-07 / 20090115782 - Display of Analytic Objects and Geometric Objects | 1 |
Jean Claude Laborde | FR | Vieille-Toulouse | 2008-09-04 / 20080215135 - Device Allowing the Treatment of Bodily Conduits at an Area of a Bifurcation | 1 |
Fabrice Laborde | FR | Toulouse | 2015-04-16 / 20150102533 - METHOD FOR PRODUCING A FUEL TANK WITH INTERNAL ACCESSORIES | 4 |
Gerald T. Laborde, Jr. | US | Homewood | 2014-06-19 / 20140172448 - METHOD FOR MEASURING THE INCIDENCE OF HOSPITAL ACQUIRED INFECTIONS | 2 |
Ian C. Laboriante | US | Boise | 2015-03-26 / 20150084187 - METHODS OF FORMING HYDROPHOBIC SURFACES ON SEMICONDUCTOR DEVICE STRUCTURES, METHODS OF FORMING SEMICONDUCTOR DEVICE STRUCTURES, AND SEMICONDUCTOR DEVICE STRUCTURES | 3 |
Jean-Michel Laborie | FR | Toulouse | 2013-08-22 / 20130216771 - METHOD AND DEVICE FOR STIFFENER PROTECTION, AND CORRESPONDING COMPOSITE PANEL | 1 |
Daniel Laborie | US | West Chester | 2011-11-03 / 20110268562 - GAS TURBINE ENGINE AIRFOIL INTEGRATED HEAT EXCHANGER | 2 |
Philippe Laborie | FR | L'Hay-Les-Roses | 2015-04-16 / 20150106319 - OPTIMAL NON-RECURSIVE METHOD FOR FINDING A MINIMAL SUBSET SATISFYING AN UPWARD-CLOSED PROPERTY | 2 |
Daniel Jean-Louis Laborie | US | West Chester | 2012-10-11 / 20120255274 - FLOW DEVICE AND METHOD AND SYSTEM USING THE FLOW DEVICE | 5 |
Raymond Georges Laborie | CA | Toronto | 2010-04-15 / 20100094216 - Adjustable Tip Needle Apparatus | 1 |
Marie-Pierre Laborie | US | Pullman | 2009-07-30 / 20090192264 - METHOD OF IN SITU BIOPRODUCTION AND COMPOSITION OF BACTERIAL CELLULOSE NANOCOMPOSITES | 1 |
Marie-Pierre G. Laborie | US | Pullman | 2009-06-18 / 20090155530 - METHODS FOR SURFACE ACTIVATION OF WOOD-FIBER REINFORCED THERMOPLASTIC COMPOSITES FOR SURFACE ADHESION ENHANCEMENT AND COMPOSITES HAVING SUCH SURFACE PROPERTIES | 1 |
Mark Labosco | US | New York | 2012-03-15 / 20120062370 - Control System for Augmenting a Portable Touch Screen Device | 1 |
Mark Labosco | US | New City | 2015-10-08 / 20150288919 - System and Method for Compressing Video and Reformatting the Compressed Video to Simulate Uncompressed Video With a Lower Bandwidth | 14 |
Mark Labosco | US | New City | 2015-10-08 / 20150288919 - System and Method for Compressing Video and Reformatting the Compressed Video to Simulate Uncompressed Video With a Lower Bandwidth | 14 |
Bonita L. Labosky | US | Skaneateles | 2009-09-03 / 20090221880 - DIAGNOSTIC INSTRUMENT WORKSTATION | 2 |
Nick Labosky | US | San Jose | 2008-12-25 / 20080320463 - System, method and computer-readable medium for enhanced user deletion of software from a computer | 1 |
Bonita L. Labosky | US | Minnetonka | 2013-01-03 / 20130002420 - MOBILE MEDICAL WORKSTATION | 2 |
Nour-Eddine La Bounia | FR | Orthex | 2013-11-14 / 20130303664 - WOOD/POLYMER COMPOSITE WITH IMPROVED THERMAL STABILITY | 1 |
Fedor Labounko | US | Brooklyn | 2015-12-03 / 20150347431 - Serving Expandable Content Items | 4 |
Fedor Labounko | US | Mountain View | 2015-01-22 / 20150026584 - PREVIEWING EXPANDABLE CONTENT ITEMS | 1 |
Jack Labounty | US | Bellevue | 2011-07-21 / 20110178816 - System And Method For Payment Of Medical Claims | 1 |
Susan M. Labounty | US | Payne | 2012-06-21 / 20120155100 - Vocational Truck Headlight Assembly | 1 |
Chris Labounty | US | Moorpark | 2013-02-14 / 20130039663 - Circuits, Architectures, Apparatuses, Methods and Algorithms for Determining a DC Bias in an AC or AC-Coupled Signal | 1 |
Shawn Edward Labounty | US | Paonia | 2015-10-15 / 20150289455 - CROP IRRIGATION AND THERMAL-PROTECTION SYSTEM | 1 |
Claude Labourdette | FR | Toulouse | / - | 1 |
Gilbert Labourdette | FR | Paray Le Monial | 2015-02-26 / 20150057318 - USE OF SUCCINATE DEHYDROGENASE INHIBITORS (SDHIS) FOR CONTROLLING WOOD DISEASES IN GRAPE | 13 |
Rachel Labourdette | CA | Quebec | 2015-10-15 / 20150292004 - DETECTION OF TOXIGENIC STRAINS OF CLOSTRIDIUM DIFFICILE | 1 |
Richard Labourdette | FR | Pau | 2015-09-10 / 20150253461 - METHOD FOR DETERMINING A KARSTIC REGION | 1 |
Gilbert Labourdette | FR | Paray Le Monial | 2015-02-26 / 20150057318 - USE OF SUCCINATE DEHYDROGENASE INHIBITORS (SDHIS) FOR CONTROLLING WOOD DISEASES IN GRAPE | 13 |
Julien Laboureau | FR | Issy Les Moulineaux | 2010-07-08 / 20100173853 - COMBINATION OF MONOSACCHARIDES WITH ASCORBIC ACID AND USE THEREOF | 5 |
Julien Laboureau | FR | Issy Les Moulinaux | 2010-07-29 / 20100190727 - USE OF MONOSACCHARIDES AND COMPOSITION THEREFOR | 2 |
Julien Laboureau | FR | Paris | 2011-02-24 / 20110046538 - COMBINATION OF A LIGHT RAY WITH A CYTOCHROME C OXIDASE SUBSTRATE PARTICULARLY FOR IMPROVING THE APPEARANCE OF THE SKIN AND/OR HAIR | 5 |
Emmanuel Labourier | US | Austin | 2014-05-22 / 20140141423 - miRNAs Differentially Expressed in Lymph Nodes from Cancer Patients | 8 |
Andrew Martin Labout | US | Highlands Ranch | 2015-03-26 / 20150084299 - Skate assistance system and method | 1 |
Matthew David Labove | US | Allen | 2009-07-16 / 20090178983 - Knock-Down Rack | 1 |
Mark Labovitz | US | Superior | 2009-01-15 / 20090018966 - Formulation of Optimized Investment Indeces | 1 |
Craig Labovitz | US | Ann Arbor | 2009-07-02 / 20090168648 - Method and System for Annotating Network Flow Information | 1 |
Steven M. Labovitz | US | Sunnyvale | 2011-05-05 / 20110101226 - METHOD AND APPARATUS FOR DUV TRANSMISSION MAPPING | 1 |
Craig H. Labovitz | US | Ann Arbor | 2016-02-11 / 20160043956 - SYSTEM AND METHOD FOR MANAGEMENT OF CLOUD-BASED SYSTEMS | 5 |
Benjamin P. Labovitz | US | Valparaiso | 2013-05-30 / 20130137806 - PROCESS FOR PREPARING LIQUID OVERBASED METAL CARBOXYLATES, MIXED METAL STABILIZERS CONTAINING SAME, AND STABILIZED HALOGEN-CONTAINING POLYMERS THEREWITH | 1 |
Steven Labovitz | US | Sunnyvale | 2013-08-15 / 20130209926 - CONTROLLABLE TRANSMISSION AND PHASE COMPENSATION OF TRANSPARENT MATERIAL | 1 |
Edward D. Labow | US | Stockton | 2012-03-22 / 20120067084 - METHOD AND APPARATUS FOR EMBEDDING ORNAMENTAL OBJECTS INTO SHEET MATERIAL | 1 |
Mark Aron Labow | US | Cambridge | 2015-11-26 / 20150337317 - dsRNA For Treating Viral Infection | 6 |
Mark Aron Labow | US | Lexington | 2010-07-22 / 20100183613 - METHODS OF USING MEVALONATE DECARBOXYLASE (MVD) ANTAGONISTS | 3 |
Maciej Labowicz | US | Roselle | 2012-08-16 / 20120204490 - Door Management System For Field Service and Delivery Personnel | 3 |
Howard R. Labowski | US | Sugar Grove | 2009-06-04 / 20090139101 - Sighting device | 1 |
Mark Alan Laboyteaux | US | Carmel | 2013-08-08 / 20130202101 - SYSTEM AND METHOD FOR MANUAL INITIATION OF COMMUNICATIONS TO MEMBERS OF A CONTACT LIST | 1 |
Christopher Labrador | CA | Waterloo | 2016-02-25 / 20160057286 - Method of Joining a Conference Call | 30 |
Miguel Labrador | US | Tampa | 2011-11-10 / 20110275384 - ADAPTIVE LOCATION DATA BUFFERING FOR LOCATION-AWARE APPLICATIONS | 3 |
Dwayne L. Labrake | US | Cedar Park | 2015-07-02 / 20150187590 - Methods for Uniform Imprint Pattern Transfer of Sub-20 nm Features | 23 |
Miguel Labranche | FR | Bonneuil Sur Marne | 2016-04-21 / 20160112473 - METHOD FOR REAL-TIME COMMUNICATION BETWEEN WEB BROWSERS | 2 |
Marc H. Labranche | US | Chapel Hill | 2015-07-23 / 20150203694 - CONDUCTIVITY THICK FILM PASTES CONTAINING PLATINUM POWDER | 6 |
Marc Henry Labranche | US | Chapel Hill | 2016-03-24 / 20160086682 - COPPER PASTE COMPOSITION AND ITS USE IN A METHOD FOR FORMING COPPER CONDUCTORS ON SUBSTRATES | 2 |
Julien Labranche | FR | Poissy | 2012-09-06 / 20120225573 - TURBO MACHINE ELECTRICAL CONNECTION ELEMENT | 1 |
Bruno Labranche | CA | Quebec | 2011-11-17 / 20110280265 - DRIVER CIRCUIT FOR THE DIRECT MODULATION OF A LASER DIODE | 3 |
Susana Labra Reynolds | CL | Vina Del Mar | 2008-10-23 / 20080257275 - Device and Method for the Capture of Larvae and the Sea-Culture of Sea Urchins and Abalone | 1 |
Gus Labrasciano | US | Stamford | 2010-11-04 / 20100275407 - ACCESSORY FOR BLOWER | 1 |
Brian C. Labrec | US | North Oxford | 2014-01-16 / 20140017473 - Image Destruct Feature Used With Image Receiving Layers In Secure Documents | 3 |
Timothy Labreche | US | Ann Arbor | 2013-10-03 / 20130256388 - METHOD OF FORMING A SOLID OXIDE TUBE COUPLED TO A CURRENT COLLECTOR | 23 |
Jean-Francois Labrecque | CA | Montreal | 2011-05-26 / 20110122476 - ELECTROCHROMIC OPTICAL LENS | 3 |
Denis Labrecque | CA | Saint Laurent | 2009-03-19 / 20090076020 - Cyclopropyl Amide Derivatives 978 | 1 |
Roger Labrecque | US | Londonderry | 2015-03-19 / 20150079191 - CURED GEL AND METHOD OF MAKING | 10 |
Serge Labrecque | CA | St. Bernard De Dorchester | 2008-10-30 / 20080266115 - BIRTH MONITORING SYSTEM FOR PIGLETS | 1 |
David Roger Labrecque | US | Orono | 2011-11-10 / 20110273707 - RING GRATING SPECTROMETER | 1 |
Douglas J. Labrecque | US | Sparks | 2011-04-14 / 20110083838 - SYSTEM AND METHOD FOR ELECTRICAL RESISTIVITY TOMOGRAPHY AND/OR ELECTRICAL IMPEDANCE TOMOGRAPHY | 1 |
Pierre Labrecque | CA | Sudbury | 2013-05-02 / 20130106165 - UNDERGROUND MINING | 1 |
Douglas John Labrecque | US | Sparks | 2016-01-28 / 20160025885 - METHOD AND APPARATUS FOR MEASURING THE ELECTRICAL IMPEDANCE PROPERTIES OF GEOLOGICAL FORMATIONS USING MULTIPLE SIMULTANEOUS CURRENT SOURCES | 2 |
Denis Labrecque | CA | Montreal | 2015-08-06 / 20150216861 - CYCLOPROPYL AMIDE DERIVATIVES | 2 |
Jean-François Labrecque | CA | Montreal | 2012-09-20 / 20120237833 - MATERIAL CONSISTING OF COMPOSITE OXIDE PARTICLES, METHOD FOR PREPARING SAME, AND USE THEREOF AS ELECTRODE ACTIVE MATERIAL | 1 |
Normand Labrecque | CA | Beloeil | 2012-02-02 / 20120023825 - WATERTIGHT PATIO DOOR ASSEMBLY | 3 |
Germain Labrecque | CA | St. Bernard De Dorchester | 2008-10-30 / 20080266115 - BIRTH MONITORING SYSTEM FOR PIGLETS | 1 |
Richard Labrecque | CA | St-Nicolas | 2015-06-25 / 20150175576 - NON-STEROIDAL ANTIANDROGENS AND SELECTIVE ANDROGEN RECEPTOR MODULATORS WITH A PYRIDYL MOIETY | 1 |
Serge Labrecque | CA | St. Bernard | 2015-03-19 / 20150075441 - Birth Monitoring and Heating System for Piglets | 2 |
Robert Labrecque | CA | St. Bernard | 2015-03-19 / 20150075441 - Birth Monitoring and Heating System for Piglets | 7 |
Germain Labrecque | CA | St. Bernard | 2015-03-19 / 20150075441 - Birth Monitoring and Heating System for Piglets | 2 |
Donald W. Labrecque | US | Colchester | 2015-12-24 / 20150370276 - INTEGRATED CIRCUIT HAVING REGULATED VOLTAGE ISLAND POWER SYSTEM | 1 |
Robert Labrecque | CA | St. Bernard De Dorchester | 2008-10-30 / 20080266115 - BIRTH MONITORING SYSTEM FOR PIGLETS | 1 |
Pascal Labrecque | CA | Quebec | 2016-02-11 / 20160039093 - LOW-IMPEDANCE ARTICULATED DEVICE AND METHOD FOR ASSISTING A MANUAL ASSEMBLY TASK | 1 |
Doug B. Labrecque | US | West Springfield | 2011-06-23 / 20110147129 - SUPPLEMENTAL ELEVATOR BRAKE AND RETROFITTING INSTALLATION PROCEDURE | 1 |
Peter Labrecque | US | Austin | 2012-06-14 / 20120147682 - MEMORY ELEMENTS HAVING CONFIGURABLE ACCESS DUTY CYCLES AND RELATED OPERATING METHODS | 1 |
Brendan Labrecque | US | Peabody | 2015-08-20 / 20150233677 - RPG DEFEAT METHOD AND SYSTEM | 9 |
Donald Labrecque | US | Colchester | 2010-02-11 / 20100034038 - INTEGRATED CIRCUIT INCLUDING SELECTABLE ADDRESS AND DATA MULTIPLEXING MODE | 1 |
Brendan Labrecque | US | Peabody | 2015-08-20 / 20150233677 - RPG DEFEAT METHOD AND SYSTEM | 9 |
Roger Labrecque | US | Londonderry | 2015-03-19 / 20150079191 - CURED GEL AND METHOD OF MAKING | 10 |
Julienne C. Labrecque | US | Worcester | 2015-07-02 / 20150183090 - Nonwoven Abrasive Articles Made by Friction Welding | 1 |
Douglas B. Labrecque | US | West Springfield | 2010-01-07 / 20100000823 - ASSEMBLY FOR ROPING AN ELEVATOR | 1 |
David R. Labrecque | US | Orono | 2009-11-19 / 20090285668 - ROTATING FLEXIBLE WING POWER SYSTEM | 1 |
Daniel J. Labrecque | US | Chapel Hill | 2009-01-29 / 20090031019 - Technique for Graphically Displaying Application Processing Time Distributions in Real-Time | 1 |
Denis Labrecque | CA | St. Laurent | 2011-06-09 / 20110137045 - BENZIMIDAZOLE DERIVATIVES | 2 |
Andrew Labrecque | US | Maynard | 2015-07-09 / 20150190925 - Remotely Operating a Mobile Robot | 1 |
Michel Labrecque | CA | St-Bruno | 2016-02-25 / 20160053686 - LOW NOISE AEROENGINE INLET SYSTEM | 4 |
Julienne Labrecque | US | Worcester | 2013-11-21 / 20130305614 - ABRASIVE PRODUCTS HAVING FIBRILLATED FIBERS | 1 |
Remi Labrecque | CA | St-Bernard | 2014-07-24 / 20140206572 - OVARIAN MARKERS OF FOLLICULAR MATURITY AND USES THEREOF | 1 |
Gabriel Labrecque | CA | St-Luc | 2016-03-31 / 20160094559 - Auto Configuration For Auto-Enrolled Access Controller Systems | 3 |
Douglas Joseph Labrecque | US | Milford | 2013-01-24 / 20130024800 - System and Method for Playing Back Wireless Fire System History Events | 1 |
Denis Labrecque | CA | Quebec | 2012-03-15 / 20120065193 - Cyclopropyl Amide Derivatives '978 | 1 |
Maurice J. Labrecque, Jr. | US | New Hartford | 2010-09-23 / 20100237143 - FLEXIBLE MAILBOX POST ASSEMBLY | 1 |
James N. Labrenz | US | San Francisco | 2012-01-19 / 20120015825 - ANALYTICAL SYSTEMS AND METHODS WITH SOFTWARE MASK | 1 |
Daniel D. Labrenz | US | Chula Vista | 2011-05-05 / 20110101627 - GASKET | 1 |
Jason Labrie | US | Westfield | 2013-09-26 / 20130248741 - PISTON VALVE WITH BUILT IN FILTRATION | 5 |
Jason Scott Labrie | US | Southampton | 2014-09-18 / 20140260958 - PISTON SEAL AND METHOD OF REDUCING FRICTIONAL FORCES OF A PISTON SEAL | 1 |
Robert G. Labrie | US | Tucson | 2010-04-22 / 20100100889 - ACCELERATING MUTUAL EXCLUSION LOCKING FUNCTION AND CONDITION SIGNALING WHILE MAINTAINING PRIORITY WAIT QUEUES | 1 |
Andrew M. Labrie | US | Westfield | 2012-11-22 / 20120292260 - WATER PURIFICATION SYSTEM | 2 |
Jacques J. Labrie | US | Sunnyvale | 2014-02-06 / 20140040185 - INTENT BASED AUTOMATION OF DATA MANAGEMENT OPERATIONS BY A DATA MANAGEMENT ENGINE | 2 |
Aaron Labrie | US | Oregon City | 2014-07-10 / 20140190529 - APPARATUS AND METHOD FOR EDGE BEVEL REMOVAL OF COPPER FROM SILICON WAFERS | 3 |
Gerard J. Labrie | US | Cypress | 2014-09-11 / 20140251072 - SKATEBOARD/SNOWBOARD SPINNING KNOB HANDLE | 1 |
Daniel Labrie | CA | St-Jean-Chrysostome | 2009-02-12 / 20090038651 - NO CONTACT CARWASH SYSTEM | 1 |
Daniel Labrie | CA | Halifax | 2014-03-20 / 20140078507 - DEVICES AND METHODS FOR MEASURING LIGHT | 1 |
Jacques Labrie | CA | Chicoutimi | 2009-01-29 / 20090030256 - ELECTROCHEMICAL REMOVAL OF DISSOCIABLE CYANIDES | 1 |
Philippe Labrie | CA | Quebec | 2012-01-26 / 20120022049 - NICOTINIC RECEPTOR AGONISTS FOR THE TREATMENT OF INFLAMMATORY DISEASES | 3 |
Steve Labrie | CA | Maria | 2014-01-02 / 20140003896 - TRAILER FOR LIFTING A HEAVY LOAD AND METHOD FOR LIFTING THE HEAVY LOAD USING THE SAME | 1 |
Michael James Labrie | US | Marblehead | 2014-04-10 / 20140101073 - Methods and Systems for Determining an Investment Portfolio Withdrawal Rate | 1 |
Jean-Sebastien Labrie | CA | Sherbrooke | 2014-05-08 / 20140124336 - Oven Chain Measurement System | 1 |
Robert Gerard Labrie | US | Tucson | 2012-12-06 / 20120311282 - MIGRATION OF METADATA AND STORAGE MANAGEMENT OF DATA IN A FIRST STORAGE ENVIRONMENT TO A SECOND STORAGE ENVIRONMENT | 2 |
Fernand Labrie | CA | Quebec | 2016-03-03 / 20160058774 - SEX STEROID PRECURSORS ALONE OR IN COMBINATION WITH SELECTIVE ESTROGEN RECEPTOR MODULATORS FOR THE PREVENTION AND TREATMENT OF DYSPAREUNIA IN POSTMENOPAUSAL WOMEN | 10 |
Fernand Labrie | CA | Sainte-Foy | 2010-09-09 / 20100227855 - HELIX 12 DIRECTED NON-STEROIDAL ANTIANDROGENS | 1 |
Frederic Labrie | CA | Boucherville | 2015-04-09 / 20150099082 - PRINTED LABELS AND METHOD OF MAKING SAME | 2 |
Fernand Labrie | CA | Quebec City | 2013-01-03 / 20130005700 - PHARMACEUTICAL COMPOSITIONS | 9 |
Jacob Labrie | US | Broomfield | 2016-04-07 / 20160098802 - METHOD AND SYSTEM FOR CONVERTING RESOURCE NEEDS TO SERVICE DESCRIPTIONS | 1 |
Samuel T. Labrie | US | Austin | 2015-10-01 / 20150276765 - Methods and Devices for Detecting Diabetic Nephropathy and Associated Disorders | 11 |
Jonatan Labrie | CA | St-Henri | 2015-12-24 / 20150366437 - IMAGE RELAYING CANNULA WITH DETACHABLE SELF-ALIGNING CONNECTOR | 1 |
Jason Labrie | US | Southampton | 2013-04-11 / 20130087732 - PRESSURE VALVE CONTROLLER INCLUDING A DIAPHRAGM RETENTION DEVICE | 2 |
Fernand Labrie | CA | Quebec City | 2013-01-03 / 20130005700 - PHARMACEUTICAL COMPOSITIONS | 9 |
Jacques Joseph Labrie | US | Sunnyvale | 2009-06-04 / 20090144296 - WEB 2.0 SYSTEM AND METHOD FOR DYNAMIC CATEGORIZATION OF HETEROGENEOUS AND REGULATED ENTERPRISE ASSETS | 3 |
Samuel T. Labrie | US | Austin | 2015-10-01 / 20150276765 - Methods and Devices for Detecting Diabetic Nephropathy and Associated Disorders | 11 |
Zachary Labrie | US | Broomfield | 2016-04-07 / 20160098802 - METHOD AND SYSTEM FOR CONVERTING RESOURCE NEEDS TO SERVICE DESCRIPTIONS | 4 |
Fernand Labrie | CA | Quebec | 2016-03-03 / 20160058774 - SEX STEROID PRECURSORS ALONE OR IN COMBINATION WITH SELECTIVE ESTROGEN RECEPTOR MODULATORS FOR THE PREVENTION AND TREATMENT OF DYSPAREUNIA IN POSTMENOPAUSAL WOMEN | 10 |
Randi Labrier | US | Ravenna | 2013-09-19 / 20130243236 - WIRELESS HEADPHONE JEWELRY | 1 |
Aran Frank Labrijn | NL | Amsterdam | 2015-12-31 / 20150376282 - ANTIBODY VARIANTS HAVING MODIFICATIONS IN THE CONSTANT REGION | 9 |
Aran Frank Labrijn | NL | Amsterdam | 2015-12-31 / 20150376282 - ANTIBODY VARIANTS HAVING MODIFICATIONS IN THE CONSTANT REGION | 9 |
Aran Frank Labrijn | NL | Nigtevecht | 2015-12-10 / 20150353636 - HUMAN IGG1 FC REGION VARIANTS AND USES THEREOF | 14 |
Aran Frank Labrijn | NL | Nigtevecht | 2015-12-10 / 20150353636 - HUMAN IGG1 FC REGION VARIANTS AND USES THEREOF | 14 |
Aran Frank Labrijn | NL | Utrecht | 2016-02-18 / 20160046727 - HETERODIMERIC ANTIBODY FC-CONTAINING PROTEINS AND METHODS FOR PRODUCTION THEREOF | 2 |
Leticia Labriola | BR | Sao Paulo | 2014-04-24 / 20140113347 - Biopolymer Composition for Encapsulating Cells, Method for Producing a Biopolymer Composition for Encapsulating Cells, Method for Promoting Cell Cytoprotection and Use of a Biopolymer Composition for Encapsulating Cells | 1 |
Rafael Alberto Labriola | AR | Beccar | 2009-08-13 / 20090203724 - SOLID AND CRYSTALLINE DUTASTERIDE AND PROCESSES FOR PREPARATION THEREOF | 1 |
Rafael A. Labriola | AR | Buenos Aires | 2010-08-05 / 20100197935 - CRYSTALLINE FORM OF THE ZOLEDRONIC ACID, A PROCESS TO OBTAIN IT AND THE PHARMACEUTICAL COMPOSITION COMPRISING IT | 1 |
Rafael Alberto Labriola | AR | Buenos Aires | 2010-08-05 / 20100197931 - CRYSTALLINE FORM OF THE ZOLEDRONIC ACID, A PROCESS TO OBTAIN IT AND THE PHARMACEUTICAL COMPOSITION COMPRISING IT | 1 |
Blaise F. Labriola | US | Park City | 2015-08-20 / 20150235242 - SYSTEM AND METHOD FOR INTERACTIVE FORECASTING, NEWS, AND DATA ON RISK PORTFOLIO WEBSITE | 1 |
Donald P. Labriola, Ii | US | La Verne | 2014-07-03 / 20140184030 - INTEGRATED MULTI-TURN ABSOLUTE POSITION SENSOR FOR HIGH POLE COUNT MOTORS | 1 |
Yvan Labrit | FR | Puget Sur Argens | 2011-06-09 / 20110137666 - MULTI-TRAVEL AGGREGATOR | 1 |
Marc A. Labroli | US | Moorestown | 2012-12-27 / 20120328691 - ANILINOPIPERAZINE DERIVATIVES AND METHODS OF USE THEREOF | 4 |
Robert David Labrom | AU | Taringa | 2014-01-09 / 20140012381 - JOINT IMPLANT AND A SURGICAL METHOD ASSOCIATED THEREWITH | 2 |
Robert David Labron | AU | Taringa | 2015-03-26 / 20150088207 - Method of Using Spine Stabilization System With Dynamic Screw | 1 |
Nicos Labropoulos | US | Coran | 2014-10-16 / 20140309672 - CLOT BUSTER ASPIRATION CATHETER | 1 |
Nathan D. Labrosse | US | Reno | 2015-03-26 / 20150087420 - INPUT DEVICE WITH MEMBRANE TO IMPEDE ENTRANCE OF FOREIGN CONTAMINATES INTO AN ELECTRIC GAMING MACHINE | 3 |
Arnaud Labrosse | BE | Manage | 2009-10-08 / 20090252809 - Microcapsules From Emulsion Polymerization of Tetraalkoxysilane | 2 |
Paul R. Labrosse | US | Forest Lake | 2010-07-29 / 20100186659 - WATER CONTACT INDICATOR | 1 |
Philip R. Labrosse | US | Arden Hills | 2012-10-18 / 20120262108 - RECHARGE TUNING TECHNIQUES FOR AN IMPLANTABLE DEVICE | 1 |
Matthew Ryan Labrosse | US | Maple Grove | 2015-10-08 / 20150284859 - CORROSION INHIBITING COMPOSITIONS | 2 |
Jean-Robert Labrosse | FR | Paris | 2013-10-31 / 20130289031 - PYRIMIDINONE DERIVATIVES, PREPARATION THEREOF AND PHARMACEUTICAL USE THEREOF | 1 |
Jean Robert Labrosse | FR | Saint Hilaire De Beauvoir | 2015-03-12 / 20150073153 - PROCESSES FOR THE PREPARATION OF 1-ARYL-5-ALKYL PYRAZOLE COMPOUNDS | 2 |
Jean-Claude Labrosse | FR | Charenton Le Pont | 2014-12-04 / 20140354104 - ROTOR FOR A ROTARY ELECTRIC MACHINE AND ROTARY ELECTRIC MACHINE COMPRISING SUCH A ROTOR | 2 |
Chad Labrosse | US | Lafayette | 2012-08-23 / 20120215705 - Methods And Systems For Providing An About Me User Interface For An Enterprise Social Network | 1 |
Daniel Labrosse | CA | Victoria | 2012-06-28 / 20120166616 - System and method for energy performance management | 1 |
Jean - Paul Labrosse | US | Altadena | 2015-08-06 / 20150222989 - Sound Management Systems for Improving Workplace Efficiency | 4 |
Jean-Robert Labrosse | FR | St. Hilaire De Beauvoir | 2009-02-19 / 20090048277 - PYRIDOPYRIMIDONE DERIVATIVES, PREPARATION THEREOF, THERAPEUTIC USE THEREOF | 1 |
Jean-Paul Labrosse | US | Palo Alto | 2009-03-26 / 20090080102 - MEDIA PLAYER WITH ACCELERATION PROTECTION | 1 |
Michael Labrot | DE | Aachen | 2015-02-26 / 20150052951 - CREATION OF GLAZING COMPRISING AN OPENING | 19 |
Maxime Labrot | FR | Bordeaux | 2012-04-19 / 20120090984 - METHOD AND APPARATUS FOR PURIFYING A SILICON FEEDSTOCK | 1 |
Yannis Labrou | US | Washington | 2015-04-16 / 20150106494 - CHARACTERIZATION OF DOMAIN NAMES BASED ON CHANGES OF AUTHORITATIVE NAME SERVERS | 11 |
Laurent Labrousse | FR | Saint Prim | 2009-05-21 / 20090130409 - SUBSTRATE COMPRISING A STACK HAVING THERMAL PROPERTIES | 2 |
Louis Labrousse | FR | Bordeaux | 2015-10-29 / 20150305870 - INTRA-OPERATIVE HEART SIZE MEASURING TOOL | 3 |
Paul Labrousse | US | Hubbardston | 2014-05-08 / 20140127674 - OPTIMIZED PROBES AND PRIMERS AND METHODS OF USING SAME FOR THE BINDING, DETECTION, DIFFERENTIATION, ISOLATION AND SEQUENCING OF INFLUENZA A; INFLUENZA B AND RESPIRATORY SYNCYTIAL VIRUS | 1 |
Gregory Labrousse | FR | Paris | 2012-10-04 / 20120253999 - INVOICING MANAGEMENT METHOD AND SYSTEM | 1 |
Laurent Labrousse | FR | Annonay | 2011-05-05 / 20110100424 - TRANSPARENT SUBSTRATE WITH ANTI-REFLECTION COATING | 1 |
Sophie Marie Labrousse Molla | FR | Amiens | 2014-11-20 / 20140342056 - CLOSURE LID | 2 |
Scott C. Labrozzi | US | Cary | 2016-05-12 / 20160134881 - PARALLEL MEDIA ENCODING | 14 |
Scott C. Labrozzi | US | Cary | 2016-05-12 / 20160134881 - PARALLEL MEDIA ENCODING | 14 |
Scott Christopher Labrozzi | US | Cary | 2015-07-16 / 20150200987 - SYSTEM AND METHODS FOR DYNAMIC TRANSCODER RATE ADAPTION FOR ADAPTIVE BIT RATE STREAMING | 2 |
Jeffrey Ryan Labrum | US | San Diego | / - | 1 |
Philippe Labrunie | FR | Clermont-Ferrand | 2013-11-07 / 20130296471 - TYRE TREAD | 2 |
Antoine Labrunie | FR | Chambery | 2008-11-13 / 20080278118 - Method for charging a storage element of an autonomous system | 2 |
Antoine Labrunie | FR | Paris | 2012-07-26 / 20120187912 - METHOD FOR USING A STAND-ALONE SYSTEM CONNECTED TO A BATTERY | 5 |
Ronald F. Labruno | US | Mount Prospect | 2010-02-18 / 20100040752 - Adjustable Antilip System for a Patty Forming Machine | 2 |
Carla Labruzzo | IT | Milano (mi) | 2013-06-06 / 20130142880 - BECLOMETHASONE DIPROPIONATE COMPOSITIONS IN MODIFIED-RELEASE GASTRO-RESISTANT MICROSPHERES AND PROCESS FOR OBTAINING THEM | 1 |
Carla Labruzzo | IT | Milano | 2013-03-28 / 20130079399 - NOVEL DERIVATIVES OF MESALAZINE, PROCESS OF THEIR PREPARATION AND THEIR USE IN THE TREATMENT OF INTESTINAL INFLAMMATORY DISEASES | 1 |
Zachary A. Labry | US | Somerville | 2011-07-07 / 20110165530 - SWIRL-COUNTER-SWIRL MICROJETS FOR THERMOACOUSTIC INSTABILITY SUPPRESSION | 1 |
Albrecht Labsch | CZ | Lovosice | 2015-09-10 / 20150252500 - YARN HAVING FLAME-RETARDANT EFFECT AND TEXTILE FABRIC FORMED THEREFROM | 1 |
Martin Labsky | CZ | Prague | 2016-04-28 / 20160117360 - Contextual Search Disambiguation | 5 |
Martin Labsky | CZ | Praha 4 | 2016-02-04 / 20160035348 - Speech-Based Search Using Descriptive Features of Surrounding Objects | 4 |
Martin Labsky | CZ | Praha | 2013-06-27 / 20130166280 - Concept Search and Semantic Annotation for Mobile Messaging | 2 |
Ivica Labuda | US | Norwood | 2014-11-27 / 20140348764 - Methods of Blocking Ultraviolet Radiation and Promoting Skin Growth Using Terpenes and Terpenoids | 4 |
Darrin Labuda | US | Gresham | 2015-12-03 / 20150344175 - MOVABLE MODULAR SYSTEM FOR STACKING FREIGHT | 2 |
David Labuda | US | Hillsborough | 2015-07-02 / 20150189094 - DETERMINING COMMUNICATIONS CHARGING | 1 |
Aleksander Labuda | CA | Montreal | 2015-01-15 / 20150020245 - METHODS AND SYSTEMS FOR OPTIMIZING FREQUENCY MODULATION ATOMIC FORCE MICROSCOPY | 3 |
David S. Labuda | US | Los Altos Hills | 2012-04-05 / 20120084797 - TRANSACTION IN MEMORY OBJECT STORE | 3 |
David Labuda | US | Palo Alto | 2015-01-15 / 20150019481 - CONDITIONAL COMMIT FOR DATA IN A DATABASE | 11 |
Tord Labuda | SE | Malmo | 2008-09-04 / 20080213817 - Enhancement of Th2-Dependent and Inflammatory Response | 1 |
Aleksander Labuda | US | Goleta | 2015-10-29 / 20150309071 - AM/FM Measurements Using Multiple Frequency of Atomic Force Microscopy | 2 |
Damian Labuda | CA | Montreal | 2010-07-01 / 20100167280 - OLIGONUCLEOTIDES FOR DISCRIMINATING RELATED NUCLEIC ACID SEQUENCES | 1 |
Matthew James Labuda | US | Fogelsville | 2013-11-14 / 20130299023 - Pressure Swing Adsorption System with Indexed Rotatable Multi-Port Valves | 4 |
David Labuda | US | Palo Alto | 2015-01-15 / 20150019481 - CONDITIONAL COMMIT FOR DATA IN A DATABASE | 11 |
Serguei Labuda | FR | Poitiers | 2010-08-26 / 20100212631 - COMBUSTION ENGINE AND METHOD OF CONTROLLING A COMBUSTION ENGINE | 1 |
Pamela L. Labuhn | US | Shelby Township | 2013-09-12 / 20130238212 - SYSTEM AND METHOD FOR VALIDATING ADAPTIVE CRUISE CONTROL OPERATIONS | 1 |
Silke Labuk | DE | Weilerbach | 2015-02-12 / 20150041611 - LOCKING DEVICE AND VEHICLE SEAT | 4 |
Jason M. Labumbard | US | Washington | 2012-11-29 / 20120304300 - ENTERPRISE VULNERABILITY MANAGEMENT | 1 |
Andrew Labun | CA | Kelowna | 2014-07-10 / 20140195183 - METHOD AND SYSTEM FOR ESTIMATING A DIFFUSION POTENTIAL OF A DIFFUSIVE PROPERTY | 3 |
Nicholas Labun | US | Chicago | 2014-06-05 / 20140154967 - SYSTEM, METHOD, AND DEVICE TO CONTROL WIRELESS COMMUNICATIONS | 1 |
Lance Labun | US | Tempe | 2016-05-05 / 20160121765 - STRUCTURE AND METHOD FOR PROTECTING A PASSENGER DURING A CRASH | 1 |
Brian E. Labus | US | Waukegan | 2014-05-01 / 20140120507 - Psyche Trainer and Method of Use | 1 |
Michel Labuschagne | ZA | Reddersburg | 2009-11-05 / 20090275077 - Methods of Obtaining Optically Active Epoxides and Vicinal Diols from Styrene Oxides | 1 |
Albertus A. Labuschagne | ZA | Sandton | 2014-09-18 / 20140261039 - DETONATOR ASSEMBLY | 1 |
Albertus Abraham Labuschagne | ZA | Sandton | 2010-06-03 / 20100132576 - Detonator System | 1 |
Pieter Johannes Labuschagne | SA | Klerksdorp | 2015-05-21 / 20150136285 - AIRLESS TYRE FOR VEHICLES | 1 |
Jaen Frederik Labuschagne | ZA | Cape Town | 2016-05-12 / 20160129253 - APPARATUS AND METHODS FOR CONVERTING AN AUDIO SIGNAL INTO POWER AND FOR GENERATING A BRAIN STIMULATION SIGNAL BASED ON AN AUDIO SIGNAL | 4 |
Johan Labuschagne | ZA | Free State | 2011-09-01 / 20110213042 - METHOD FOR THE PREPARATION OF A HYDROCARBON SYNTHESIS CATALYST AND THE USE THEREOF IN A HYDROCARBON SYNTHESIS PROCESS | 1 |
Michel Labuschagne | ZA | Pretoria | 2008-09-04 / 20080213833 - Methods for Obtaining Optically Active Glycidyl Ethers and Optically Active Vicinal Diols from Racemic Substrates | 2 |
Jaen Frederik Labuschagne | ZA | Western Cape Province | 2011-08-25 / 20110208257 - CRANIAL ELECTROSTIMULATION METHOD, EQUIPMENT AND ELECTRODE UNIT | 2 |
Casper A. Labuschagne | US | Pullman | 2013-10-24 / 20130282197 - Systems and Methods for Synchronized Control of Electrical Power System Voltage Profiles | 7 |
Albertus Abraham Labuschagne | ZA | Brakpan | 2015-04-02 / 20150090144 - DETONATOR INCLUDING A SENSING ARRANGEMENT | 3 |
Lou Labuski - Brown | US | Mansfield | 2010-01-07 / 20100000886 - Towelette for sanitizing items placed in mouth | 1 |
John W. Labuszewski | US | Westmont | 2014-08-07 / 20140222659 - COLLATERALIZED LENDING USING A CENTRAL COUNTERPARTY | 10 |
John Labuszewski | US | Westmont | 2016-03-24 / 20160086264 - Market Dynamic Variable Price Limits | 77 |
John Labuszewski | US | Westmont | 2016-03-24 / 20160086264 - Market Dynamic Variable Price Limits | 77 |
John W. Labuszewski | US | Westmont | 2014-08-07 / 20140222659 - COLLATERALIZED LENDING USING A CENTRAL COUNTERPARTY | 10 |
Cornel Labuwy | DE | Remscheid | 2012-12-27 / 20120326485 - INCLINATION ADJUSTER FOR VEHICLE SEATS | 2 |
Przemyslaw Labuz | PL | Krakow | 2015-06-25 / 20150174569 - PHOTOCATALYTIC TIO2 COATINGS ON THE POLYMER SURFACES ACTIVATED WITH VISIBLE LIGHT, METHOD OF THEIR PREPARATION AND USE THEREOF | 3 |
Keith Philip Laby | US | Santa Barbara | 2008-09-11 / 20080221731 - Method for operating a medical robotic system by stopping movement of a surgical instrument about a pivot point or issuing a warning if the pivot point moves beyond a thershold value | 1 |
Keith P. Laby | US | Santa Barbara | 2013-06-20 / 20130155221 - MOBILE ROBOT WITH A HEAD-BASED MOVEMENT MAPPING SCHEME | 3 |
Keith P. Laby | US | San Francisco | 2014-11-06 / 20140330080 - SYSTEM FOR CONTROLLING AN INSTRUMENT USING SHAPE SENSORS | 3 |
Keith Phillip Laby | US | San Francisco | 2013-09-05 / 20130231687 - SURGICAL SUTURING LATCH | 4 |
Jordan M. Laby | US | Ventura | 2008-10-16 / 20080250581 - Method and apparatus for improving the performance of suction powered pool cleaning systems | 2 |
Keith Phillip Laby | US | Santa Barbara | 2014-06-05 / 20140156069 - MEDICAL TELE-ROBOTIC SYSTEM WITH A MASTER REMOTE STATION WITH AN ARBITRATOR | 7 |
Keith Phillip Laby | US | Oakland | 2016-02-18 / 20160046024 - MULTI-CAMERA MOBILE TELECONFERENCING PLATFORM | 7 |
Abdelaziz Labyad | FR | Lyon | 2013-04-25 / 20130102705 - PROCESS FOR TRANSPORTATION OF A HYDRAULIC COMPOSITION | 1 |
Yassin Labyed | US | Los Alamos | 2014-12-11 / 20140364738 - WINDOWED TIME-REVERSAL MUSIC TECHNIQUE FOR SUPER-RESOLUTION ULTRASOUND IMAGING | 2 |
Walter Paul Labys | US | Logan | 2014-10-16 / 20140308978 - SYSTEM FOR COLLECTING, ANALYZING, AND TRANSMITTING INFORMATION RELEVANT TO TRANSPORTATION NETWORKS | 2 |
Walter Paul Labys | US | Salt Lake City | 2013-04-18 / 20130097664 - SECURE DATA INTERCHANGE | 3 |
Walter Paul Labys | US | Fairfax | 2014-10-30 / 20140324453 - Database for Pre-Screening Potentially Litigious Patients | 4 |
Etienne Labyt | FR | St. Martin Le Vinoux | 2014-04-17 / 20140107464 - Method for Locating a Brain Activity | 1 |
Etienne Labyt | FR | St Martin De Vinoux | 2016-03-17 / 20160073916 - Method For Locating A Brain Activity, In Particular For Direct Neural Control | 2 |
Etienne Labyt | FR | Saint Martin Le Vinoux | 2016-02-25 / 20160051162 - METHOD FOR LOCATING A BRAIN ACTIVITY ASSOCIATED WITH A TASK | 2 |
Carla Labzzuo | IT | Milan | 2012-06-07 / 20120141592 - CONTROLLED-RELEASE GRANULAR COMPOSITIONS CONTAINING MESALAZINE AND PROCESS FOR THE MANUFACTURE THEREOF | 1 |
Philippe Lac | FR | Chambery | 2014-03-06 / 20140065028 - CEMENT CLINKER MANUFACTURING PLANT | 1 |
Étienne Lac | US | Cambridge | 2014-12-11 / 20140361778 - APPARATUS AND METHODS FOR MEASURING SPONTANEOUS POTENTIAL OF AN EARTH FORMATION | 1 |
My H. Lac | US | Westborough | 2014-10-02 / 20140292082 - POWER MANAGER | 2 |
Alan Lac | US | Berkeley | 2016-01-28 / 20160027198 - ANIMATED AUDIOVISUAL EXPERIENCES DRIVEN BY SCRIPTS | 1 |
Hao Lac | CA | Guelph | 2009-01-22 / 20090024375 - METHOD, SYSTEM AND COMPUTER PROGRAM PRODUCT FOR LEVINTHAL PROCESS INDUCTION FROM KNOWN STRUCTURE USING MACHINE LEARNING | 1 |
Corinne Lac | FR | Beynost | 2008-12-25 / 20080316747 - Luminaire with Louver Members | 1 |
Marta Lacaba | ES | Tudela | 2008-11-20 / 20080282818 - Sensors with nanoparticles | 1 |
Colette Lacabanne | FR | Toulouse | 2014-09-11 / 20140256843 - BIOMATERIAL FOR OSTEOSYNTHESIS | 5 |
Keith Andrew Lacabe | US | San Francisco | 2013-05-23 / 20130125778 - AUTOMATED VEHICLE CONVEYANCE APPARATUS TRANSPORTATION SYSTEM | 1 |
Ignacio Lacadena Garcia-Gallo | ES | Madrid | 2011-02-24 / 20110044406 - SIGNALLING METHOD AND APPARATUS USING FREQUENCY PILOTS BASED ON COMPLEMENTARY SEQUENCES | 1 |
Claudio Lacagnina | IT | Milano | 2008-10-23 / 20080257476 - Method and Apparatus for Manufacturing Pneumatic Tyres | 2 |
John Lacagnina | US | Rochester | / - | 1 |
Michael C. Lacagnina | US | Penfield | 2012-12-20 / 20120320388 - RELEVANCE BASED PRINT INTEGRITY VERIFICATION | 2 |
Mike Lacagnina | US | Penfield | 2009-07-23 / 20090185201 - SYSTEMS AND METHODS FOR PARAMETERIZED SPOT COLOR RENDERING | 1 |
Jerome Henri Noel Lacaille | FR | Rosny Sous Bois | 2015-04-30 / 20150120214 - NON-REGRESSION METHOD OF A TOOL FOR DESIGNING A MONITORING SYSTEM OF AN AIRCRAFT ENGINE | 5 |
Jérôme Lacaille | FR | Rosny Sous Bois | 2011-12-15 / 20110307431 - STANDARDIZING DATA USED FOR MONITORING AN AEROENGINE | 2 |
Jerome Lacaille | FR | Rosny Sous Bois | 2016-04-14 / 20160103038 - METHOD AND SYSTEM FOR THE VIBRATION ANALYSIS OF AN ENGINE | 3 |
Franck Lacaille | FR | Octeville Sur Mer | 2013-01-17 / 20130015040 - DEVICE FOR GRIPPING A BLOW-MOULDING CONTAINER PREFORMAANM Leroux; JulienAACI Octeville-Sur-MerAACO FRAAGP Leroux; Julien Octeville-Sur-Mer FRAANM Lacaille; FranckAACI Octeville-Sur-MerAACO FRAAGP Lacaille; Franck Octeville-Sur-Mer FRAANM Bertin; PascalAACI Octeville-Sur-MerAACO FRAAGP Bertin; Pascal Octeville-Sur-Mer FRAANM Dujardin; WillyAACI Octeville-Sur-MerAACO FRAAGP Dujardin; Willy Octeville-Sur-Mer FR | 2 |
Pedro Miguel Lacal | IT | Rome | 2009-12-17 / 20090312266 - PEPTIDE DERIVED FROM VASCULAR ENDOTHELIAL GROWTH FACTOR RECEPTOR-1 BINDING INTEGRIN ALPHA5BETA1 HAVING PROANGIOGENIC ACTIVITY | 1 |
Jose C. Lacal | US | Boynton Beach | 2012-06-21 / 20120158704 - GEOSPATIAL INCONSISTENCIES IDENTIFICATION DATA SYSTEM BASED ON CONTRACTUAL RIGHTS AND GEOGRAPHICAL NETWORK ANALYSIS | 3 |
Jesús Lacalle Bayo | ES | Valencia | 2013-09-19 / 20130239343 - VEHICLE FOR CLEANING MIRRORS IN INSTALLATIONS FOR COLLECTING SOLAR THERMAL ENERGY | 1 |
Jesús Lacalle Bayo | ES | Valencia | 2013-09-19 / 20130239343 - VEHICLE FOR CLEANING MIRRORS IN INSTALLATIONS FOR COLLECTING SOLAR THERMAL ENERGY | 1 |
Juan Carlos Lacal Sanjuan | ES | Madrid | 2015-10-22 / 20150297576 - METHODS AND COMPOSITIONS FOR THE TREATMENT OF CANCER | 11 |
Juan Carlos Lacal Sanjuan | ES | Madrid | 2015-10-22 / 20150297576 - METHODS AND COMPOSITIONS FOR THE TREATMENT OF CANCER | 11 |
Juan Carlos Lacal Sanjuán | ES | Madrid | 2011-07-21 / 20110178124 - PYRIDINIUM AND QUINOLINIUM DERIVATIVES | 1 |
Joaquin Lacambra | FR | Vernaison | 2015-07-30 / 20150210617 - METHOD FOR PRODUCING DIFLUOROMETHANE | 1 |
Francis M. Lacan | GB | London | 2008-09-11 / 20080222429 - DATA MANAGEMENT SYSTEM | 1 |
Pascale Lacan | FR | Paris | 2016-01-28 / 20160024643 - Method for depositing an amorphous layer primarily containing fluorine and carbon, and device suited for carrying out this method | 6 |
Jerome Lacan | FR | Toulouse | 2014-05-15 / 20140136923 - METHOD FOR ENCODING DATA IN BURSTS | 3 |
Dominique Lacan | FR | Montpellier | 2015-11-19 / 20150327558 - Composition for Stimulating Plant Vitality | 1 |
Jérôme Lacan | FR | Toulouse | 2011-12-29 / 20110317547 - METHOD AND DEVICE FOR THE RELIABLE TRANSMISSION OF DATA PACKET FLOWS WITH COMPRESSED HEADERS WITHOUT INCREASING THE FLOW RATE | 1 |
Jerome Lacan | FR | Trets | 2013-01-10 / 20130013820 - METHOD FOR INITIALIZING REGISTERS OF PERIPHERALS IN A MICROCONTROLLER | 1 |
Kerry D. Lacanette | US | Tucson | 2013-06-20 / 20130156576 - Method and Apparatus for Monitoring Electromechanical Device Performance and Reliability | 1 |
Francesco Lacapra | US | Sunnyvale | 2015-10-01 / 20150281360 - Software-Defined Network Attachable Storage System and Method | 17 |
Oscar Lacarra Fernandez | ES | Peralta | 2012-11-01 / 20120276829 - COIN DISPENSER | 2 |
Emanuel Lacarrubba | US | Novato | 2016-03-24 / 20160088387 - ACOUSTICAL TRANSVERSE HORN FOR CONTROLLED HORIZONTAL AND VERTICAL SOUND DISPERSION | 2 |
Joseph H. Lacarte | US | Belle Vernon | 2011-11-10 / 20110273031 - BUSHING EXPLOSION CONTAINMENT DEVICE AND METHOD OF USING THE SAME | 1 |
Marc-André Lacas | CA | Laval | 2012-10-04 / 20120247050 - COVERING UNIT | 3 |
Marc-Andre Lacas | CA | Laval | 2014-07-24 / 20140205807 - ARTIFICIAL STONE | 4 |
Marc-Andre Lacas | FR | Merignac | 2016-05-12 / 20160130780 - RETAINING WALL | 2 |
Marc-André Lacas | CA | Laval | 2012-10-04 / 20120247050 - COVERING UNIT | 3 |
Danièle Lacasa | FR | Poissy | 2013-07-18 / 20130183706 - Method for Culturing Adipocytes | 1 |
Danièle Lacasa | FR | Poissy | 2013-07-18 / 20130183706 - Method for Culturing Adipocytes | 1 |
Danièle Lacasa | FR | Poissy | 2013-07-18 / 20130183706 - Method for Culturing Adipocytes | 1 |
Aida Lacasa Mas | ES | Barcelona | 2009-07-02 / 20090170816 - COMBINATION COMPRISING SQUALENE, A PHOSPHOLIPID AND AN OMEGA 3 FATTY ACID FOR THE TREATMENT OF CANCER | 1 |
Diana Lacasa Mas | ES | Barcelona | 2009-07-02 / 20090170816 - COMBINATION COMPRISING SQUALENE, A PHOSPHOLIPID AND AN OMEGA 3 FATTY ACID FOR THE TREATMENT OF CANCER | 1 |
Leo J. Lacascia, Jr. | US | Highlands Ranch | 2012-11-08 / 20120284250 - ENHANCED SEARCH ENGINE | 2 |
Marie-Noelle Lacassagne | FR | Paris | 2009-10-29 / 20090269310 - METHOD FOR OBTAINING HUMAN SMOOTH MUSCULAR CELLS AND USES THEREOF | 1 |
Sylvain Lacasse | CA | Levis | 2016-03-03 / 20160063846 - HOSPITAL BED WITH PATIENT WEIGHT AND DISPLACEMENT SENSORS | 2 |
Roger Lacasse | CA | Notre-Dame Du Portage | 2012-06-07 / 20120138482 - SELF-CLEANING ELECTRO-REACTION UNIT FOR WASTEWATER TREATMENT AND RELATED PROCESS | 1 |
Maurice Lacasse | CA | Sherbrooke | 2015-10-29 / 20150306630 - METHOD FOR INSULATING A HONEYCOMB CATALYST | 1 |
Randy Lacasse | US | Fairfax Station | 2012-06-07 / 20120143842 - IMAGE ELEMENT SEARCHING | 1 |
Daniel Patrick Lacasse | US | Leominister | 2012-06-07 / 20120141497 - METHODS OF PURIFYING SMALL MODULAR IMMUNOPHARMACEUTICAL PROTEINS | 1 |
Claude Lacasse | US | 2009-01-08 / 20090009304 - Testing Apparatus for Vehicles and Trailers | 1 | |
Hubert Lacasse | CA | Mount Currie | 2009-12-03 / 20090293373 - SYSTEM AND METHOD FOR CONSTRUCTION OF LOG STRUCTURE | 1 |
Simon Lacasse | CA | St-Hubert | 2010-02-11 / 20100032453 - Fluid dispenser | 1 |
Louis Lacasse | CA | Mascouche | 2010-03-25 / 20100072410 - ACTUATOR FOR OPERATING VALVES SUCH AS DIAPHRAGM VALVES | 1 |
Sylvain Lacasse | CA | St-Romuald | 2010-07-15 / 20100175196 - PATIENT SUPPORT | 2 |
Sylvain Lacasse | CA | Saint-Romuald | 2011-12-08 / 20110301516 - PATIENT/INVALID HANDLING SUPPORT | 3 |
Maurice Lacasse | CA | Chambly | 2014-04-24 / 20140110338 - Water Treatment Apparatus and Method | 3 |
Christine Lacasse | US | West Palm Beach | 2014-09-18 / 20140259405 - PROTECTIVE BED COVER ADAPTED FOR PETS | 1 |
Steve Brian Lacasse | US | Port Saint Lucie | 2016-04-28 / 20160113242 - THERMOPLASTIC STONE BONE | 1 |
Maurice Lacasse | CA | Stoke | 2015-10-29 / 20150307395 - INSULATION MATERIAL | 3 |
Pierre Lacasse | CA | Lennoxville | 2008-08-28 / 20080207491 - Method and composition for treatment and/or prevention of antibiotic-resistant microorganism infections | 1 |
Mark E. Lacasse | US | Bloomfield Hills | 2016-04-28 / 20160116321 - MEASURING AND DISPENSING CAP WITH INTERNAL MEASURING CHAMBERS | 1 |
Sylvin Lacasse | US | Portage | 2011-12-01 / 20110289691 - PATIENT/INVALID HANDLING SUPPORT | 1 |
Sylvain Lacasse | CA | St. Nicoles | 2013-03-14 / 20130061396 - PATIENT/INVALID HANDLING SUPPORT | 1 |
Serge Lacasse | CA | Saint-Romuald | 2014-11-13 / 20140335901 - SYSTEM, METHODS AND NODES FOR PROVIDING ZONE-BASED SERVICES BASED ON PAIRINGS BETWEEN COMPATIBLE PROFILES | 1 |
Gaston Lacasse | CA | St-Henrt | 2011-04-21 / 20110088316 - TUBING, A SYSTEM CONTAINING TUBING FOR AND METHOD FOR REMOVING SAP FROM A TREE | 1 |
Richard Lacasse | CA | Beauport | 2011-04-28 / 20110097781 - IMMOBILIZED BIOLOGICAL MATERIAL WITH IMPROVED FUNCTIONALITY AND METHOD FOR PRODUCING THE SAME | 1 |
Gaston Lacasse | CA | St-Henri | 2011-05-12 / 20110108558 - SELF COOLING CONTAINER | 1 |
Robert Lacasse | CA | Mont Saint-Hilaire | 2010-03-25 / 20100071811 - AMORPHOUS Fe100-a-bPaMb ALLOY FOIL AND METHOD FOR ITS PREPARATION | 1 |
James P. Lacasse | US | Portland | 2015-10-01 / 20150273656 - POLISHING PAD WITH FOUNDATION LAYER AND POLISHING SURFACE LAYER | 8 |
Nicholas Lacasse | US | Los Angeles | 2015-07-23 / 20150205774 - SYSTEMS AND METHODS FOR ANCHORING CONTENT OBJECTS TO STRUCTURED DOCUMENTS | 1 |
Christopher S. Lacasse | US | Grafton | 2011-05-05 / 20110106771 - Fixed content storage within a partitioned content platform, with disposition service | 2 |
Nicolas Lacasse | US | Los Angeles | 2014-11-20 / 20140344367 - SYSTEMS AND METHODS FOR SHARING USER GENERATED SLIDE OBJECTS OVER A NETWORK | 3 |
Pierre Lacasse | CA | Granby | 2008-10-30 / 20080264606 - PISTON RESET APPARATUS FOR A MULTICHIP MODULE AND METHOD FOR RESETTING PISTONS IN THE SAME | 1 |
Maurice Lacasse | CA | Quebec | 2009-06-11 / 20090149310 - PULLING ROLL MATERIAL FOR MANUFACTURE OF SHEET GLASS | 1 |
Randy W. Lacasse | US | Fairfax Station | 2011-12-01 / 20110295861 - SEARCHING USING TAXONOMY | 7 |
Marc-Antoine Lacasse | CA | Quebec | 2013-05-09 / 20130112645 - PASSIVELY ACTUATED BRAKING SYSTEM | 2 |
Steven Bi Lacasse | US | Port Saint Lucie | 2011-10-27 / 20110258961 - Wall structure assembly | 2 |
Martin Lacasse | CA | Levis | 2009-07-09 / 20090175411 - Methods and systems for use in security screening, with parallel processing capability | 1 |
Martin Lacasse | CA | Montreal-Ouest | 2016-01-21 / 20160021209 - ODATA OFFLINE CACHE FOR MOBILE DEVICE | 2 |
Roger Lacasse | CA | Notre-Dame Du Portage, Quebec | 2009-09-17 / 20090230057 - TERTIARY SYSTEM AND PROCESS FOR TREATING A LIQUID EFFLUENT FROM AN ONSITE DOMESTIC SECONDARY TREATMENT UNIT | 1 |
Pierre Lacasse | CA | Sherbrooke | 2015-05-14 / 20150132335 - BACTERIAL VACCINE COMPONENTS AND USES THEREOF | 2 |
Marcel A. Lacasse | CA | Ottawa | 2012-09-27 / 20120242051 - ROLL-OFF BIN EQUIPPED WITH WHEELS | 1 |
Robert G. Lacasse | US | Flemington | 2012-12-06 / 20120308828 - ANTI-FOG POLYURETHANE COATING COMPOSITIONS | 1 |
Steve B. Lacasse | US | Port St. Lucie | 2013-06-13 / 20130149161 - Conical wind turbine | 1 |
James P. Lacasse | US | Portland | 2015-10-01 / 20150273656 - POLISHING PAD WITH FOUNDATION LAYER AND POLISHING SURFACE LAYER | 8 |
Catalin Lacatus | US | South Brunswick | 2010-04-01 / 20100080268 - CROSSCORRELATION INTERFERENCE MITIGATING POSITION ESTIMATION SYSTEMS AND METHODS THEREFOR | 1 |
Constantin Lacatus | CA | Hamilton | 2012-09-13 / 20120230683 - System and Method for Remotely Monitoring Communication Equipment and Signals | 1 |
Catalin Lacatus | US | San Diego | 2016-05-05 / 20160125866 - VARIABLE RATE ADAPTIVE ACTIVE NOISE CANCELLATION | 6 |
Anita Lacau | NO | Holmestrand | 2013-03-14 / 20130064981 - METHOD FOR MAKING AN ABSORBER COATING FOR SOLAR HEATING, THE COATING AS SUCH AND ITS APPLICATION | 1 |
Anica Lacau | NO | Holmestrand | 2010-09-23 / 20100236543 - Method for the production of an absorber plate for solar collectors | 1 |
Toni Lacava | US | Melbourne | 2009-07-30 / 20090188507 - Nasal Air Pillow Holder | 1 |
Ada Lacayo | US | Lower Burrell | 2011-11-10 / 20110274799 - Mold Inhibiting Emulsion | 1 |
Guillaume Lacaze | FR | Montech | 2014-05-29 / 20140148864 - ENDOSSEOUS IMPLANT HAVING IMPROVED ANCHORAGE | 1 |
Sebastien Lacaze | FR | Montpellier | 2011-10-06 / 20110246157 - METHOD FOR GEOLOGICALLY MODELING SEISMIC DATA BY TRACE CORRELATION | 1 |
Alberto Daniel Lacaze | US | Potomac | 2016-04-28 / 20160114487 - Robotic Swarm Localization Using Ranging Radios | 17 |
Isabelle Lacaze | FR | Colomiers | 2010-05-20 / 20100125381 - METHOD AND DEVICE OF TERRAIN AVOIDANCE FOR AN AIRCRAFT | 6 |
Alberto D. Lacaze | US | Germantown | 2009-11-05 / 20090276105 - ROBOTIC VEHICLE REMOTE CONTROL SYSTEM HAVING A VIRTUAL OPERATOR ENVIRONMENT | 1 |
Alberto Daniel Lacaze | US | Potomac | 2016-04-28 / 20160114487 - Robotic Swarm Localization Using Ranging Radios | 17 |
John R. Lacaze | US | Hampton Cove | 2012-11-01 / 20120274695 - Apparatuses for Printing on Generally Cylindrical Objects and Related Methods | 2 |
Alberto Daniel Lacaze | US | Germantown | 2010-06-24 / 20100159578 - Method and system for robotic algae harvest | 2 |
Brigitte Lacaze | FR | La Ciotat | 2016-05-19 / 20160140431 - METHOD FOR PRODUCING A RADIO-FREQUENCY DEVICE MAINTAINING ANISOTROPIC CONNECTION | 1 |
John Randel Lacaze | US | Hampton Cove | 2016-05-19 / 20160136967 - Digitally Printed Article | 3 |
Alverto Daniel Lacaze | US | Germantown | 2010-06-24 / 20100154298 - Open Ocean Floating Algae Farm | 1 |
Guylaine Lacaze | BE | Haillot | 2013-04-25 / 20130101699 - COMPOSITIONS RICH IN ARABINOXYLAN OLIGOSACCHARIDES | 1 |
Brigette Lacaze | FR | Aubagne | 2014-07-10 / 20140191046 - SECURED DOCUMENT INCLUDING A RADIOFREQUENCY IDENTIFICATION DEVICE | 1 |
Alain Lacaze | FR | Essert | 2011-06-09 / 20110131796 - GENERATOR WITH HIGH PHASE ORDER | 3 |
Jean-Marc Lacaze | LU | Niederfeulen | 2010-03-11 / 20100059156 - PNEUMATIC TIRE | 1 |
Tiziano Lacche | IT | Matelica | 2010-12-23 / 20100319677 - GAS BURNER SYSTEM FOR FOOD COOKING APPLIANCES | 1 |
Dominick Laccona | US | Wayne | 2012-11-15 / 20120289174 - COMPACT DUAL TRANSCEIVER MODULE FOR A SOFTWARE DEFINED TACTICAL RADIO | 2 |
Brian Richard Lace | GB | Derby | 2015-04-16 / 20150101331 - GAS TURBINE ENGINE | 1 |
Jeffrey J. Lace | US | Huntington Beach | 2011-03-03 / 20110048215 - SENSOR ASSEMBLY FOR STRINGED MUSICAL INSTRUMENTS | 1 |
Chad M. Lacek | US | Elgin | 2014-09-18 / 20140274630 - Information Item Forming Machine with Visual Inspection Unit and Method for Forming and Sorting Informational Items | 1 |
William P. Laceky | US | Georgetown | 2015-05-07 / 20150124090 - Systems and Method for Using Capacitors in Security Devices | 10 |
William P. Laceky | US | Georgetown | 2015-05-07 / 20150124090 - Systems and Method for Using Capacitors in Security Devices | 10 |
Michael G. Lacelle | US | Portland | 2009-12-24 / 20090317407 - AUGMENTATION OF IMMUNE RESPONSE TO CANCER VACCINE | 1 |
Margie Lacer | US | Cypress | 2012-06-21 / 20120153648 - WASTE DISPOSAL SCOOP AND METHOD OF USE | 1 |
Daniel Oliveira Lacerda | BR | Itajuba Mg | 2014-11-06 / 20140327509 - HIGH IMPEDANCE AIR CORE REACTOR | 1 |
Carlos M. Lacerda | US | Miami | 2015-11-19 / 20150327541 - Antibacterial Composition, Antibacterial Cases and Accessories for Handheld Electronics, and Method of Making Antibacterial Cases for Handheld Electronics | 1 |
Carla Maria Ribeiro Lacerda | US | Fort Collins | 2011-09-29 / 20110236949 - Methods for Processing Biological Tissues | 1 |
Francisco Lacerda | SE | Vailentuna | 2012-11-08 / 20120283594 - METHOD FOR DETERMINING HEARING THRESHOLDS | 1 |
Sara Lacerda | GB | Londres | 2016-02-04 / 20160030599 - PARTICLES COMPRISING LUMINESCENT LANTHANIDE COMPLEXES | 1 |
Fabio De Lacerda | BR | Campinas | 2012-01-19 / 20120013365 - LOW VOLTAGE DETECTOR | 3 |
Paulo Sérgio Lacerda Beirão | BR | Belo Horizonte | 2015-08-06 / 20150218233 - SYNTHETIC PNTX(19) PEPTIDE, PHARMACEUTICAL COMPOSITIONS AND USE | 1 |
Joseph Lacerte | CA | Montreal | 2011-04-28 / 20110094167 - MOBILE SERVICE STATION AND METHOD OF CONFIGURING THE SAME | 1 |
Rene Lacerte | US | Portola Valley | 2014-05-08 / 20140129431 - Enhanced System and Method For Private Interbank Clearing System | 4 |
Marc D. Lacerte | US | Lighthouse Point | 2015-05-14 / 20150129729 - BOARD MOUNT | 3 |
René Lacerte | US | Portola Valley | 2011-07-28 / 20110184868 - ENHANCED INVITATION PROCESS FOR ELECTRONIC BILLING AND PAYMENT SYSTEM | 2 |
Stephen Lacerte | US | San Diego | 2014-09-18 / 20140280882 - SYNCHRONIZATION AND ROUTING OF COMPONENTS AND DATA | 1 |
Haris Lacevic | BA | Sarajevo | 2012-03-15 / 20120065536 - SYSTEM AND METHOD FOR NEUROLOGICAL EVALUATION | 1 |
Ahmed Lacevic | US | Woodside | 2009-06-18 / 20090158185 - Adaptive map layer visibility control | 1 |
Joseph James Lacey | US | Waukesha | 2015-06-04 / 20150156920 - RADIATION DETECTING APPARATUS AND RADIATION TOMOGRAPHIC IMAGING APPARATUS | 6 |
Steven Michael Lacey | US | Dover | 2015-03-19 / 20150078147 - SYSTEM AND METHOD FOR USING STAINLESS STEEL AS A DATA ARCHIVING MEDIUM | 2 |
William M. Lacey | US | Colorado Springs | 2015-05-28 / 20150150113 - ISOLATION PROXY SERVER SYSTEM | 3 |
Chris Lacey | US | Cambridge | 2012-03-15 / 20120061870 - METHODS FOR MICROCELLULAR INJECTION MOLDING | 3 |
Ashley David Lacey | US | Dublin | 2014-06-19 / 20140166840 - Substrate Carrier | 1 |
Kathleen M. Lacey | US | Centerville | 2012-02-09 / 20120033375 - IN-WALL DOCK FOR A TABLET COMPUTER | 1 |
Mitchell G. Lacey | US | Bellevue | 2011-02-03 / 20110029686 - Capacity Management | 1 |
Jean M. Lacey | US | Rochester | 2009-01-29 / 20090029399 - METHOD FOR RAPID DETERMINATION OF THIOPURINE METHYLTRANSFERASE ACTIVITY | 1 |
Carl Jeffrey Lacey | US | Schnecksville | 2016-02-04 / 20160031804 - Augmenting Moieties for Anti-Inflammatory Compounds | 3 |
Vincent P. Lacey | US | Mountain View | 2014-10-09 / 20140304069 - BUDGET ALLOCATION FOR CONTENT DISTRIBUTION | 1 |
Michael P. Lacey | US | Harrisburg | 2012-04-05 / 20120081828 - TERMINAL BLOCK HAVING INTEGRAL DISCONNECT | 2 |
Stuart H. Lacey | BM | Paget | 2016-04-14 / 20160104008 - Systems and Methods for Context-Based Permissioning of Personally Identifiable Information | 3 |
Simon Lacey | GB | Woking | 2015-04-02 / 20150094893 - HYBRID TEMPERATURE REGULATION CIRCUIT | 1 |
Sam G. Lacey | US | Park City | 2014-10-02 / 20140295992 - GOLF CLUB HEAD WITH A BODY-CONFORMING WEIGHT MEMBER | 2 |
Ashley Lacey | US | Dublin | 2013-05-23 / 20130130414 - HIGH PRODUCTIVITY COMBINATORIAL WORKFLOW FOR PHOTORESIST STRIP APPLICATIONS | 1 |
Mike Lacey | US | Carthage | 2009-01-29 / 20090026648 - VARIEGATED BUILDING PRODUCT FORMING PROCESS | 1 |
Darron Kirby Lacey | US | Fayetteville | 2015-07-30 / 20150215027 - Wiring Device with Integrated Wireless Signal Extender | 1 |
Stephen Lacey | FI | Nummela | 2012-01-26 / 20120020218 - DYNAMIC MOBILE NETWORK TRAFFIC CONTROL | 1 |
James A. Lacey | US | Mahopac | 2014-03-06 / 20140063729 - Techniques for Data Center Cooling | 8 |
Samuel Goodrich Lacey | US | Park City | 2013-12-19 / 20130334385 - Camming Device Stem | 1 |
Sammuel Goodrich Lacey | US | Park City | 2013-12-19 / 20130333513 - CAMMING DEVICE | 1 |
Simon F. Lacey | US | Glendora | 2009-04-16 / 20090099335 - Polyomavirus Diagnostic Reagents | 1 |
Dianne L. Lacey | US | Mahopac | 2010-01-21 / 20100015790 - TiC AS A THERMALLY STABLE p-METAL CARBIDE ON HIGH k SiO2 GATE STACKS | 3 |
James Andrew Lacey | US | Mahopac | 2011-11-17 / 20110279967 - Techniques for Data Center Cooling | 3 |
Christopher Allen Lacey | US | Rancho Santa Fe | 2010-05-27 / 20100128282 - PHASE DIFFERENCE COMPARISON TO MEASURE VERY SMALL SPACING BETWEEN BODIES | 1 |
Samuel G. Lacey | US | Huntington Beach | 2015-05-07 / 20150126297 - GOLF CLUB | 10 |
Arlene Anna Lacey | US | Fayetteville | 2016-01-21 / 20160016496 - Sanitized Protective Seat Cover Kit With Disinfecting Agent | 1 |
William Martin Lacey | US | Colorado Springs | 2012-04-26 / 20120102539 - CLOUD SERVICES LAYER | 1 |
Sam G. Lacey | US | Westminster | 2014-10-23 / 20140315657 - GOLF CLUB HEAD | 7 |
David L. Lacey | US | Cambria | 2016-02-04 / 20160032399 - Method for the Prognosis and Treatment of Renal Cell Carcinoma Metastasis | 1 |
Joseph Damian Gordon Lacey | US | Milpitas | 2013-11-14 / 20130299926 - METHOD FOR MEMS DEVICE FABRICATION AND DEVICE FORMED | 6 |
David L. Lacey | US | Thousand Oaks | 2010-11-25 / 20100298229 - OSTEOPROTEGERIN | 1 |
Joseph Lacey | US | Waukesha | 2016-03-24 / 20160081635 - MULTI-DETECTOR IMAGING SYSTEM WITH X-RAY DETECTION | 1 |
Justin C. Lacey | US | Pittsburgh | 2016-04-14 / 20160104432 - Dynamic Balloon Display Device and Method for Use Thereof | 1 |
Sam G. Lacey | US | Huntington Beach | 2014-10-09 / 20140302946 - GOLF CLUB HEAD | 7 |
Samuel G. Lacey | US | Park City | 2013-08-15 / 20130210543 - GOLF CLUB HEAD | 1 |
C. Jeffrey Lacey | US | Bethlehem | 2012-01-12 / 20120010168 - Unique Dual-Action Therapeutics | 1 |
Joseph James Lacey | US | Cambridge | 2015-03-12 / 20150071401 - PRECISION SELF-ALIGNING CT DETECTOR SENSORS | 7 |
Mark M. Lacey | US | Seattle | 2014-03-06 / 20140063029 - SHADER INTERFACES | 2 |
Donald J. Lacey | US | Tucson | 2010-03-04 / 20100057274 - KIT TO ADAPT AN AUTOMOTIVE VEHICLE FOR REMOTE OPERATION, AUTOMOTIVE REMOTE CONTROL CONVERSION PEDESTAL, AND SYSTEM | 1 |
Bruce Macdonald Lacey | US | Knoxville | 2009-01-22 / 20090023582 - CATALYST AND PROCESS FOR ITS MANUFACTURE | 1 |
Carl Jeffrey Lacey | BE | Gent | 2009-01-29 / 20090029999 - Synephrine derivatives useful as anti-inflammatory agents | 1 |
Darron Kirby Lacey | US | Peachtree City | 2013-10-03 / 20130257315 - Light Switch and Control Device Having a Touch Screen Interface | 4 |
Harvey W. Lacey | US | Wylie | 2008-08-28 / 20080202063 - Structure and a method for constructing the structure | 1 |
Thomas G. Lacey | US | Chester | 2010-10-21 / 20100264167 - SPRAY ACTUATOR | 1 |
David John Lacey | GB | Ashwell | 2009-04-16 / 20090096363 - ELECTROLUMINESCENT DEVICES | 1 |
William J. Lacey | US | North Andover | 2015-03-12 / 20150072407 - MULTILAYER TISSUE CELL CULTURE VESSEL | 4 |
Jon-David Kenneth Lacey | CA | Upper Tantallon | 2013-11-28 / 20130318456 - SYSTEM AND METHOD OF SKINNING THEMES | 3 |
Darren Lacey | US | Parkville | 2012-03-15 / 20120066349 - METHOD AND SYSTEM USING TWO OR MORE STORAGE DEVICES FOR AUTHENTICATING MULTIPLE USERS FOR A SINGLE TRANSACTION | 1 |
Christopher Allen Lacey | US | Saratoga | 2012-06-14 / 20120146631 - TESTING APPARATUS WITH READ HEAD HOLDER HAVING A GAS JET | 1 |
Kevin Lacey | US | Oak Park | 2012-09-27 / 20120242515 - INDUCTIVE TOUCH SENSING CIRCUIT PROVIDING SENSITIVITY COMPENSATION | 3 |
Michael P. Lacey | US | Pompano Beach | 2015-10-08 / 20150287154 - MEDICAL AND PERSONAL DATA RETRIEVAL SYSTEM | 2 |
Trevor Lacey | US | Bellevue | 2013-11-28 / 20130318048 - TECHNIQUES TO MODIFY FILE DESCRIPTORS FOR CONTENT FILES | 2 |
James H. Lacey | US | Austin | 2011-12-01 / 20110292213 - DOOR MOUNTABLE CAMERA SURVEILLANCE DEVICE AND METHOD | 1 |
Bruce Blaine Lacey | US | Foster City | 2016-01-07 / 20160007198 - Credibility Token System for Over The Air Multi-programming of a Wireless Device and Method of Operation | 7 |
James A. Lacey | US | Mahopac | 2014-03-06 / 20140063729 - Techniques for Data Center Cooling | 8 |
Jon-David Lacey | CA | Richmond Hill | / - | 1 |
Natalie Lacey | US | San Luis Obispo | 2014-06-19 / 20140171961 - SYSTEMS AND METHODS FOR MAKING AND USING A TOOL FOR STEERING A PADDLE LEAD OF AN ELECTRICAL STIMULATION SYSTEM | 1 |
Joseph Lacey | US | Cambridge | 2015-11-05 / 20150319830 - PACKAGING DESIGN FOR CT DETECTOR | 5 |
Peter Lacey | DK | Copenhagen | 2014-11-27 / 20140350997 - USER-DEFINED WORKFLOWS IN APP-BASED COLLABORATIVE WORKSPACE SYSTEM | 1 |
Christopher George Lacey | AU | Victoria | 2009-07-30 / 20090191061 - Impeller Arrangement and Pump | 1 |
Grant Lloyd Lacey | AU | Tingalpa | 2010-02-25 / 20100047020 - STRUCTURES AND METHOD FOR COVERING LANDFILL | 1 |
Sam Lacey | US | Hampden | 2015-11-26 / 20150335079 - PROTECTIVE PADDING LAYER | 1 |
D'Arcy Michael Lacey | NZ | Timaru | 2010-04-15 / 20100089257 - APPARATUS FOR, AND METHODS OF, COMPACTING A TYRE PART | 2 |
Douglas Lacey | US | Columbia | 2012-08-23 / 20120211941 - Stadium Game | 1 |
D'Arcy Shane Lacey | NZ | Timaru | 2010-04-15 / 20100089257 - APPARATUS FOR, AND METHODS OF, COMPACTING A TYRE PART | 2 |
William Lacey | CA | Shelburne | 2013-03-14 / 20130062533 - PHOTOACTIVATABLE PAINT CURING DEVICE AND METHOD | 2 |
Gerard Lacey | IE | County Wicklow | 2011-02-10 / 20110032347 - ENDOSCOPY SYSTEM WITH MOTION SENSORS | 2 |
Jon-David Kenneth Lacey | CA | Richmond Hill | 2011-03-17 / 20110066953 - System and Method of Skinning Themes | 1 |
Samuel G. Lacey | US | Huntington Beach | 2015-05-07 / 20150126297 - GOLF CLUB | 10 |
Jeffrey A. Lacey | US | Idaho Falls | 2016-05-12 / 20160130568 - THERMOPHILIC AND THERMOACIDOPHILIC GLYCOSYLATION GENES AND ENZYMES FROM ALICYCLOBACILLUS ACIDOCALDARIUS AND RELATED ORGANISMS, METHODS | 31 |
Bertram E. Lacey | US | Frederick | 2013-03-07 / 20130055587 - Laundry Transport and Pathogen Containment Apparatus and Method | 2 |
Vanessa K. Lacey | US | San Diego | 2013-03-28 / 20130078660 - METHODS AND COMPOSITIONS FOR DETECTING PROTEIN MODIFICATIONS | 1 |
Timothy Edward Lacey | CA | Collingwood | 2015-11-05 / 20150316437 - PRESSURE GAUGE TESTING DEVICE | 1 |
Gerard Lacey | IE | Wicklow | 2014-05-29 / 20140147032 - Method and System for Recovery of 3D Scene Structure and Camera Motion From a Video Sequence | 1 |
Joseph M. Lacey | US | Hartselle | 2015-08-06 / 20150216556 - ROBOTIC INSERTION SYSTEMS AND METHODS | 7 |
Ted Lacey | US | Trent | 2015-01-22 / 20150020740 - Livestock Feeder | 2 |
Trevor Neil Lacey | US | Bellevue | 2015-04-16 / 20150106741 - MANAGING CONVERSATIONS | 1 |
Darron Lacey | US | Fayetteville | 2014-06-12 / 20140158510 - Wiring Device with Metal Switch Cover | 2 |
Raymond Lach | CA | Montreal | 2014-01-16 / 20140014311 - METHOD OF CONTROLLING THE SATURATION LEVEL OF A GENERATED GASEOUS STATE FLUID | 1 |
Heinz Lach | DE | Rodenbach | 2014-07-31 / 20140208981 - COMPOSITION CONTAINING QUATERNARY AMINO-FUNCTIONAL ORGANOSILICON COMPOUNDS AND PRODUCTION AND USE THEREOF | 11 |
Otto Lach | AT | Treffen | 2014-04-17 / 20140102637 - METHOD AND APPARATUS FOR LIQUID TREATMENT OF WAFER SHAPED ARTICLES | 8 |
Daniel R. Lach | US | Cincinnati | 2011-07-28 / 20110180683 - Versatile Flag Pole Bracket | 1 |
Horst Lach | DE | Hanbau | 2016-01-07 / 20160001381 - Cutting tool, especially a friction tool, milling tool or drilling tool. | 1 |
Christoph Lach | AT | Vienna | 2012-01-19 / 20120011791 - PORTABLE FLOOR COVERING | 1 |
Agnieszka Lach | US | Chicago | 2013-01-10 / 20130011827 - METHODS AND KITS FOR DECREASING INTERFERENCES IN PLASMA OR SERUM CONTAINING ASSAY SAMPLES OF SPECIFIC BINDING ASSAYS | 2 |
Hong-Yon Lach | FR | Gif-Sur-Yvette | 2009-02-05 / 20090034499 - METHOD AND APPARATUS FOR ROUTE OPTIMISATION IN NESTED MOBILE-NETWORKS | 1 |
William H. Lach | US | Springfield | 2016-03-17 / 20160073749 - DEVICE FOR RETAINING A PORTABLE ELECTRIC COMPONENT | 3 |
Laurent Josef Henry Lach | CH | Renens | 2012-05-17 / 20120121789 - INSTANT BEVERAGE PRODUCT | 1 |
Jorge E. Lach | US | Lexington | 2015-01-15 / 20150019672 - Method and System for Record Access in a Distributed System | 3 |
Heinz Lach | DE | Rodenbach | 2014-07-31 / 20140208981 - COMPOSITION CONTAINING QUATERNARY AMINO-FUNCTIONAL ORGANOSILICON COMPOUNDS AND PRODUCTION AND USE THEREOF | 11 |
Ehrhardt Lach | DE | Loerrach | 2012-07-12 / 20120175534 - METAL FOAMS | 1 |
Franck Lach | FR | Les Grandes Loges | 2012-10-25 / 20120270881 - CONFORMATIONALLY CONSTRAINED, FULLY SYNTHETIC MACROCYCLIC COMPOUNDS | 2 |
Thomas E. Lach | US | Columbus | 2013-01-31 / 20130030333 - Manual CPR apparatus with force multiplier | 2 |
Khley Lach | US | Chicago | 2012-09-13 / 20120227307 - ILLUMINATED FISHING POLE | 1 |
Eugen Lach | DE | Marbach | 2015-06-04 / 20150155952 - METHOD AND RELATED APPARATUS FOR COHERENT OPTICAL TRANSMISSION | 1 |
Otto Lach | AT | Treffen | 2014-04-17 / 20140102637 - METHOD AND APPARATUS FOR LIQUID TREATMENT OF WAFER SHAPED ARTICLES | 8 |
David R. Lach | US | Novi | 2012-05-24 / 20120130592 - HARMONIC PINION TORQUE CORRECTION | 1 |
Peter Lach | DE | Ulm | 2014-06-12 / 20140163040 - Pharmaceutical dosage form for immediate release of an indolinone derivative | 3 |
Hong-Yon Lach | FR | Charenton-Le-Pont | / - | 1 |
Robert L. Lach | US | Cincinnati | 2011-07-28 / 20110180683 - Versatile Flag Pole Bracket | 1 |
Duane Kenneth Lach | US | Livonia | 2010-08-05 / 20100193119 - HANDLE APPLICATION APPARATUS AND METHOD | 1 |
Rainer Lach | DE | Wuerselen | 2016-03-03 / 20160061069 - VALVE LIFT CONTROL DEVICE WITH CYLINDER DEACTIVATION | 4 |
Lawrence E. Lach | US | Chicago | 2009-02-19 / 20090046259 - METHOD TO REDUCE RF NOISE GENERATION AND REQUIRED PEAK LASER OUTPUT IN RASTER SCAN PORTABLE PROJECTORS | 1 |
Martin Lachaine | CA | Montreal | 2012-03-22 / 20120071758 - Feature Tracking Using Ultrasound | 8 |
Martin Lachaine | CA | St. Laurent | 2008-09-11 / 20080219405 - System and method for patient setup for radiotherapy treatment | 1 |
Martin Lachaine | CA | Montreal | 2012-03-22 / 20120071758 - Feature Tracking Using Ultrasound | 8 |
Hélène Lachaise | FR | Lyon | 2012-10-11 / 20120258989 - USE OF SUCCINATE DEHYDROGENASE INHIBITORS FOR EXTENDING SHELF LIFE OF FRUITS AND VEGETABLES | 4 |
Hélène Lachaise | FR | Lyon | 2016-03-10 / 20160068516 - NOVEL TRIAZOLE DERIVATIVES | 34 |
Helen Lachaise | FR | Lyon | 2013-09-19 / 20130245050 - Use of N-Phenylethylpyraozole Carboxamide Derivatives or Salts Thereof for Extending Shelf Life of Fruits and Vegetables | 1 |
Hélène Lachaise | FR | Lyon | 2012-10-11 / 20120258989 - USE OF SUCCINATE DEHYDROGENASE INHIBITORS FOR EXTENDING SHELF LIFE OF FRUITS AND VEGETABLES | 4 |
Hélène Lachaise | FR | Lyon | 2016-03-10 / 20160068516 - NOVEL TRIAZOLE DERIVATIVES | 34 |
Hélène Lachaise | FR | Lyon | 2016-03-10 / 20160068516 - NOVEL TRIAZOLE DERIVATIVES | 34 |
Jean Lachaize | FR | Mably | 2009-10-15 / 20090255655 - Homogenisation device of an inlet flow in a plate exchanger | 1 |
Jérôme Lachaize | FR | Toulouse | 2016-03-10 / 20160069945 - Method for Detecting a Faulty Connection of an Auxiliary Battery | 5 |
Sebastien Lachaize | FR | Gensac De Boulogne | 2016-01-28 / 20160023201 - CHEMICAL METHOD CATALYSED BY FERROMAGNETIC NANOPARTICLES | 1 |
Jérôme Lachaize | FR | Toulouse | 2013-04-11 / 20130090833 - ENGINE SYNCHRONIZATION METHOD | 2 |
Steve J. Lachajewski | US | Pewaukee | 2012-06-07 / 20120140289 - In Line Color Monitoring System | 1 |
Sheldon Ferdinand Lachambre | GB | Harold Wood | 2015-06-04 / 20150154277 - Methods and Systems for Machine Learning to Discover Application Compatibility Status | 1 |
Sheldon Ferdinand Lachambre | GB | London | 2015-11-05 / 20150317235 - SYSTEMS AND METHODS FOR ANALYZING SOFTWARE COMPATIBILITY | 3 |
Alain Lachambre | CA | Ste-Julie | 2015-11-05 / 20150316791 - EYEWEAR WITH INTERCHANGEABLE ORNAMENT MOUNTING SYSTEM, ORNAMENT RECEIVING SYSTEM FOR EYEWEAR AND METHOD OF MOUNTING ORNAMENTS TO EYEWEAR | 1 |
Sotirios Lachanas | GR | Pallini Attikis | 2014-04-24 / 20140109955 - PHOTOVOLTAIC PANEL PERGOLA WITH SLIDING MODULES | 1 |
Nicole Lachance | CA | Laval | 2015-09-17 / 20150260700 - INDEX AND METHOD OF USE OF ADAPTED FOOD COMPOSITIONS FOR DYSPHAGIC PERSONS | 1 |
Jerome Lachance | CA | St. Martin | 2015-10-29 / 20150308179 - MOTORIZED GATE SYSTEM AND METHOD FOR CONTROLLING SAME | 2 |
Steven Harold Lachance | US | Scottsdale | 2015-07-23 / 20150206412 - Scatterbrain | 1 |
Anthony Lachance | CA | Mississauga | 2015-07-02 / 20150184471 - Handling And Recovery Devices For Tubular Members And Associated Methods | 4 |
Jason W. Lachance | US | Pearland | 2013-11-28 / 20130312980 - Injecting A Hydrate Slurry Into A Reservoir | 5 |
Robert Lachance | CA | Valcourt | 2014-05-01 / 20140116796 - VEHICLE EXHAUST SYSTEM | 1 |
Ghislain Lachance | CA | St-Elzear De Beauce | 2008-08-28 / 20080203685 - Anti-zigzag guide rail with extension of protection | 1 |
Nicolas Lachance | CA | Pierrefonds | 2016-02-04 / 20160031935 - SMALL MOLECULE MODULATORS OF PCSK9 AND METHODS OF USE THEREOF | 11 |
Catherine Lachance | US | 2009-07-02 / 20090171866 - System and method for learning associations between logical objects and determining relevance based upon user activity | 1 | |
Ghislain Lachance | CA | St-Elzear | 2012-07-19 / 20120181758 - Retractable and automatic positioning wheels for snowmobiles | 1 |
Lise Lachance | US | St. Paul | 2013-07-18 / 20130184812 - PROSTHETIC HEART VALVE INCLUDING STENT STRUCTURE AND TISSUE LEAFLETS, AND RELATED METHODS | 1 |
Robert Lachance | CA | Saint-Georges | 2015-03-05 / 20150062912 - LED LAMP ASSEMBLY FOR SEALED OPTICAL LUMINAIRES | 3 |
Ephrem Lachance | CA | Saint-Damase | 2014-01-30 / 20140028000 - ANTI-THEFT DEVICE FOR USE WITH A TRAILER HITCH | 1 |
Jason Lachance | US | Pearland | 2012-08-30 / 20120216899 - Piggable Static Mixer Apparatus and System for Generating a Hydrate Slurry | 1 |
Eric Lachance | US | Boston | 2015-06-11 / 20150161732 - SYSTEM AND METHOD FOR PROVIDING AN ANALYSIS OF THE RELATIVE VOLATILITY OF ASSET CLASSES | 1 |
David Lachance | CA | Terrebonne | 2014-04-17 / 20140106855 - PRIZE MERCHANDISER | 1 |
Nicolas Lachance | CA | Pierrefonds | 2016-02-04 / 20160031935 - SMALL MOLECULE MODULATORS OF PCSK9 AND METHODS OF USE THEREOF | 11 |
Yves Lachance | CA | St-Nicolas | 2009-02-12 / 20090042905 - TRIAZOLE DERIVATIVES AS LIGANDS OF G-PROTEIN COUPLED RECEPTORS | 1 |
Anthony Lachance | CA | North Bay | 2009-11-19 / 20090283327 - JOINTED SPEARHEAD ASSEMBLY | 2 |
David Lachance | CA | Lanoraie | 2009-05-14 / 20090124382 - Interactive image projection system and method | 1 |
Dany Lachance | CA | St-Etienne De Lauzon | 2013-01-24 / 20130023800 - LOAD DISTRIBUTION DEVICE FOR HUMAN JOINTS | 1 |
David M. Lachance | CA | Grand Bend | 2009-07-23 / 20090186418 - Test method and kit | 1 |
Benoit Lachance | CA | Varennes | 2015-10-15 / 20150292417 - CONTROL OF GAS TURBINE ENGINE | 4 |
Lise Lachance | US | St. Cloud | 2016-02-04 / 20160030173 - PROSTHETIC HEART VALVE INCLUDING STENT STRUCTURE AND TISSUE LEAFLETS, AND RELATED METHODS | 1 |
Yves Lachance | CA | Laval | 2014-11-27 / 20140345315 - REFRIGERATING ASSEMBLY | 2 |
Genevieve Lachance | CA | Quebec | 2014-04-03 / 20140094729 - SUPPORTIVE BELT ASSEMBLY FOR LOWER EXTREMITY ORTHOTIC DEVICES | 1 |
Jean-François Lachance | CA | St-Jean-Sur-Richelieu | 2012-05-03 / 20120103472 - APPARATUS AND METHOD FOR PERFORATING BEAMS | 1 |
Jason W. Lachance | US | Magnolia | 2016-05-19 / 20160137928 - Separation Of Asphaltenes | 6 |
Renee Lachance | US | Portland | 2009-03-12 / 20090069737 - Pressure relief dressing | 1 |
Andre Lachance | CA | Boucherville | 2015-05-21 / 20150136924 - BRACKET AND WALL STANDARD ASSEMBLY | 1 |
Chad Lachapelle | US | East Longmeadow | 2014-05-15 / 20140131302 - Removable Bottle Cap Assembly with Internal Storage Compartment | 1 |
Peter Lachapelle | CA | Toronto | 2014-10-30 / 20140324624 - WINE RECOMMENDATION SYSTEM AND METHOD | 1 |
Jennifer Anne Lachapelle | US | Erie | 2015-08-13 / 20150226159 - METHOD AND SYSTEMS FOR EXHAUST GAS RECIRCULATION | 1 |
Jason Lachapelle | US | Burlington | 2016-04-28 / 20160116994 - PROBABILITY-BASED APPROACH TO RECOGNITION OF USER-ENTERED DATA | 2 |
Craig F. Lachapelle | US | Bethesda | 2013-10-03 / 20130262226 - SYSTEMS AND METHODS FOR TARGETED INTERNET MARKETING BASED ON OFFLINE, ONLINE, AND CREDIT-RELATED DATA | 1 |
Serge Lachapelle | SE | Hallmarksvagen | 2014-10-09 / 20140300759 - AUTOMATIC LOCATION-BASED CAMERA FRAME RATE SETTINGS | 1 |
Jeffery Lachapelle | US | Northville | 2015-09-24 / 20150266007 - ALUMINA SILICATE ZEOLITE-TYPE MATERIAL HAVING PROLONGED ACID STRENGTH FOR USE AS A CATALYST IN SELECTIVE CATALYTIC REDUCTION AND PROCESS OF MAKING THEREOF | 4 |
John R. Lachapelle | US | Princeton | 2012-01-12 / 20120007778 - FLUIDIC CONSTRUCTS FOR ELECTRONIC DEVICES | 1 |
Gerard Lachapelle | CA | Calgary | 2014-09-04 / 20140247186 - Global Navigation Satellite System (GNSS) Anti-Interference using Array Processing | 4 |
Daniel John Lachapelle | CA | Lakefield | 2010-12-02 / 20100304952 - METHOD OF PROCESSING NEPHELINE SYENITE | 1 |
Denis Lachapelle | CA | Legardeur | 2009-09-24 / 20090240400 - Vehicle Security Control System | 1 |
Pirre Lachapelle | CA | Mascouche | 2012-05-17 / 20120117904 - WALL PANEL COMPRISING RESILIENT MEMBERS FOR RETAINING MASONRY UNITS | 1 |
Kevin Leigh Lachapelle | US | Redmond | 2012-12-20 / 20120323917 - NAVIGATING MEDIA CONTENT BY GROUPS | 5 |
Edward Dennis Lachapelle | US | Bend | 2010-03-18 / 20100068276 - MULTIPARTICULATES OF SPRAY-COATED DRUG AND POLYMER ON A MELTABLE CORE | 1 |
Jason Lachapelle | US | Willimantic | 2010-02-11 / 20100036655 - PROBABILITY-BASED APPROACH TO RECOGNITION OF USER-ENTERED DATA | 1 |
Joseph G. Lachapelle | US | Philomath | 2013-05-30 / 20130135711 - METHOD AND APPARATUS FOR PULSED HARMONIC ULTRAVIOLET LASERS | 8 |
Donald Geroge Lachapelle | US | Cincinnati | 2009-06-18 / 20090152764 - METHODS FOR MAKING COMPOSITE CONTAINMENT CASINGS | 1 |
Dominic Lachapelle | CA | Saint-Hyacinthe | 2012-06-14 / 20120144561 - FULLY INTEGRATED THREE-DIMENSIONAL TEXTILE ELECTRODES | 1 |
Joseph G. Lachapelle | US | Philomath | 2013-05-30 / 20130135711 - METHOD AND APPARATUS FOR PULSED HARMONIC ULTRAVIOLET LASERS | 8 |
Serge Lachapelle | SE | Stockholm | 2014-09-18 / 20140281983 - ANAGING AUDIO AT THE TAB LEVEL FOR USER NOTIFICATION AND CONTROL | 1 |
Erik Alphie Lachapelle | US | Uncasville | 2016-02-11 / 20160039828 - Imidazopyridazine Compounds | 2 |
Donald George Lachapelle | US | Cincinnati | 2011-06-23 / 20110146230 - Integrated Nacelle Assembly | 10 |
Denis Lachapelle | CA | Repentigny | 2012-09-20 / 20120239335 - AUTOMATICALLY CONFIGURABLE SENSING DEVICE | 1 |
Robert W. Lachapelle | US | Leeds | 2012-11-29 / 20120300205 - METHOD OF NORMALIZING A FLUORESCENCE ANALYZER | 1 |
Serge Lachapelle | SE | Vallentuna | 2015-08-06 / 20150222854 - ENHANCING VIDEO CONFERENCES | 5 |
John Lachapelle | US | Princeton | 2014-09-18 / 20140273345 - METHODS FOR BONDING A HERMETIC MODULE TO AN ELECTRODE ARRAY | 3 |
Erik Alphie Lachapelle | US | Johnston | 2016-01-07 / 20160002264 - Carbocyclic- And Heterocyclic-Substituted Hexahydropyrano[3,4-d][1,3]Thiazin-2-Amine Compounds | 3 |
Luc Lachapelle | CA | Blainville | 2016-02-04 / 20160036668 - ADAPTIVE CENTRALIZED COLLECTION OF PERFORMANCE MANAGEMENT DATA USING A METAMODEL | 2 |
Jean-Maxime Lachapelle | US | Coral Springs | 2014-01-23 / 20140025968 - SYSTEM AND METHOD FOR MONITORING AND MANAGING DATA CENTER RESOURCES IN REAL TIME | 1 |
Frederic Lacharme | CH | Lausanne | 2010-10-07 / 20100252507 - Magnetic Bead Retention Apparatus and Method | 1 |
Sandrine Lacharme | FR | Lannion | 2015-08-13 / 20150229702 - REMOTE ACCESS TO CONTENT FROM A THIN CLIENT | 2 |
Patrick Lacharme | FR | Caen | 2015-10-01 / 20150278806 - E-PAYMENT ARCHITECTURE PRESERVING PRIVACY | 2 |
Olivier De Lacharriere | FR | Paris | 2015-10-29 / 20150307940 - MOLECULAR SIGNATURE OF CUTANEOUS PIGMENTARY SPOTS, ASSOCIATED WITH THE EXTRACELLULAR MATRIX | 7 |
Michael Joseph Lachat | US | Shelby Township | 2011-05-05 / 20110101660 - LOW-MOUNT INFLATABLE KNEE AIRBAGS HAVING SERIAL CHAMBERS | 1 |
Christophe Lachaud | FR | Saint Michel Sur Orge | 2014-08-28 / 20140242812 - METHOD OF FORMING DIELECTRIC FILMS, NEW PRECURSORS AND THEIR USE IN SEMICONDUCTOR MANUFACTURING | 5 |
Claire-Marie Lachaud | FR | Grenoble | 2010-05-20 / 20100124100 - DEVICE FOR CONTROLLING THE ACTIVITY OF MODULES OF AN ARRAY OF MEMORY MODULES | 1 |
Clairemarie Lachaud | FR | Grenoble | 2013-02-21 / 20130043936 - Method And Apparatus For Controlling Power Supply | 1 |
Christophe Lachaud | GB | Dundee Central Scotland | 2015-08-20 / 20150232876 - Use of a Receptor Kinase Having LysM Motifs in Order to Improve the Response of Plants to Lipochitooligosaccharides | 1 |
Hervé Lachaud | FR | Le Plessis Robinson | 2013-08-15 / 20130205891 - METHOD AND DEVICE FOR THE ANTICIPATED DETECTION OF ICING ON A RUNWAY | 1 |
Sylvette Lachaud | FR | Paris | 2015-09-24 / 20150266877 - ANTI-CANCER COMPOUND AND PHARMACEUTICAL COMPOSITION CONTAINING THE SAME | 2 |
Hervé Lachaud | FR | Le Plessis Robinson | 2013-08-15 / 20130205891 - METHOD AND DEVICE FOR THE ANTICIPATED DETECTION OF ICING ON A RUNWAY | 1 |
Emilie Lachaud | FR | Villejuif | 2016-03-03 / 20160059937 - Vessel Recovery System and Method | 3 |
Jean-Philippe Lachaux | FR | Lyon | 2009-02-26 / 20090054800 - Method and Device for Representing A Dynamic Functional Image of the Brain, By Locating and Discriminating Intracerebral Neuroelectric Generators and Uses Thereof | 1 |
Howard S. Lacheen | US | Richmond | 2013-06-20 / 20130158138 - INTEGRAL SYNTHESIS GAS CONVERSION CATALYST EXTRUDATES AND METHODS FOR PREPARING AND USING SAME | 19 |
Howard Lacheen | US | Richmond | 2010-12-23 / 20100320125 - REDUCTION OF ORGANIC HALIDE CONTAMINATION IN HYDROCARBON PRODUCTS | 2 |
Howard Steven Lacheen | US | Richmond | 2016-03-31 / 20160089662 - SUPPORT FOR FISCHER-TROPSCH CATALYST HAVING IMPROVED ACTIVITY | 14 |
Gillian Lachelin | GB | Ockham, Surrey | 2012-06-21 / 20120157422 - MARKER | 1 |
Jean-Louis Lachenal | FR | Valbonne | 2008-12-04 / 20080297966 - CONTROL AND PROTECTION SYSTEM FOR AN OUTPUT OF AUTOMATION EQUIPMENT | 1 |
Dominique Lachenal | FR | Saint-Martin D' Heres Cedex | 2010-04-08 / 20100084101 - METHOD FOR FUNCTIONALISING CARBOHYDRATES | 1 |
Dominique Lachenal | FR | Echirolles | 2016-05-12 / 20160130751 - METHOD FOR TREATING CHEMICAL PULPS BY TREATMENT WITH OZONE IN THE PRESENCE OF MAGNESIUM IONS | 2 |
Charles A. Lachenbruch | US | Batesville | 2016-04-07 / 20160095445 - TOPPER WITH TARGETED FLUID FLOW DISTRIBUTION | 7 |
Charles A. Lachenbruch | US | Summerville | 2012-02-02 / 20120025991 - APPARATUS FOR CONTROLLING ROOM LIGHTING IN RESPONSE TO BED EXIT | 5 |
Charles Lachenbruch | US | Summerville | 2009-09-03 / 20090217460 - PATIENT SUPPORT | 1 |
Charles A. Lachenbruch | US | Lakeway | 2015-06-11 / 20150157521 - Inflatable Patient Positioning Unit | 35 |
Charles A. Lachenbruch | US | Lakeway | 2015-06-11 / 20150157521 - Inflatable Patient Positioning Unit | 35 |
Sebastian Lachenmaier | DE | Stuttgart | 2016-03-17 / 20160076476 - Method for detecting and describing a transient driving situation | 1 |
Armin Lachenmaier | DE | Rudersberg-Schlechtbach | 2013-06-20 / 20130153327 - STEERING SYSTEM IN A VEHICLE | 1 |
Sepp Lachenmaier | LU | Remich | 2014-06-05 / 20140151197 - CONTINUOUS CONVEYOR FOR TRANSPORTING HEAVY BULK MATERIALS OR UNIT LOADS | 1 |
Markus Lachenmaier | DE | Moorenweis | 2015-06-11 / 20150158122 - Process for Influencing the Surface Condition of a Laser Weld Seam as well as a Component | 1 |
Per Lachenmaier | DK | Sonderborg | 2009-08-27 / 20090211205 - Hood Packaging Installation With Device for Producing Side Folds | 1 |
Sepp Lachenmaier | DE | Wuppertal | 2012-07-05 / 20120167531 - METHOD FOR ENERGY-EFFICIENT SEALING OF TUBULAR BAGS | 1 |
Thomas Lachenmaier | DE | Pfaffenhofen | 2009-12-10 / 20090306826 - Vibrating Plate System | 1 |
Andreas Juergen Lachenmann | DE | Munich | 2015-09-10 / 20150254145 - OPERATING SYSTEM/HYPERVISOR EFFICIENCIES FOR SUB-DIVIDED PRIVILEGE LEVELS | 1 |
Jürgen Lachenmann | DE | Nurtingen | 2010-07-22 / 20100181814 - CONTROL DEVICE FOR A VEHICLE SEAT | 1 |
Andreas Juergen Lachenmann | DE | Aachen | 2013-01-10 / 20130014039 - INTEGRATED GRAPHICAL USER INTERFACE | 1 |
Jürgen Lachenmann | DE | Nurtingen | 2010-07-22 / 20100181814 - CONTROL DEVICE FOR A VEHICLE SEAT | 1 |
Timothy T. Lachenmeier | US | Tillamook | / - | 1 |
Walter Lachenmeier | DE | Sankt Augustin | 2012-11-29 / 20120301775 - ELECTRIC POWER CELL AND ELECTRIC ENERGY UNIT | 13 |
Per Lachenmeier | DK | Sonderborg | 2014-05-22 / 20140137516 - REEFING UNDER STRETCH | 4 |
Walter Lachenmeier | DE | Sankt Augustin | 2012-11-29 / 20120301775 - ELECTRIC POWER CELL AND ELECTRIC ENERGY UNIT | 13 |
Markus Daniel Lacher | US | Lafayette | 2014-12-04 / 20140357518 - Methods and Compositions for the Treatment and Diagnosis of Thyroid Cancer | 6 |
Georg Lacher | AT | Wien | 2011-11-24 / 20110285051 - Device And Method For Extruding Plastic Profiles In An Energy Efficient Manner | 1 |
Barbara Lacher | DE | Augsburg | 2011-05-26 / 20110120094 - METHOD OF REGENERATING AN EXHAUST GAS PURIFICATION FILTER, AND VAPORIZER | 1 |
Wolf-Dieter Lacher | DE | Mullheim | 2014-07-24 / 20140203107 - THROUGHFLOW CONTROLLER | 4 |
Joseph R. Lacher | US | Zimmerman | 2015-03-05 / 20150067151 - SYSTEM AND METHOD FOR GATHERING AND DISPLAYING DATA IN AN ITEM COUNTING PROCESS | 1 |
Mark Lacher | US | Whitestone | 2009-12-03 / 20090298655 - STRETCHING DEVICE | 2 |
Wolf-Dieter Lacher | DE | Muellheim | 2010-05-13 / 20100116370 - Telescoping Water Outlet | 1 |
Erin D. Lacher | US | Midland | 2010-12-02 / 20100303743 - Personal Care Compositions Containing Hydrophobic Silicone-Organic Gel Blends | 1 |
Elizabeth M. Lacher | US | Columbus | 2013-08-22 / 20130214522 - PAGE JOG AND LOCK | 1 |
Elizabeth A. Lacher | US | Beavercreek | 2012-09-20 / 20120233894 - SELECTIVELY DEPLOYABLE TAB INDICATORS | 1 |
Steffen Lacher | DE | Ansbach | 2013-08-08 / 20130199290 - "GAS METER" | 1 |
Robert R. Lacher | US | Bismarck | 2015-05-14 / 20150128580 - HYDRAULIC BRAKE | 3 |
Patrick P. Lacher | US | Bismarck | 2013-05-30 / 20130133319 - AUTOMATED SHIFTING OF HYDRAULIC DRIVE SYSTEMS | 1 |
Elizabeth M. Lacher | US | Beavercreek | 2012-09-27 / 20120241507 - FILER WITH INSERTABLE COVER | 1 |
Peter Lacher | DE | Ingolstadt | 2016-02-18 / 20160049149 - METHOD AND DEVICE FOR PROACTIVE DIALOGUE GUIDANCE | 2 |
Jonathan J. Lacher | US | Fargo | 2013-12-05 / 20130318958 - CONTROL VALVE ASSEMBLY | 1 |
Robert Lacher | US | Bismarck | 2015-10-01 / 20150275466 - IMPLEMENT INTERFACE | 1 |
Jakob Lachermeier | DE | Freising | 2012-05-10 / 20120111101 - Device for Brake Pad Wear Monitoring of a Brake | 2 |
Jérémy Lachet | FR | Malakoff | 2010-03-18 / 20100070236 - BOLUS FOR RADIOTHERAPHY AND METHOD FOR DETERMINING THE SHAPE OF ONE SUCH BOLUS | 1 |
Jérémy Lachet | FR | Malakoff | 2010-03-18 / 20100070236 - BOLUS FOR RADIOTHERAPHY AND METHOD FOR DETERMINING THE SHAPE OF ONE SUCH BOLUS | 1 |
Jérémy Lachet | FR | Malakoff | 2010-03-18 / 20100070236 - BOLUS FOR RADIOTHERAPHY AND METHOD FOR DETERMINING THE SHAPE OF ONE SUCH BOLUS | 1 |
Jérémy Lachet | FR | Malakoff | 2010-03-18 / 20100070236 - BOLUS FOR RADIOTHERAPHY AND METHOD FOR DETERMINING THE SHAPE OF ONE SUCH BOLUS | 1 |
Stephan Lachevrotiere | CA | St. Augustin | 2010-10-07 / 20100253020 - ICE SKATE BLADE AND BLADE HEATING ARRANGEMENT | 5 |
Stephan Lachevrotiere | CA | Quebec | 2015-05-14 / 20150128519 - Extruded Deck Board with Finishing Material Insert | 4 |
Carl Joseph Lachey | US | Union | 2015-04-30 / 20150118090 - COMPONENTS FOR COMPRESSORS HAVING ELECTROLESS COATINGS ON WEAR SURFACES | 1 |
Ashish Lachhwani | IN | Bangalore | 2016-04-21 / 20160112006 - DIGITAL CONTROLLED OSCILLATOR AND SWITCHABLE VARACTOR FOR HIGH FREQUENCY LOW NOISE OPERATION | 7 |
Mathilde Denise Lachia | CH | Stein | 2016-03-03 / 20160060218 - STRIGOLACTAM DERIVATIVES AS PLANT GROWTH REGULATING COMPOUNDS | 11 |
Mathilde Denise Lachia | CH | Basel | 2013-11-14 / 20130303375 - PLANT GROWTH REGULATING COMPOUNDS | 1 |
Mathilde Denise Lachia | CH | Stein | 2016-03-03 / 20160060218 - STRIGOLACTAM DERIVATIVES AS PLANT GROWTH REGULATING COMPOUNDS | 11 |
Renato La Chimia | IT | Firenze | 2011-05-05 / 20110100404 - STABILIZER SUPPORT FOR CRUTCHES AND/OR WALKING STICKS | 1 |
Randall S. Lachine | CA | Brights Grove | 2013-05-09 / 20130112561 - DEWATERING OIL SAND TAILINGS | 1 |
Gurgon Levoni Lachinyan | US | Palo Alto | 2011-09-15 / 20110223880 - Optimized Predictive Emergency Notification for Vehicles While in No Cellular Coverage Area | 1 |
Gurgen L. Lachinyan | US | Palo Alto | 2014-10-16 / 20140309842 - Rental/Car-Share Vehicle Access and Management System and Method | 2 |
Gurgen Levoni Lachinyan | US | Palo Alto | 2009-04-30 / 20090112394 - APPARATUS FOR COLLECTING, STORING AND TRANSMITTING VEHICLE INFORMATION | 1 |
Dino Lachiusa | US | Brookline | 2015-10-22 / 20150301861 - INTEGRATED MONITORING AND CONTROL OF PROCESSING ENVIRONMENT | 1 |
Julia Lachman | US | York | 2009-07-09 / 20090176400 - CABLE CONNECTOR ASSEMBLY | 1 |
Eric Lachman | US | San Ramon | 2016-05-05 / 20160124282 - ELECTROCHROMIC LITHIUM NICKEL GROUP 5 MIXED METAL OXIDES | 12 |
Ronald D. Lachman | US | Northbrook | 2014-06-05 / 20140152531 - Head Mounted Display With Remote Control | 6 |
Edward William Roy Lachman | US | Corona Del Mar | 2015-12-03 / 20150342346 - DRAWER GLIDE MECHANISM | 1 |
Eric Lachman | US | San Ramon | 2016-05-05 / 20160124282 - ELECTROCHROMIC LITHIUM NICKEL GROUP 5 MIXED METAL OXIDES | 12 |
Janice Lachman | US | Lake Mary | 2011-10-13 / 20110247723 - Nitrogen tire filling apparatus and method | 1 |
Edward Lachman | US | Anaheim | 2014-07-24 / 20140202900 - SPECIALLY SHAPED CARTON FOR VANITY SINK | 3 |
Ranan Lachman | US | Los Gatos | 2015-10-22 / 20150296935 - USER CONFIGURABLE WEARABLE DEVICE | 1 |
Mark F. Lachman | US | San Jose | 2015-09-24 / 20150268291 - SYSTEM AND METHOD FOR PERFORMING TRANSFORMER DIAGNOSTICS | 1 |
Edward William Roy Lachman | US | Anaheim | 2015-04-30 / 20150115787 - DRAWER GLIDE MECHANISM | 2 |
Shem Lachman | US | Old Westbury | 2013-11-28 / 20130316180 - Biocompatible Packaging Suitable for Long-term Implantation and Method of Formation | 1 |
Julia Anne Lachman | US | York | 2016-02-11 / 20160043507 - CABLE ASSEMBLY HAVING A GROUNDED CABLE HARNESS | 5 |
Avihay Lachman | IL | Tel Aviv | 2013-05-09 / 20130114649 - SIGNAL CANCELLATION IN A SATELLITE COMMUNICATION SYSTEM | 2 |
Ronald Lachman | US | Northbrook | 2015-12-03 / 20150347435 - Methods and Apparatus for Distributed Data Storage | 3 |
Julie Anne Lachman | US | York | 2013-06-13 / 20130149899 - CABLE HEADER CONNECTOR | 1 |
Raanan Lachman | US | Los Gatos | 2015-01-29 / 20150032647 - SYSTEMS AND METHODS FOR MULTI-COMPONENT KIT INVENTORY MANAGEMENT | 2 |
Nadège Lachmann | FR | Checy | 2015-06-04 / 20150153328 - SURFACE ISOTROPY AS A MARKER FOR EPIDERMAL MATURATION | 1 |
Hermann Lachmann | DE | Schwarzheide | 2012-11-15 / 20120289746 - PROCESS FOR WORKING UP AN ISOCYANATE-COMPRISING STREAM | 1 |
Frederic Lachmann | CA | Toronto | 2013-01-17 / 20130016092 - METHOD AND SYSTEM OF GENERATING A 3D VISUALIZATION FROM 2D IMAGES | 2 |
Christina Lachmann | DE | Burghaun | 2015-02-19 / 20150050512 - CEMENTED CARBIDE ARTICLE AND METHOD FOR MAKING SAME | 1 |
Frank Friedrich Lachmann | DE | Burghaun | 2015-12-31 / 20150376744 - CEMENTED CARBIDE MATERIAL AND METHOD OF MAKING SAME | 28 |
Lars Lachmann | DE | Berlin | 2013-06-06 / 20130140725 - NOZZLE PLATE | 1 |
Peter Lachmann | GB | Cambridge | 2015-12-31 / 20150374804 - TREATMENT OF DISEASES RELATED TO HYPERACTIVITY OF THE COMPLEMENT SYSTEM | 2 |
Angela Lachmann | DE | Kelkheim Am Taunus | 2012-07-19 / 20120183479 - Liquid Or Gel-Like Composition Comprising Microencapsulated Colorant Granules | 2 |
Oliver Lachmann | DE | Hameln | 2011-01-06 / 20110002738 - DEVICE FOR COMPACTING ROAD PAVING MATERIALS | 3 |
Wolfgang Lachmann | DE | Memmingen | 2009-10-22 / 20090260326 - Method and device for packaging of bulk goods in bags | 1 |
Frank Friedrich Lachmann | DE | Burghaun | 2015-12-31 / 20150376744 - CEMENTED CARBIDE MATERIAL AND METHOD OF MAKING SAME | 28 |
Frank Friedrich Lachmann | DE | Burchaun | 2012-08-23 / 20120210822 - CEMENTED CARBIDE AND PROCESS FOR PRODUCING SAME | 1 |
Bodo Lachmann | DE | Frankfurt | 2008-09-11 / 20080221340 - Process for the Production of Nebivolol | 1 |
Nadege Lachmann-Weber | FR | Checy | 2008-11-27 / 20080294026 - Electrochemical Device and Method for Measuring the Redox State of the Skin | 1 |
Clifton Lachmansingh | US | South Haven | 2012-03-15 / 20120061385 - VENT ASSEMBLY FOR MICROWAVE COOKING PACKAGE | 3 |
Garry W. Lachmansingh | US | Plymouth | 2016-05-05 / 20160121365 - CROSSLINKED POLY(VINYL ALCOHOL) AND SILICA NANOPARTICLE MULTILAYER COATINGS AND METHODS | 5 |
Stanley Lachmansingh | US | Colorado Springs | 2009-06-04 / 20090139171 - Extruded permanent form-work for concrete | 1 |
Uwe Lachmund | DE | Wolfsburg | 2015-07-30 / 20150210165 - METHOD FOR DEACTIVATING A HIGH VOLTAGE SYSTEM OF A MOTOR VEHICLE | 1 |
Uwe Lachmund | DE | Berlin | 2015-07-09 / 20150192623 - METHOD FOR DETERMINING THE ABSENCE OF VOLTAGE IN AN ELECTRICAL HIGH-VOLTAGE SYSTEM, AND ELECTRICAL HIGH-VOLTAGE SYSTEM | 2 |
Heik Lachmund | DE | Holle | 2015-10-22 / 20150298739 - MODULAR SYSTEM | 2 |
Sven Lachmund | DE | Munich | 2009-02-26 / 20090055556 - External storage medium adapter | 1 |
Rainer Lachner | DE | Munchen | 2013-09-19 / 20130243287 - LONGITUDINAL MONITORING OF PATHOLOGY | 1 |
Rudolf Lachner | CH | Neuenhof | 2009-03-12 / 20090068609 - Burner Arrangement | 1 |
Thomas F. Lachner | US | Lake Bluff | 2009-12-24 / 20090318895 - FLEXIBLE AND PLASTIC RADIOPAQUE LAMINATE COMPOSITION | 1 |
Dieter Lachner | DE | Gaimersheim | 2012-03-08 / 20120055652 - FAIL-SAFE ROTARY ACTUATOR FOR A COOLANT CIRCUIT | 1 |
Hubert Lachner | DE | Freising-Hohenbachern | 2012-02-23 / 20120043485 - PIEZOELECTRIC DRIVE AND MICROVALVE COMPRISING SAID DRIVE | 1 |
Rainer Lachner | DE | Munich | 2013-11-28 / 20130315463 - RETROSPECTIVE MRI IMAGE DISTORTION CORRECTION | 1 |
Hubert Lachner | DE | Hohenbachern | 2016-05-05 / 20160126444 - PIEZOELECTRIC ACTUATOR | 1 |
Peter Lachner | DE | Heroldstatt | 2014-12-18 / 20140372987 - Processor That Records Tracing Data In Non Contiguous System Memory Slices | 13 |
Peter Lachner | DE | Heroldstatt | 2014-12-18 / 20140372987 - Processor That Records Tracing Data In Non Contiguous System Memory Slices | 13 |
Rudolf Lachner | DE | Ingolstadt | 2015-11-19 / 20150333395 - PACKAGED ANTENNA AND METHOD FOR PRODUCING SAME | 18 |
Rudolf Lachner | DE | Attenkirchen | 2012-10-04 / 20120247116 - METHOD FOR SWITCHING OVER A GAS TURBINE BURNER OPERATION FROM LIQUID TO GAS FUEL AND VICE-VERSA | 2 |
Josef Lachner | DE | Jetzendorf Kemmoden | 2011-01-27 / 20110020548 - DEVICE AND METHOD FOR THE PARTIAL COATING OF COMPONENTS | 1 |
Joseph N. Lachoff | US | Oakland | 2012-05-31 / 20120133667 - METHOD AND APPARATUS FOR DESIGNING, STYLIZING, AND SUPPLEMENTING AN IMAGE/SCENE | 1 |
Boaz Lachover | IL | Tel Aviv | 2009-02-05 / 20090033745 - Method and apparatus for video frame sequence-based object tracking | 1 |
Artur Lachowicz | DE | Berlin | 2010-08-19 / 20100210751 - RADIATION CURABLE COMPOSITION AND METHOD FOR PRODUCING THE SAME | 2 |
Agata Lachowicz | DE | Kahl | 2013-09-05 / 20130228220 - METHOD FOR THE WET-CHEMICAL ETCHING OF A HIGHLY DOPED SEMICONDUCTOR LAYER | 1 |
Agata Lachowicz | DE | Erkrath | 2010-01-21 / 20100012500 - DEPOSITION OF CONDUCTIVE POLYMER AND METALLIZATION OF NON-CONDUCTIVE SUBSTRATES | 1 |
Agata Lachowicz | DE | Karlstein | 2015-08-27 / 20150243517 - ALKALINE PICKLING PROCESS | 5 |
Jean E. Lachowicz | US | Berkeley Heights | 2010-06-10 / 20100144591 - BENZIMIDAZOLE DERIVATIVES AND METHODS OF USE THEREOF | 3 |
Jaroslaw Lachowski | PL | Nowy Sacz | 2013-10-24 / 20130281082 - Automated Configuration of Base Stations | 7 |
Manish Lachwani | US | Sunnyvale | 2010-12-23 / 20100325457 - Quiescent State Retention Mode for Processor | 1 |
Ashish Lachwani | IN | Bangalore | 2011-08-04 / 20110187463 - OSCILLATOR CIRCUIT FOR RADIO FREQUENCY TRANSCEIVERS | 2 |
Dhananjay Lachyan | IN | Maharashtra | 2011-04-21 / 20110089737 - SEAT ASSEMBLY HAVING AN ADJUSTABLE HEAD RESTRAINT ASSEMBLY | 1 |
Dhananjay Lachyan | IN | Bhosari | 2013-03-21 / 20130069412 - SEAT ASSEMBLY HAVING A GUIDE BUSHING | 1 |
Lawrence A. Lacijan | US | Palatine | 2010-06-17 / 20100152020 - Process for Regenerating Catalyst | 3 |
Kath Pihlaja Lacina | US | Malbourne | 2009-01-01 / 20090000427 - Vehicle Door Opening Tool | 1 |
Thomas Lacina | CH | Bosco Luganese | 2015-01-08 / 20150010686 - LOW-CALORIE PASTA AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Christopher J. Lacina | US | Port Orchard | 2013-01-31 / 20130031155 - ELECTRONIC FILE SHARING | 1 |
Louis Lacina | US | Prudenville | 2009-12-17 / 20090309079 - Jeep hard-top remover | 1 |
Joseph John Lacirignola | US | Beverly | 2015-04-09 / 20150097048 - Aerosol Generation for Stable, Low-Concentration Delivery | 1 |
Eva Bills Lacivita | US | Grayslake | 2016-03-03 / 20160065722 - Systems and Methods for Managing Operating Modes of an Electronic Device | 1 |
Anthony Lacivita | US | West Bloomfield | 2011-02-24 / 20110041752 - ANCHORMAN MARINE MOORING AND DOCKING SYSTEM | 1 |
Frank John Lacivita | US | Sarasota | 2014-11-06 / 20140326026 - Apparatuses and Methods for Securing Fishing Rods and Reels | 1 |
Jeremy John Lacivita | US | Seattle | 2015-07-09 / 20150193279 - Data Engine | 1 |
Jacob Michael Lacivita | US | Los Angeles | 2015-10-29 / 20150310466 - SALES ANALYZER SYSTEMS AND METHODS | 2 |
Enza Lacivita | IT | Bari | 2014-03-27 / 20140086834 - NEW I-ARYLPIPERAZINIC LIGANDS OF 5-HT7 RECEPTOR AND USE THEREOF | 1 |
Melanie Lack | DE | Duesseldorf | 2013-05-16 / 20130122287 - HOT-MELT ADHESIVE COMPRISING IONIC GROUPS | 3 |
Melanie Lack | DE | Dusseldorf | 2010-07-22 / 20100184909 - CROSSLINKABLE POLYMERS WITH HETEROAROMATIC GROUPS | 1 |
Craig Lack | US | Hockessin | 2009-05-28 / 20090136718 - Reinforced Bonded Constructs | 1 |
Eduard Lack | AT | Wiener Neustadt | 2009-03-05 / 20090062407 - METHOD AND APPARATUS FOR PRODUCING MICRO EMULSIONS | 1 |
Dennis M. Lack | US | Bakersfield | 2009-01-22 / 20090020464 - Portable Water Quality Monitoring And Treatment System | 1 |
Patricia Lack | US | Glendale | 2010-12-16 / 20100316504 - Positive Displacement Pumping System | 1 |
Adam Lack | US | New York | 2015-04-02 / 20150094202 - PROCESSES FOR ACTIVATING ADSORBENT MATERIALS IN ADSORBED GAS SYSTEMS | 6 |
Larry Lack | US | Glendale | 2010-12-16 / 20100316504 - Positive Displacement Pumping System | 1 |
Adam C. Lack | US | Willow Springs | 2016-03-17 / 20160076424 - COOLANT HEATING METHOD FOR RELEASING REDUCTANT | 11 |
Stéphane Lack | FR | Beaucouze | 2010-05-06 / 20100114313 - ANTI-ADHESION SURGICAL MEMBRANE | 1 |
Ryan A. Lack | US | Broken Arrow | 2014-04-10 / 20140099211 - Detection of Well Fluid Contamination in Seabed Fluids of Well Pump Assemblies | 1 |
Adam C. Lack | US | Willow Springs | 2016-03-17 / 20160076424 - COOLANT HEATING METHOD FOR RELEASING REDUCTANT | 11 |
Joel E. Lack | US | Sugar Land | 2015-08-06 / 20150218467 - STRONG BASE AMINES TO MINIMIZE CORROSION IN SYSTEMS PRONE TO FORM CORROSIVE SALTS | 2 |
Christopher K. Lack | US | Milan | 2014-10-02 / 20140296755 - PATIENT SUPPORT WITH DYNAMIC BAR CODE GENERATOR | 3 |
Adam Cyril Lack | US | New York | 2014-01-30 / 20140026868 - ADSORBED NATURAL GAS FUEL SYSTEM FOR HYBRID MOTOR VEHICLES | 1 |
Andrew Lack | US | Bronxville | 2015-02-19 / 20150052488 - APPARATUS AND METHOD FOR PROVIDING AN ACTIVE SCREENSAVER | 2 |
Michael Nathan Lack | US | Arlington | 2016-04-28 / 20160117514 - DATA ACCESS CONTROL SYSTEMS AND METHODS | 1 |
Stéphane Lack | FR | Beaucouze | 2010-05-06 / 20100114313 - ANTI-ADHESION SURGICAL MEMBRANE | 1 |
Adam C. Lack | US | New York | 2015-04-16 / 20150101675 - POSITIVE CONNECTION INDICATOR (VISUAL OR SOUND) | 3 |
Adam C. Lack | US | Boulder | 2016-05-05 / 20160123258 - UPSTREAM NOX ESTIMATION | 5 |
Randall Lack | US | Houston | 2014-08-07 / 20140222698 - Systems and Methods for Tracking Renewable Energy Credits | 1 |
Nicholas L. Lack | US | Palos Heights | 2009-10-01 / 20090241490 - FILTER WITH MULTIPLE SECTIONS OF DIFFERENT MEDIA | 1 |
Izabela Lacka | PL | Bytow | 2015-02-12 / 20150045316 - SEMISYNTHETIC DERIVATIVES OF NYSTATIN A1 | 1 |
Thomas E. Lackemann | US | Sayville | 2014-07-10 / 20140191039 - METHOD OF DECODING BARCODE WITH IMAGING SCANNER HAVING MULTIPLE OBJECT SENSORS | 2 |
Tom Lackemann | US | Sayville | 2010-12-02 / 20100302420 - METHOD AND APPARATUS FOR REDUCING THE VISUAL DISCOMFORT OF THE ILLUMINATION GENERATED BY IMAGING SCANNERS | 1 |
Thomas Lackemann | US | Sayville | 2013-04-25 / 20130098997 - APPARATUS FOR AND METHOD OF READING TARGETS BY IMAGE CAPTURE BY PROCESSING CAPTURED TARGET IMAGES IN A BATCH OR FREE-RUNNING MODE OF OPERATION | 4 |
Kevin David Lacker | US | Berkeley | 2016-03-10 / 20160070635 - MOBILE DEVELOPMENT PLATFORM IN A CLOUD BASED ARCHITECTURE | 3 |
Kevin D. Lacker | US | Berkeley | 2014-02-20 / 20140052735 - Propagating Information Among Web Pages | 1 |
George John Lacker, Iii | US | Plantation | 2011-12-15 / 20110302878 - METHOD OF INSTALLING CONCRETE FLOOR TOPPING | 1 |
Mark Lackey | US | Holly Springs | 2011-03-03 / 20110051334 - SUSPENSION FOR A PRESSURE SENSITIVE TOUCH DISPLAY OR PANEL | 1 |
Karen Elizabeth Lackey | US | Hillsborough | 2011-03-03 / 20110053934 - COMPOUNDS AND METHODS OF TREATMENT | 2 |
Hershel H. Lackey | US | Hickory | 2014-11-13 / 20140336402 - LIGAND FORMING ASYMMETRICAL TRANSFORMATION CATALYST FOR MAKING NEW PHARMACEUTICALS | 3 |
Karen Elizabeth Lackey | US | Durham | 2016-02-25 / 20160051551 - BICYCLIC HETEROAROMATIC COMPOUNDS AS PROTEIN TYROSINE KINASE INHIBITORS | 8 |
Robert W. Lackey | US | Hickory | 2012-05-10 / 20120111764 - FOLDING DISPLAY APPARATUS | 4 |
David E. Lackey | US | Essex Junction | 2011-03-17 / 20110066904 - AVOIDING RACE CONDITIONS AT CLOCK DOMAIN CROSSINGS IN AN EDGE BASED SCAN DESIGN | 2 |
John William Lackey | US | Hillsborough | 2010-01-21 / 20100016225 - CONJUGATES COMPRISED OF POLYMER AND HIV gp-41-DERIVED PEPTIDES AND THEIR USE IN THERAPY | 1 |
David Allen Lackey | US | Washington | 2015-11-19 / 20150332411 - Insurance Claims and Rate Evasion Fraud System Based Upon Vehicle History | 3 |
Jeremy Lackey | US | San Jose | 2014-09-18 / 20140272934 - DENDRIMERIC DYE-CONTAINING OLIGONUCLEOTIDE PROBES AND METHODS OF PREPARATION AND USES THEREOF | 3 |
Mark Lackey | US | Raleigh | 2012-08-09 / 20120200789 - INTEGRATED FEATURE FOR FRICTION-LESS MOVEMENT OF FORCE SENSITIVE TOUCH SCREEN | 2 |
Karen Elizabeth Lackey | US | Durham | 2016-02-25 / 20160051551 - BICYCLIC HETEROAROMATIC COMPOUNDS AS PROTEIN TYROSINE KINASE INHIBITORS | 8 |
Derek J. Lackey | US | Macomb Township | 2010-06-10 / 20100139788 - Metallic Air Admittance Valve | 1 |
Jeremy Lackey | US | Guilford | 2016-03-24 / 20160084761 - INTEGRATED DEVICE WITH EXTERNAL LIGHT SOURCE FOR PROBING DETECTING AND ANALYZING MOLECULES | 5 |
Richard L. Lackey | US | Dallas | 2015-07-16 / 20150199879 - GAME LIVE AUCTION SYSTEM AND METHOD OF OPERATION | 2 |
Monty J. Lackey | US | Warsaw | 2010-05-06 / 20100114324 - Modular Hip Joint Implant | 1 |
John Lackey | US | Elkhart | 2013-03-14 / 20130063134 - ROTARY ARC POSITION SENSOR WITH LINEAR OUTPUT | 1 |
Robert William Lackey | US | Hickory | 2016-03-03 / 20160060134 - WATER CONTAINER FILLER DEVICE | 10 |
David Lackey | US | Merrimack | 2012-11-08 / 20120280429 - APPARATUS AND METHOD FOR PRODUCING A MULTICRYSTALLINE MATERIAL HAVING LARGE GRAIN SIZES | 1 |
John S. Lackey | US | Navarre | 2015-02-19 / 20150047397 - TRUCK BOX WITH KEYLESS ENTRY SYSTEM | 1 |
Chad Owen Lackey | US | Fort Collins | 2011-06-09 / 20110133719 - Voltage reference circuit operable with a low voltage supply and method for implementing same | 1 |
Stephen Lackey | US | Holly | 2009-07-09 / 20090174180 - HOSE JOINT WITH ADHESIVE | 1 |
Dave Lackey | US | Merrimack | 2012-12-13 / 20120312800 - HEATER ASSEMBLY FOR CRYSTAL GROWTH APPARATUS | 1 |
Dennis Lackey | US | Roswell | 2013-12-19 / 20130335336 - INTERACTIVE LOBBY PARTITION | 1 |
Kelly Lackey | US | Warsaw | 2016-02-18 / 20160045238 - CALCANEAL BONE PLATE TARGETING GUIDE | 1 |
Michael S. Lackey | US | Torrance | 2015-09-17 / 20150263485 - HIGH-EFFICIENCY, DUAL CURRENT SINK LASER DIODE DRIVER | 2 |
David Lackey | US | Centreville | 2011-11-03 / 20110270636 - System and Method for Insurance Underwriting and Rating | 3 |
John Lackey | US | Boaz | 2010-02-11 / 20100032435 - Portable Tank | 1 |
Stephen Lackey | US | Waterford | 2015-03-26 / 20150084332 - HOSE JOINT WITH ADHESIVE | 1 |
Stephanie Lackey | US | Orlando | 2014-07-24 / 20140205203 - System and Method for Visual Correlation of Digital Images | 1 |
John Jefferson Lackey | US | Rochester | 2014-06-26 / 20140176131 - SELECTIVE SLOPE LINEAR POSITION SENSOR | 2 |
Stephanie Jane Lackey | US | Orlando | 2009-01-15 / 20090017771 - Resource optimized live to virtual communications | 1 |
John J. Lackey | US | Elkhart | 2009-05-14 / 20090121708 - Sensor Assembly For Detecting Positioning Of A Moveable Component | 1 |
Raymond J. Lackey | US | Bohemia | 2014-09-11 / 20140253381 - HIGH DEGREE OF FREEDOM ARRAY | 15 |
Louis Lackey | US | Oklahoma City | 2011-04-14 / 20110084051 - Method and Apparatus for Forming Visible Indicium on the Tab Portion of a Beverage Container | 1 |
Melissa A. Lackey | US | Sunderland | 2014-10-02 / 20140296425 - TELECHELIC BASED NETWORKS FROM NOVEL MACROMONOMERS, COMPOSITIONS, PREPARATION AND USES THEREOF | 1 |
David Lackey | US | 2008-12-18 / 20080312969 - SYSTEM AND METHOD FOR INSURANCE UNDERWRITING AND RATING | 1 | |
Ancil Louis Lackey | US | Oklahoma City | 2013-10-10 / 20130264344 - DOUBLE-OPENING CAN LID | 1 |
Raymond E. Lackey | US | Redmond | 2009-09-10 / 20090224195 - Tapered high pressure rotary feed valves | 1 |
David Lackey | US | Thomasville | 2015-01-22 / 20150024658 - BRA CUP WITH MODESTY PANEL | 1 |
Jeremy Lackey | US | Cambridge | 2013-10-03 / 20130260460 - CONFORMATIONALLY RESTRICTED DINUCLEOTIDE MONOMERS AND OLIGONUCLEOTIDES | 2 |
David E. Lackey | US | Jericho | 2013-05-16 / 20130125076 - DISPOSITION OF INTEGRATED CIRCUITS USING PERFORMANCE SORT RING OSCILLATOR AND PERFORMANCE PATH TESTING | 19 |
Robert William Lackey | US | Hickory | 2016-03-03 / 20160060134 - WATER CONTAINER FILLER DEVICE | 10 |
Peter D. Lackey | US | Snellville | 2013-07-11 / 20130178518 - Contact Lenses Containing Carotenoid And Method For Making Same | 1 |
Robert P. Lackey | US | Carlsbad | 2012-02-16 / 20120041355 - MULTIPLE SECTION PARENTERAL DRUG DELIVERY APPARATUS | 2 |
Raymond J. Lackey | US | Bohemia | 2014-09-11 / 20140253381 - HIGH DEGREE OF FREEDOM ARRAY | 15 |
Peter Lackey | US | Glen Allen | 2014-08-21 / 20140236807 - System and Methods for Providing Starter Credit Card Accounts | 2 |
Michael E. Lackey | US | New York | 2012-04-26 / 20120097217 - Functionally Graded Solar Roofing Panels and Systems | 1 |
Joshua Lackey | US | Snohomish | 2015-12-10 / 20150358339 - DETECTION OF INTRUSION IN A WIRELESS NETWORK | 3 |
Karol Lacki | SE | Uppsala | 2015-11-19 / 20150328563 - Method for Cleaning of Packed Bed Chromatography Columns | 10 |
Thomas S. Lacki | US | Alden | 2011-12-22 / 20110311403 - Use of Microspheres in an Exhaust Gas Treatment Device Mounting Mat | 2 |
Karol Lacki | GB | Uppsala | 2011-10-20 / 20110257378 - AQUEOUS TWO PHASE EXTRACTION AUGMENTED PRECIPITATION PROCESS FOR PURIFICATION OF THERAPEUTIC PROTEINS | 1 |
Karol Lacki | SE | Uppsala | 2015-11-19 / 20150328563 - Method for Cleaning of Packed Bed Chromatography Columns | 10 |
Scott A. Lackie | US | Tyler | 2011-09-29 / 20110232860 - Air Handling Unit With Inner Wall Space | 1 |
Scott A. Lackie | US | Granger | 2012-10-04 / 20120247444 - Gas-Fired Furnace and Intake Manifold for Low NOx Applications | 1 |
Miranda L. Lackie | US | Richmond | 2011-06-09 / 20110136710 - AMINOMETHYL- SUBSTITUTED IMIDAZOLE COMPOUNDS FOR USE AS FRICTION MODIFIERS IN LUBRICATING OIL COMPOSITIONS | 1 |
Richard E. Lackinger | US | Tallahassee | 2012-02-16 / 20120039693 - Automated Automotive Vehicle Parking /Storage System | 3 |
Lamont E. Lackman | US | Keithville | 2009-04-02 / 20090084273 - Low oil volume fryer with automatic filtration and top-off capability | 1 |
Lamont Eric Lackman | US | Keithville | 2009-01-15 / 20090013877 - Low oil volume fryer with small cold zone | 1 |
Doranne M. Lackman | US | Philadelphia | 2013-05-02 / 20130110115 - MINIMALLY INVASIVE METHOD AND DEVICES FOR REPAIRING LOOSENED PROSTHETIC IMPLANTS | 1 |
Martin Lackmann | AU | Victoria | 2008-12-25 / 20080317763 - Regulation of Metalloprotease Cleavage of Cell Surface Proteins | 1 |
Martin Lackmann | AU | St. Andrews Beach | 2011-12-29 / 20110318304 - DETECTION OF EPHA3 AS A MARKER OF THE PRESENCE OF A SOLID TUMOR | 2 |
Kay Lackmann | DE | Essen | 2011-01-13 / 20110007378 - VEHICLE INDICATION SYSTEM HAVING AN OPTICAL SYSTEM, HAVING A FIRST INDICATION DEVICE AND HAVING A SECOND INDICATION DEVICE | 1 |
Jan Lackmann | DE | Paderborn | 2015-10-01 / 20150282253 - HEATING DEVICE FOR CONDUCTIVE HEATING OF A SHEET METAL BLANK | 1 |
Klaus S. Lackner | US | Dobbs Ferry | 2015-10-01 / 20150274536 - EXTRACTION AND SEQUESTRATION OF CARBON DIOXIDE | 38 |
John T. Lackner | US | Independence | 2016-04-21 / 20160106286 - SURFACE-TREATMENT APPARATUS AND HEAD UNIT | 1 |
Gerald Lackner | DE | Münsing | 2011-07-14 / 20110169290 - MOTOR VEHICLE WITH EXTENDIBLE VEHICLE BODY | 1 |
Peter Lackner | AT | Salzburg | 2011-09-08 / 20110217334 - HYPOALLERGENIC MOLECULES | 2 |
Aaron D. Lackner | US | Berkeley | 2014-11-27 / 20140350253 - ASYMMETRIC ELECTROPHILIC FLUORINATION USING AN ANIONIC CHIRAL PHASE-TRANSFER CATALYST | 1 |
Carolin Lackner | AT | Kumberg | 2014-08-21 / 20140234988 - Methods for Diagnosing and Treating Medical Conditions Associated With Oxidative Stress | 1 |
Mark Lackner | US | South San Francisco | 2013-06-06 / 20130143237 - COMPOSITIONS AND METHODS FOR PROSTATE CANCER ANALYSIS | 2 |
Dietmar Lackner | AT | Soll | 2014-01-30 / 20140030052 - Kinematic Holding System For A Placement Head Of A Placement Apparatus | 1 |
Gerald Lackner | DE | Jena | 2015-07-30 / 20150210741 - JAGARICIN DERIVATIVES AND THEIR USE AS FUNGICIDE OR ANTITUMOR AGENT | 1 |
Klaus S. Lackner | US | Tucson | 2011-08-04 / 20110185897 - REMOVAL OF CARBON DIOXIDE FROM AIR | 17 |
Mark R. Lackner | US | Brisbane | 2010-12-16 / 20100316639 - BIOMARKERS FOR IGF-1R INHIBITOR THERAPY | 1 |
Bernhard Lackner | AT | Linz | 2014-05-15 / 20140136693 - METHOD AND SYSTEM FOR BROWSER BASED, NON-INTRUSIVE MEASURING OF END-USER PERCEIVED PERFORMANCE OF INDIVIDUAL THIRD PARTY RESOURCE REQUESTS | 1 |
Joachim Lackner | CH | Mettmenstetten | 2014-02-06 / 20140037420 - Laboratory system for handling sample tube racks, an alignment element for sample tube racks and a rack tray receiver assembly | 2 |
Gerald Lackner | AT | Arnoldstein | 2016-03-24 / 20160086838 - WAFER ARRANGEMENT AND METHOD FOR PROCESSING A WAFER | 10 |
Jochen Lackner | AT | Graz | 2010-01-14 / 20100010766 - Calibration method for a position determination of a rear axle steering actuator | 1 |
Harald Lackner | AT | Reutte | 2012-03-29 / 20120073959 - TUBULAR TARGET AND PRODUCTION METHOD | 2 |
Rudolf Lackner | AT | Mattsee | 2009-01-01 / 20090004933 - Water Craft With a Buoyancy Body | 1 |
Aaron Lackner | US | Berkley | 2012-05-03 / 20120108595 - PLATELET-ACTIVATING FACTOR RECEPTOR ANTAGONISTS | 1 |
Stephan Lackner | AT | Linz | 2011-05-26 / 20110120266 - METHOD AND SYSTEM FOR ENERGY-OPTIMIZED AND CO2 EMISSION-OPTIMIZED IRON PRODUCTION | 1 |
Joachim Lackner | CH | Mettmenstettem | 2010-02-04 / 20100028124 - Laboratory storage and retrieval system and a method to handle laboratory sample tubes | 1 |
Joseph M. Lackner | US | Medina | 2014-02-06 / 20140034458 - LINK MEMBER HAVING REPLACEABLE WEAR COMPONENT | 2 |
Harald Lackner | AT | Zwentendorf | 2010-10-28 / 20100269907 - THIN-FILM SOLAR CELL HAVING A MOLYBDENUM-CONTAINING BACK ELECTRODE LAYER | 1 |
Reinhold Lackner | AT | Laakirchen | 2015-04-30 / 20150114799 - PICKING SYSTEM AND METHOD FOR PICKING ARTICLES | 2 |
Maximilian Lackner | AT | Schwechat | 2015-04-16 / 20150104488 - COMPOSITE MATERIAL WITH A SUPPORTING MATERIAL AND AN ANTIMICROBIAL AGENT | 1 |
Leopold Lackner | AT | Ybbs | 2014-02-13 / 20140042045 - COVER FOR AN ULTRASONIC HEAD | 1 |
John M. Lackner | US | Nashua | 2009-11-26 / 20090288360 - SOUND PROOFING SYSTEM AND METHOD | 3 |
John Lackner | US | Independence | 2015-08-27 / 20150238061 - ROTARY HEAD, METHOD AND SYSTEM FOR USE WITH HARD AND SOFT SURFACES | 1 |
Franz Lackner | AT | Flachau | 2009-05-21 / 20090126348 - Metering Valve Assembly and Method for Operating a Metering Valve Assembly | 1 |
Francis Lackner | US | Northbrook | 2015-07-09 / 20150192095 - EXHAUST GAS RECIRCULATION | 1 |
Gerald Lackner | AT | Arnoldstein | 2016-03-24 / 20160086838 - WAFER ARRANGEMENT AND METHOD FOR PROCESSING A WAFER | 10 |
Klaus S. Lackner | US | Tuckson | 2011-12-01 / 20110293503 - REMOVAL OF CARBON DIOXIDE FROM AIR | 1 |
Karl Lackner | DE | Mainz | 2016-05-12 / 20160131664 - METHOD FOR RISK STRATIFICATION IN STABLE CORONARY ARTERY DISEASE | 3 |
Klaus Lackner | US | Dobbs Ferry | 2014-08-07 / 20140219899 - Methods and Systems for Capturing Carbon Dioxide From Dilute Sources | 1 |
Joachim Lackner | AT | Vigaun | 2011-08-11 / 20110192376 - FUEL SUPPLY SYSTEM FOR HEAVY OIL COMMON-RAIL INJECTION SYSTEMS | 2 |
Miroslav Lacko | SK | Prievidza | 2015-03-26 / 20150082540 - BLANKET AND BLANKET COVER | 1 |
Andras G. Lacko | US | Fort Worth | 2015-12-03 / 20150343069 - DRUG DELIVERY VEHICLE | 3 |
Andras G. Lacko | US | Ft. Worth | 2011-12-22 / 20110312899 - HDL Particles for Delivery of Nucleic Acids | 1 |
Robert A. Lacko | US | Oxford | 2015-05-14 / 20150133016 - IMPACT RESISTANT COMPOSITE PANEL AND METHOD OF FORMING A COMPOSITE PANEL | 6 |
Anthony Lacko | US | San Diego | 2015-05-28 / 20150143796 - SYSTEM AND METHOD FOR CAPTURED INNER FIXED STRUCTURE | 3 |
Ivan Lacko | SK | Cana | 2015-03-19 / 20150081138 - AIRCRAFT SYSTEMS AND METHODS FOR DETECTING NON-COMPLIANT PILOT ACTION | 2 |
Michal Lacko | SK | Puchov | 2016-05-12 / 20160129731 - PNEUMATIC VEHICLE TIRE | 1 |
Mark Lacko | US | Garrison | 2008-08-28 / 20080202957 - Paperboard CD or DVD tray with paper hub | 1 |
Vince Lackowski | US | Harvard | 2014-12-11 / 20140359928 - Sheet Catcher for Toilets and Methods Therefor | 1 |
William Martin Lackowski | US | Austin | 2015-06-25 / 20150180372 - Membrane-Based Nano-Electromechanical Systems Device And Methods To Make And Use Same | 9 |
William Martin Lackowski | US | Austin | 2015-06-25 / 20150180372 - Membrane-Based Nano-Electromechanical Systems Device And Methods To Make And Use Same | 9 |
Vince Lackowski | US | Racine | 2015-04-30 / 20150113715 - PROTECTIVE APPAREL AND SUPPORT APPARATUS AND METHOD OF USE | 3 |
Vincent R. Lackowski | US | Glenview | 2013-11-14 / 20130300080 - KNEE WALKER | 4 |
Neal M. Lackritz | US | Southborough | 2015-06-11 / 20150160021 - Surface Vehicle Vertical Trajectory Planning | 3 |
Neal M. Lackritz | US | Southboro | 2011-06-30 / 20110160959 - Surface Vehicle Vertical Trajectory Planning | 1 |
Veronika Lackstadter | DE | Hamm | 2011-10-27 / 20110263789 - CLEAR PAINT COMPOSITIONS COMPRISING HYPERBRANCHED, DENDRITIC, HYDROXYL- FUNCTIONAL POLYESTERS | 1 |
James J. Laclair | US | San Diego | 2011-04-21 / 20110092534 - Ammosamides as Anticancer Agents | 2 |
Thomas Laclair | US | Longmont | 2009-01-08 / 20090010398 - Providing Routing Information to an Answering Point of an Emergency Services Network | 1 |
Mary Laclair | US | Cambridge | 2013-10-24 / 20130282346 - TRAFFIC DATA MANAGEMENT AND SIMULATION SYSTEM | 1 |
Joseph Henry Laco | US | Akron | 2016-04-14 / 20160101656 - PREPARATON OF APEX/BEAD COMPOSITE AND TIRE WITH PRE-APEXED BEAD | 2 |
Steven B. Lacock | US | Boyertown | 2012-12-27 / 20120328808 - MULTILAYER STRUCTURES CONTAINING BIOPOLYMERS | 3 |
Tyler S. Lacock | US | Morrisville | 2010-05-20 / 20100125846 - AD HOC TASK CREATION AND PROCESS MODIFICATION | 5 |
Steven B. Lacock | US | Perkiomenville | 2015-06-25 / 20150174868 - MULTILAYER STRUCTURES CONTAINING BIOPOLYMERS | 3 |
Steven Lacock | US | Boyertown | 2009-02-26 / 20090051078 - MULTILAYER, LIGHT-DIFFUSING FILM FOR INSERT MOLDING | 1 |
Scott J. Lacoe | US | Bismarck | 2014-11-27 / 20140345894 - POLE SPLINT DRIVER IMPLEMENT | 1 |
Ronald E. Lacoe | US | West Fargo | 2015-07-23 / 20150204030 - Sealcoat System | 2 |
Ursula La Cognata | DE | Berlin | 2010-07-29 / 20100189870 - STARCHES WITH HIGH AMYLOSE CONTENT AND IMPROVED PROCESSING PROPERTIES. | 1 |
Charlie Lacolia | US | Woodland Hills | 2013-08-08 / 20130199714 - PROTECTIVE MATERIAL APPLICATOR DEVICE | 1 |
Paolo Lacolla | IT | Capoterra | 2015-01-15 / 20150017124 - MODIFIED 2' AND 3'-NUCLEOSIDE PRODRUGS FOR TREATING FLAVIVIRIDAE INFECTIONS | 6 |
Paolo Lacolla | IT | Sardinia | 2013-11-21 / 20130310336 - METHODS AND COMPOSITIONS FOR TREATING FLAVIVIRUSES AND PESTIVIRUSES | 2 |
Charlie Lacolla | US | Woodland Hills | 2015-11-12 / 20150321416 - PROTECTIVE MATERIAL APPLICATOR DEVICE | 10 |
Paolo Lacolla | IT | Cagliari | 2013-11-28 / 20130315863 - METHODS AND COMPOSITIONS FOR TREATING FLAVIVIRIDAE INFECTIONS | 3 |
Paulo Lacolla | IT | Capoterra | 2009-11-12 / 20090280086 - METHODS AND COMPOSITIONS FOR TREATING HEPATITIS C VIRUS | 1 |
Charlie Lacolla | US | Woodland Hills | 2015-11-12 / 20150321416 - PROTECTIVE MATERIAL APPLICATOR DEVICE | 10 |
Paulo Lacolla | IT | Sardinia | 2013-01-17 / 20130017171 - METHODS AND COMPOSITIONS FOR TREATING HEPATITIS C VIRUS | 1 |
Charlie Lacolla | US | Riverside | 2015-09-03 / 20150246514 - Apparatus for the alignment and application of a protective film to a device, and related methods | 1 |
Christina Lacomb | US | Schenectady | 2009-06-18 / 20090157451 - SYSTEM AND METHOD FOR DETECTING MANIPULATION IN FINANCIAL MARKETS | 1 |
Christina A. Lacomb | US | Schenectady | 2009-04-16 / 20090100293 - METHOD AND SYSTEM FOR PREDICTING TURBOMACHINERY FAILURE EVENTS EMPLOYING GENETIC ALGORITHM | 2 |
Christina Ann Lacomb | US | Schenectady | 2015-12-10 / 20150356154 - SYSTEM FOR STORAGE, QUERYING, AND ANALYSIS OF TIME SERIES DATA | 8 |
Julie Anne Lacomb | US | West Greenwich | 2009-01-22 / 20090021327 - ELECTRICAL FILTER SYSTEM USING MULTI-STAGE PHOTONIC BANDGAP RESONATOR | 1 |
Christina Ann Lacomb | US | Scdhenectady | 2014-06-19 / 20140172867 - METHOD FOR STORAGE, QUERYING, AND ANALYSIS OF TIME SERIES DATA | 1 |
Christina Ann Lacomb | US | Schenectady | 2015-12-10 / 20150356154 - SYSTEM FOR STORAGE, QUERYING, AND ANALYSIS OF TIME SERIES DATA | 8 |
Celine Lacombe | FR | Massy | 2015-10-22 / 20150301211 - METHOD AND DEVICE COMPENSATING FOR WATER VELOCITY VARIATION FOR 4D DATA SETS | 1 |
Julie Lacombe | US | Bronx | 2015-08-27 / 20150238532 - METHODS TO ISOLATE HUMAN MESENCHYMAL STEM CELLS | 1 |
Daniel Lacombe | CA | Ste-Victoire-De-Sorel | 2015-07-30 / 20150210133 - TEMPORARY WHEEL SUPPORT FOR SNOWMOBILES | 1 |
Jason P. Lacombe | US | Katy | 2015-06-25 / 20150176367 - Top Down Liner Cementing, Rotation and Release Method | 3 |
Frederic Lacombe | ES | Sant Cugat Del Valles | 2012-11-01 / 20120277151 - GLP-1 PHARMACEUTICAL COMPOSITIONS | 3 |
Sylvie Lacombe | FR | Vernaison | 2014-12-04 / 20140357471 - METHOD FOR MANUFACTURING OF SPHEROIDAL ALUMINA PARTICLES | 11 |
Louis Lacombe | CA | L'Ancienne-Lorette | 2013-06-13 / 20130149703 - "MARKERS FOR PROSTATE CANCER PROGRESSION" | 1 |
Francois Lacombe | FR | Chaville | 2014-07-24 / 20140207150 - ENDOSCOPIC INSTRUMENT WITH SUPPORT FOOT | 9 |
Francis Lacombe | FR | Pessac | 2009-12-17 / 20090311678 - METHOD OF DISCRIMINATING AT LEAST TWO CELL POPULATIONS, AND APPLICATION | 1 |
Alain Lacombe | FR | Pessac | 2013-11-21 / 20130310238 - COMPOSITE MATERIAL ROLLER FOR HIGH-TEMPERATURE ANNEALING | 1 |
Mark Lacombe | US | Maple Valley | 2015-08-06 / 20150222021 - RIDGED WAVEGUIDE FEED STRUCTURES FOR RECONFIGURABLE ANTENNA | 2 |
Jason Nathaniel Lacombe | US | San Francisco | 2012-05-31 / 20120136881 - EXCHANGING DATA USING DATA TRANSFORMATION | 2 |
Jason Nathaniel Lacombe | US | Berkeley | 2009-02-05 / 20090037898 - EXTENSIBLE MECHANISM FOR AUTOMATICALLY MIGRATING RESOURCE ADAPTER COMPONENTS IN A DEVELOPMENT ENVIRONMENT | 1 |
Frederic Lacombe | ES | San Cugat Del Valles | 2009-07-02 / 20090171066 - Catalytic lactide and glycolide (co)polymerization system | 1 |
David K. Lacombe | US | Mission Viejo | 2012-04-05 / 20120081017 - LED STRING DRIVER WITH POWER FACTOR CORRECTOR AND CURRENT GOVERNOR | 2 |
John Lacombe | US | Northridge | 2012-03-15 / 20120064989 - Golf Club Caddy | 2 |
Ross M. Lacombe | US | Sahuarita | 2012-03-15 / 20120061065 - HEAT-ABSORBING STRUCTURAL MATERIAL | 1 |
Frédéric Lacombe | ES | Sant Cugat De Valles | 2010-08-19 / 20100209384 - Sustained release formulations comprising very low molecular weight polymers | 1 |
Samuel J. Lacombe | US | Indianapolis | 2010-08-19 / 20100209252 - DISK FOR TURBINE ENGINE | 1 |
Chris Lacombe | US | Rayne | 2008-10-02 / 20080236375 - Apparatus for blast suppression | 1 |
Marie Lacombe | FR | Charenton-Le-Pont | 2010-06-24 / 20100155590 - LABELED TRANSITION METAL COMPLEXES | 1 |
Patrick Lacombe | CA | Montreal | 2016-02-04 / 20160031935 - SMALL MOLECULE MODULATORS OF PCSK9 AND METHODS OF USE THEREOF | 10 |
Ross Michael Lacombe | US | Sahuarita | 2013-11-28 / 20130315686 - RETENTION, RELEASE, AND SEPARATION DEVICE, SYSTEM, AND METHOD | 1 |
Yves Lacombe | CA | Calgary | 2015-12-31 / 20150376313 - ROTOMOLDING RESIN | 12 |
Jean-Claude Lacombe | FR | Toulouse | 2013-06-27 / 20130164465 - ANTI LATERAL-TORSIONAL BUCKLING STRUCTURAL MEMBER OF AN AIRCRAFT FUSELAGE | 1 |
Antoine Lacombe | FR | Valence | 2014-12-25 / 20140375479 - Method, system and computer program for providing, on a human-machine interface, data relating to an aspect of the operation of an aircraft | 1 |
Frédéric Lacombe | ES | Sant Cugat De Valles | 2010-08-19 / 20100209384 - Sustained release formulations comprising very low molecular weight polymers | 1 |
Sylvie Lacombe | FR | Vernaison | 2014-12-04 / 20140357471 - METHOD FOR MANUFACTURING OF SPHEROIDAL ALUMINA PARTICLES | 11 |
Sylvie Lacombe | FR | Saint Genis Laval | 2010-04-01 / 20100081852 - MULTI-ZONE FIXED-BED OR MOVING-BED REACTOR WITH AN INTEGRATED HEAT EXCHANGER | 2 |
Olivier Lacombe | FR | Is Sur Tille | 2013-07-25 / 20130190278 - Compounds for the Treatment/Prevention of Ocular Inflammatory Diseases | 1 |
Jean-Loup Lacombe | FR | Billere | 2014-01-16 / 20140018504 - IMPACT MODIFIER AND USES THEREOF IN THERMOSET MATERIALS | 1 |
Alain Lacombe | FR | Chambourcy | 2008-09-04 / 20080210456 - Method For Producing Electrically Conductive Patterns on a Non-Developable Surface of an Insulating Substrate, and Resulting Device | 1 |
Francois Lacombe | FR | Chaville | 2014-07-24 / 20140207150 - ENDOSCOPIC INSTRUMENT WITH SUPPORT FOOT | 9 |
Bertrand Lacombe | FR | Paris | 2014-05-29 / 20140145737 - METHOD FOR CORRECTING THE VOLTAGE MEASURED ACROSS THE TERMINALS OF A SENSOR | 3 |
Frank Lacombe | US | Austin | 2014-09-18 / 20140267576 - INTELLIGENT LAYOUTS FOR CALL SCALING AND LAYOUT PERSISTENCE | 1 |
Tasha Lacombe | US | St. Petersburg | 2015-10-22 / 20150296944 - Daily Jewelry Coordinator | 1 |
Jerome Lacombe | FR | Saint Gely Du Fesc | 2016-02-25 / 20160054337 - Method for Determining Radiosensitivity | 1 |
Yves Lacombe | CA | Calgary | 2015-12-31 / 20150376313 - ROTOMOLDING RESIN | 12 |
Fédéric Lacombe | ES | Sant Cugat De Valles ( Barcelona) | 2012-08-09 / 20120202743 - SUSTAINED RELEASE FORMULATIONS COMPRISING GnRH ANALOGUES | 1 |
Jean-Pierre Lacombe | FR | Marcellaz Albanais | 2009-02-26 / 20090050276 - Device for Mounting a Spoke on a Wheel Rim or Hub | 1 |
Severine Lacombe | FR | Luc | 2009-10-15 / 20090258346 - Method of selecting sunflower genotypes with high oleic acid content in seed oil | 1 |
Romain Henri Lacombe | FR | Versailles | 2011-03-17 / 20110066743 - METHOD FOR PROVIDING EVENT BASED MEDIA STREAMS | 1 |
Jacques Lacombe | FR | Pujaut | 2011-09-08 / 20110217485 - METHOD FOR COATING A METAL CRUCIBLE ELEMENT WITH A MIXTURE OF GLASS AND CERAMIC | 5 |
Patrick Lacombe | CA | Montreal | 2016-02-04 / 20160031935 - SMALL MOLECULE MODULATORS OF PCSK9 AND METHODS OF USE THEREOF | 10 |
Martine Lacombe | US | Ft Lauderdale | 2016-03-24 / 20160085923 - Method and system for identifying a potential food allergen or irritant via a communications network | 1 |
Jean-Claude Lacombe | FR | Tournefeuille | 2012-03-08 / 20120056740 - Radiofrequency Circuit Embedded Onboard in a Satellite Comprising a Thermal Control System Based on an Alarm Signal Generated by the Reflection of Power | 2 |
Antoine Lacombe | FR | Monferran-Saves | 2014-07-17 / 20140200752 - Meteorological Modelling Method for Calculating an Aircraft Flight Plan | 4 |
Jean-Claude Lacombe | FR | Blagnac | 2013-07-18 / 20130181092 - DEVICE AND METHOD FOR ASSEMBLING TWO SECTIONS OF AIRCRAFT FUSELAGE | 6 |
John S. Lacombe | US | Austin | 2012-12-06 / 20120311063 - METHOD AND APPARATUS FOR USING A SINGLE MULTI-FUNCTION ADAPTER WITH DIFFERENT OPERATING SYSTEMS | 3 |
Jacques Lacome | FR | Sucy En Brie | 2016-04-28 / 20160114436 - Internal Line-Up Clamps for Welding Pipes | 2 |
Pierre Lacome | FR | Lachelle | 2015-11-26 / 20150336322 - PROCESS FOR FASTENING AN ACCESSORY IN A BLOW MOLDED PLASTIC TANK | 3 |
Gilles Lacome | FR | Avril | 2012-02-16 / 20120040043 - Modular Manifold System | 3 |
Jean Luc Lacome | FR | Grenade Sur Garonne | / - | 1 |
Christophe Lacomme | GB | Edinburgh Lothian | 2010-07-08 / 20100173407 - INHIBITION OF GENE EXPRESSION | 1 |
Christophe Lacomme | GB | Invergowrie | 2009-02-26 / 20090055963 - Systemic gene silencing in plants | 1 |
Philippe Lacomme | FR | Igny | 2009-10-01 / 20090243911 - METHOD AND DEVICE FOR POSITIONING AIRCRAFT, SUCH AS FOR AUTOMATIC GUIDING DURING THE LANDING PHASE | 2 |
Xavier Lacondemine | FR | Alixan | 2015-01-22 / 20150025835 - METHOD AND DEVICE FOR MEASURING THE SPEED OF AN AIRCRAFT BY DOPPLER | 1 |
Xavier Lacondemine | FR | Valence | 2015-11-12 / 20150323559 - METHOD FOR DETERMINING THE SPEED OF A ROTOCRAFT RELATIVE TO THE SURROUNDING AIR | 7 |
Xavier Lacondemine | FR | Ville | 2011-02-24 / 20110043786 - METHOD OF MEASURING THE VELOCITY OF AN AIRCRAFT BY LASER DOPPLER ANEMOMETRY | 1 |
Vivien Lacone | FR | Nantes | 2011-02-03 / 20110028411 - ALPHA-GALACTOCERAMIDE ANALOGS, THEIR METHODS OF MANUFACTURE, INTERMEDIATE COMPOUNDS USEFUL IN THESE METHODS, AND PHARMACEUTICAL COMPOSITIONS CONTAINING THEM | 1 |
Sandro Laconi | IT | Pula | 2010-12-02 / 20100306183 - ELECTRONIC SYSTEM FOR A SOCIAL -NETWORK WEB PORTAL APPLIED TO THE SECTOR OF HEALTH AND HEALTH INFORMATION | 1 |
Matthew Laconte | US | Maryland Heights | 2013-04-25 / 20130102967 - Magnetic Trocar System | 2 |
Kirstin Nora Laconte | US | Waukesha | 2009-01-22 / 20090024036 - Method and apparatus for multiple transmit contrast imaging | 2 |
Matthew Laconte | US | O'Fallon | 2013-10-03 / 20130261610 - SURGICAL INSTRUMENT HANDLE WITH CAM-ACTUATING SYSTEM | 1 |
Matthew Paul Laconte | US | Maryland Heights | 2014-05-08 / 20140128771 - VITRECTOMY AND BIOPSY SYSTEM AND METHODS OF ASSEMBLING SAME | 1 |
Matthew P. Laconte | US | Maryland Heights | 2014-07-10 / 20140194870 - DUAL IRRIGATING BIPOLAR FORCEPS | 1 |
Matthew Laconte | US | Wildwood | 2014-04-17 / 20140107625 - IRRIGATING CANNULA SYSTEM AND METHODS | 3 |
Peter Laconte | US | Woodland Park | 2016-03-31 / 20160095163 - METHOD AND APPARATUS FOR A SINGLE UNIT SMALL, LOW-POWER BASE STATION SUPPORTING BOTH METRO CELL OUTDOOR (MCO) AND METRO RADIO OUTDOOR (MRO) OPERATIONS | 1 |
Stephen Laconte | US | Houston | 2009-11-12 / 20090279736 - MAGNETIC RESONANCE EYE TRACKING SYSTEMS AND METHODS | 1 |
Peter Laconte | US | West Paterson | 2011-03-31 / 20110075616 - Baseband unit interfacing between baseband section and radio frequency section and method thereof | 1 |
Richard J. Laconte | US | Black Diamond | 2015-11-19 / 20150329207 - OVERHEAD STORAGE COMPARTMENT COVER ASSEMBLY AND METHODS TO USE SAME | 7 |
Matthew Laconte | US | Chesterfield | 2014-06-26 / 20140180013 - TROCAR SYSTEM HAVING A DRIVE | 1 |
Dennis Barry Laconte | US | Peoria | 2014-11-13 / 20140331703 - AIR-CONDITIONING SYSTEM | 1 |
Richard James Laconte | US | Black Diamond | 2009-06-18 / 20090152878 - RESETTABLE RELEASE LATCH | 2 |
Anthony B. Laconti | US | Lynnfield | 2012-05-10 / 20120111198 - Straight pore microfilter with efficient regeneration | 10 |
Ronald W. Laconto | US | Leicester | 2015-06-04 / 20150155181 - CHEMICAL MECHANICAL PLANARIZATION USING NANODIAMOND | 2 |
Piero Lacopetti | IT | Uzzano | 2009-07-16 / 20090180860 - PROTECTION DEVICE FOR A TURBINE STATOR | 2 |
Gina Lacorcia | US | Concord | 2015-04-09 / 20150099861 - ANTI ALPHABETATCR ANTIBODY | 1 |
Christelle Lacorre | FR | Etampes | 2014-03-27 / 20140087027 - Probiotic Enriched And Low Organic Acid Food Products | 3 |
Christelle Lacorre | FR | Palaiseau | 2008-08-28 / 20080206403 - Probiotic Enriched and Low-Organic Acid Food Products | 1 |
Andrea Lacorte | IT | Pisa | 2015-09-10 / 20150250885 - SOLID COMPOSITION COMPRISING IRON FOR USE IN IRON DEFICIENT CONDITIONS | 3 |
John Lacorte | US | Venice | 2015-05-14 / 20150131282 - SOLID STATE OUTDOOR OVERHEAD LAMP ASSEMBLY | 2 |
Joseph Lacorte | US | Garden City | 2009-01-22 / 20090024537 - Exchange-traded fund and process for trading same | 1 |
Michael Lacorte | US | Oro Valley | 2014-10-09 / 20140301576 - Adjustable audio splitter | 1 |
Jon B. Lacorte | US | East Northport | 2012-06-14 / 20120144720 - Gun sight reticle having open sighting areas for bullet drop compensation | 1 |
Jason J. Lacoss-Arnold | US | Saint Louis | 2016-05-12 / 20160132887 - SYSTEMS AND METHODS FOR DETECTING COMPROMISED AUTOMATED TELLER MACHINES | 1 |
Jason Lacoss-Arnold | US | St. Louis | 2015-10-22 / 20150302410 - Systems and Methods for Use in Inhibiting Theft of Payment Cards | 1 |
Jason J. Lacoss-Arnold | US | St. Louis | 2015-05-21 / 20150142656 - SYSTEMS AND METHODS FOR INTEGRATING AN ENVIRONMENTAL IMPACT OFFSET WITH A PAYMENT CARD USAGE PROGRAM | 1 |
Jason Jay Lacoss-Arnold | US | Saint Louis | 2015-10-15 / 20150294291 - SPLIT MESSAGE INITIATED PAYMENT SYSTEM, METHOD AND APPARATUS | 1 |
Jason Jay Lacoss-Arnold | US | St. Louis | 2016-04-21 / 20160110709 - SYSTEMS AND METHODS FOR DETECTING POTENTIALLY COMPROMISED PAYMENT CARDS | 1 |
Justin Lacosse | US | Calumet | 2009-12-17 / 20090309262 - MANUFACTURING APPARATUS AND METHOD FOR PRODUCING A PREFORM | 2 |
Scott N. Lacosta | US | Lafayette | 2015-03-05 / 20150066026 - SWITCH ASSEMBLIES FOR MULTI-FUNCTION, ENERGY-BASED SURGICAL INSTRUMENTS | 3 |
Alfred W. La Costa | US | Pittstown | 2013-01-03 / 20130004742 - Method of Transfer Printing Using White Toner | 1 |
Jean-Eric Lacoste | CA | Laval | 2015-01-08 / 20150011531 - INHIBITORS OF HIV REPLICATION | 3 |
Lilian Lacoste | GB | Milton | 2010-07-29 / 20100189309 - Device for optically detecting position and/or orientation of objects comprising at least one linear sensor | 1 |
Gérard Lacoste | FR | Aurillac | 2009-07-02 / 20090169531 - Use of symbiotic for the treatment of atopic dermatitis | 1 |
François Lacoste | FR | Paris | 2009-12-03 / 20090299180 - Distance-determining method and treatment apparatus which uses said method | 1 |
Philippe Lacoste | FR | Pompiginac | 2009-06-04 / 20090139082 - ELECTRIC COUPLING OF A CONNECTION TO AN ELECTROCHEMICAL BUNDLE | 1 |
François Lacoste | FR | Roquefort Les Pins | 2015-02-05 / 20150039922 - DYNAMIC LOW POWER STATES CHARACTERIZATION | 1 |
Holger Lacoste | DE | Nackenheim | 2014-05-15 / 20140132424 - PARKING ASSISTANCE SYSTEM, METHOD FOR OPERATING A PARKING ASSISTANCE SYSTEM, COMPUTER PROGRAM, COMPUTER-READABLE MEDIUM AND MOTOR VEHICLE | 1 |
Gérard Lacoste | FR | Aurillac | 2009-07-02 / 20090169531 - Use of symbiotic for the treatment of atopic dermatitis | 1 |
Marc Lacoste | FR | Gradignan | 2013-11-21 / 20130310238 - COMPOSITE MATERIAL ROLLER FOR HIGH-TEMPERATURE ANNEALING | 1 |
Lilian Lacoste | GB | Cambridgeshire | 2012-09-06 / 20120224062 - HEAD UP DISPLAYS | 1 |
Francine Lacoste | CA | Longueuil | 2012-01-19 / 20120012187 - WINDSHIELD WASHER FLUID SUPPLYING SYSTEM AND METHOD | 1 |
Francois Lacoste | FR | Gentilly | 2015-10-29 / 20150305821 - IMAGE-GUIDED THERAPEUTIC APPARATUS AND METHOD OF PREPARATION OF AN IMAGE-GUIDED THERAPEUTIC APPRATUS FOR TREATMENT OF TISSUE | 4 |
Lilian Lacoste | FR | Merignac | 2010-04-01 / 20100079830 - DEVICE FOR OPTICALLY DETECTING POSITION AND/OR ORIENTATION OF OBJECTS AND ASSOCIATED DETECTION METHODS | 1 |
Sandrine Lacoste | FR | Carignan | 2011-03-31 / 20110077301 - NOVEL METHODS OF ADMINISTERING A MIXTURE OF FATTY ACIDS FOR THE TREATMENT OF NON-HUMAN MAMMALS | 2 |
Francois Lacoste | FR | Paris | 2012-09-20 / 20120238873 - Ultrasound Treatment Device | 9 |
Aymeric Lacoste | FR | Toulouse | 2012-08-02 / 20120195077 - NOVEL ARCHITECTURE OF A COMPENSATOR FOR POWER FACTORS AND HARMONICS FOR A POWER DISTRIBUTION SYSTEM | 1 |
Jean-Michel Lacoste | FR | Sevres | 2013-12-12 / 20130331380 - DIHYDROINDOLONE COMPOUNDS, A PROCESS FOR THEIR PREPARATION AND PHARMACEUTICAL COMPOSITIONS CONTAINING THEM | 3 |
Francois Lacoste | FR | Neuilly Sur Seine | 2011-09-22 / 20110230369 - METHOD FOR SCREENING COMPOUNDS FOR THEIR ABILITY TO INCREASE RIGIDITY OF RED BLOOD CELLS INFECTED BY A PROTOZOAN PARASITE OF THE GENUS PLASMODIUM, METHOD FOR FILTERING RED BLOOD CELLS, AND APPLICATION THEREOF | 1 |
Jean-Patrick Lacoste | FR | Vincennes | 2011-09-29 / 20110238277 - METHOD AND SYSTEM FOR MANAGING THE ENERGY VARIATION OF AN AIRCRAFT | 1 |
Deanna Lacoste | FR | Chatenay-Malabry | 2015-06-25 / 20150179411 - APPARATUS FOR GENERATING REACTIVE GAS WITH GLOW DISCHARGES AND METHODS OF USE | 1 |
Élaine Lacoste | CA | Montreal | 2016-05-05 / 20160121379 - MOBILE SOIL TREATMENT UNIT | 1 |
Ana Lacoste | FR | Saint Martin Le Vinoux | 2015-05-21 / 20150137682 - GLOW DISCHARGE LAMP | 5 |
Francois Lacoste | FR | Paris | 2012-09-20 / 20120238873 - Ultrasound Treatment Device | 9 |
Lilian Lacoste | GB | Cambridge | 2011-06-30 / 20110157667 - Holographic Image Display Systems | 1 |
Lilian Lacoste | FR | Gan | 2015-06-25 / 20150177861 - Touch Sensing Systems | 3 |
Jean-Eric Lacoste | CA | Laval (québec) | 2015-10-01 / 20150274744 - INHIBITORS OF CYTOMEGALOVIRUS | 1 |
Pauline Lacoste | FR | Sevres | 2013-12-12 / 20130331380 - DIHYDROINDOLONE COMPOUNDS, A PROCESS FOR THEIR PREPARATION AND PHARMACEUTICAL COMPOSITIONS CONTAINING THEM | 3 |
Vincent Lacoste | FR | Sevres | 2013-12-12 / 20130331380 - DIHYDROINDOLONE COMPOUNDS, A PROCESS FOR THEIR PREPARATION AND PHARMACEUTICAL COMPOSITIONS CONTAINING THEM | 3 |
Russell R. Lacoste | US | Mount Pleasant | 2015-07-02 / 20150185160 - CAPSULE OR CORK COMPRISING SECURITY FEATURES | 2 |
Jene-Eric Lacoste | US | 2015-10-01 / 20150274744 - INHIBITORS OF CYTOMEGALOVIRUS | 1 | |
Thilo Lacoste | DE | Ballrechten-Dottingen | 2012-02-09 / 20120032527 - THERMOGENERATOR ARRANGEMENT, THERMAL SWITCH, AND METHOD FOR OPERATING AN ELECTRICAL DEVICE | 1 |
Arnaud Lacoste | US | Cambridge | 2011-04-28 / 20110099646 - GREEN FLUORESCENT PROTEIN OPTIMIZED FOR EXPRESSION WITH SELF-CLEAVING POLYPEPTIDES | 2 |
Marc Lacoste | FR | Versailles | 2015-03-26 / 20150089572 - System for Supervising the Security of an Architecture | 1 |
Brendan A. Lacounte | US | Roseville | 2014-12-11 / 20140365356 - Future Credit Score Projection | 1 |
Bertrand Lacour | US | 2014-04-17 / 20140102800 - Rotary Steerable Drilling System for Drilling a Borehole in an Earth Formation | 1 | |
Olivier Lacour | FR | Paris | 2016-03-10 / 20160073168 - CHANNEL OF TOP SOCIALLY-COMMENTED AUDIO VISUAL CONTENT | 5 |
Thierry Lacour | DE | Stutensee | 2009-01-22 / 20090023172 - GTP Cyclohydrolase II as a Target for Fungicides | 1 |
Josefine Lacour | CH | Dielsdorf | 2012-12-06 / 20120304983 - NASAL SPRAY | 1 |
Bertrand Lacour | GB | Willingham | 2010-06-10 / 20100139983 - ROTARY STEERABLE DEVICES AND METHODS OF USE | 2 |
Stéphanie Perichon Lacour | GB | Cottenham | 2010-09-09 / 20100224919 - Ferroic Component | 1 |
Stephanie P. Lacour | GB | Willingham | 2010-10-14 / 20100259472 - INPUT DEVICE | 1 |
Stephanie Lacour | GB | Cambridge | 2011-01-27 / 20110021943 - NEURAL INTERFACE | 1 |
Jean-Luc Lacour | FR | Villebon-Sur-Yvette | 2014-03-27 / 20140085631 - DEVICE FOR THE HIGH-RESOLUTION MAPPING AND ANALYSIS OF ELEMENTS IN SOLIDS | 1 |
Mark E. Lacour | US | Glastonbury | 2016-05-19 / 20160138523 - Optimal Thrust Control of an Aircraft Engine | 4 |
Mark J. Lacour | US | Katy | 2009-10-29 / 20090266744 - PROCESS FOR PRE-TREATING A DESULFURIZATION SORBENT | 2 |
Jean-Christophe Gilbert Lacour | GB | London | 2016-02-04 / 20160036800 - METHOD AND SYSTEM FOR CREATING A UNIQUE IDENTIFIER | 3 |
Chad Lacour | US | Baton Rouge | 2013-09-05 / 20130230227 - DIGITAL RADIOGRAPHY PLATE IDENTIFICATION SYSTEM | 2 |
Gilles Lacour | FR | Belly | 2013-06-27 / 20130162380 - CONNECTION DEVICE WITHOUT ELECTRICAL CONTACT, ALLOWING THE TRANSMISSION OF THREE-PHASE ELECTRICAL POWER | 1 |
Jean-Charles Lacour | FR | Clermont-Ferrand | 2008-12-04 / 20080295942 - Optimized Support Element | 2 |
Ulderic Lacour | US | San Jose | 2014-10-02 / 20140298122 - DUAL MASTER JTAG METHOD, CIRCUIT, AND SYSTEM | 1 |
Dominiqu Lacour | FR | Treses | 2011-08-25 / 20110207378 - USE OF POLYMERISABLE RESINS WITH LOW VACUUM OUTGASSING FOR THE MANUFACTURE OF COMPOSITE MATERIALS FOR USE IN SPACE | 1 |
Frédéric Lacour | FR | Arcueil | 2010-06-17 / 20100147675 - Synthesis of Silicon Nanocrystals by Laser Pyrolysis | 1 |
Donna E. Lacour | US | Kenner | 2013-04-25 / 20130099068 - Pipe hanger assembly | 1 |
Thierry Lacour | FR | Le Rouret | 2010-10-28 / 20100270241 - REDUCTION OF ANTIMICROBIAL COMPOUND LEVELS DURING PRODUCT DISPENSING | 1 |
Dominique Lacour | FR | Tresses | 2016-02-04 / 20160031152 - LINK BETWEEN A THIN METAL LINER AND A COMPOSITE WALL BY THERMOPLASTIC PARTICLE-FILLED COATING | 5 |
Patrick J. Lacour | US | Mckinney | 2014-05-22 / 20140143741 - FRAGMENTATION POINT AND SIMULATION SITE ADJUSTMENT FOR RESOLUTION ENHANCEMENT TECHNIQUES | 2 |
Stephanie Lacour | CH | Daillens | 2015-05-28 / 20150143925 - STRETCHABLE ELECTRONIC STRUCTURES FORMED OF THIN FILMS INTEGRATED WITH SOFT HETEROGENEOUS SUBSTRATE | 1 |
Bernard Lacour | FR | Sainte Michel Sur Orge | 2009-10-15 / 20090255809 - METHOD OF CLEANING THE SURFACE OF A MATERIAL COATED WITH AN ORGANIC SUBSTANCE AND GENERATOR AND DEVICE FOR CARRYING OUT SAID METHOD | 1 |
Frédéric Lacour | FR | Arcueil | 2013-07-25 / 20130189161 - Synthesis of Silicon Nanocrystals by Laser Pyrolysis | 2 |
Dominique Lacour | FR | Tresse | 2014-05-29 / 20140144887 - TOOLSET AND METHOD FOR PRODUCING A METAL LINER | 1 |
Bertrand Lacour | GB | Cambridge | 2013-12-26 / 20130341098 - Directional Drilling System | 1 |
Emmanuel Lacour | FR | Royat | 2009-06-04 / 20090139631 - Tyre Comprising a Bielastic Reinforcing Element | 1 |
Gilles Lacour | FR | Belley | 2015-04-09 / 20150097529 - CONNECTION SYSTEM FOR THE CHARGING OF AN ELECTRIC VEHICLE | 6 |
Sandrine A. Lacour | FR | Alfortville | 2013-06-20 / 20130156806 - Antigenic Polypeptides of Trichinella and Uses Thereof | 1 |
Bertrand Lacour | CH | Daillens | 2014-04-17 / 20140102800 - Rotary Steerable Drilling System for Drilling a Borehole in an Earth Formation | 1 |
Nicolas Lacour | FR | Villeurbanne | 2010-01-14 / 20100007461 - METHOD FOR PASSIVE KEYLESS ENTRY OF A MOTOR VEHICLE ESPECIALLY OF AN INDUSTRIAL VEHICLE | 1 |
Stéphanie Perichon Lacour | GB | Cottenham | 2010-09-09 / 20100224919 - Ferroic Component | 1 |
Kerry J. Lacour | US | Defuniak Springs | 2008-10-09 / 20080246250 - Gauge mount for motorcycle | 1 |
William R. Lacourse | US | Catonsville | 2009-08-13 / 20090200181 - Platform for analysis liquid samples | 1 |
Joanne Snare Lacourse | US | Hudson | 2010-11-25 / 20100297986 - PRESENCE LITE | 1 |
John R. Lacourse | US | Lee | 2012-12-27 / 20120330571 - System to measure forces on an insertion device | 1 |
Alain Lacourse | CA | Laval | 2014-10-16 / 20140306326 - Tunable Semiconductor Component Provided with a Current Barrier | 3 |
Jim C. Lacourse | US | Fort Lauderdale | 2015-10-15 / 20150291261 - Lift For Hand-Held Underwater propulsion Device | 1 |
Jacob Lacourse | US | Middleboro | 2014-09-18 / 20140259656 - DATA LOGGER SENSOR COMPONENT ASSEMBLY AND TEST PROCESS | 2 |
Brian C. Lacourse | US | Pepperell | 2016-05-12 / 20160130184 - SINTERED CERAMIC COMPONENT AND A PROCESS OF FORMING THE SAME | 7 |
Michael Lacourse | US | Manchester | 2015-08-13 / 20150223522 - Method for Assembling a Cartridge for a Smoking Article | 1 |
Saul Lacoursiere | US | Fridley | 2012-12-13 / 20120312095 - GYROSCOPE DYNAMIC MOTOR AMPLITUDE COMPENSATION FOR ENHANCED RATE ESTIMATION DURING STARTUP | 1 |
Gary Lacourt | US | Franklin | 2013-09-19 / 20130239614 - Sapphire Coated Gemstone | 1 |
Michael W. Lacourt | US | Spencerport | 2015-09-24 / 20150269412 - FULL RESOLUTION COLOR IMAGING OF AN OBJECT | 5 |
Philip Roland Lacourt | US | Chillicothe | 2010-05-06 / 20100108140 - DEVICE CAPABLE OF THERMALLY COOLING WHILE ELECTRICALLY INSULATING | 3 |
Pierre-Ambroise Lacourt | FR | Besancon | 2015-06-11 / 20150158120 - LASER NANOMACHINING DEVICE AND METHOD | 1 |
Stéphane Lacouture | DE | Muenchen | 2012-05-10 / 20120117448 - Apparatus and Method for Correcting at least one Bit Error within a Coded Bit Sequence | 1 |
Francois Lacouture | FR | Besancon | 2014-02-13 / 20140041729 - HYBRID DOSING UNIT | 1 |
Mario E. Lacouture | US | New York | 2016-04-14 / 20160101114 - ARTICLES AND METHODS FOR PREVENTING AND TREATING DERMATOLOGIC ADVERSE EVENTS | 1 |
Guy Lacouture | FR | Clermont-Ferrand | 2014-05-15 / 20140130959 - METHOD FOR PRODUCING A TIRE CARCASS PLY | 1 |
Peter Lacouture | US | Gurnee | 2014-08-07 / 20140221490 - METHODS OF TREATING PAIN | 1 |
Stephane Lacouture | DE | Muenchen | 2013-12-26 / 20130346834 - APPARATUS AND METHOD FOR CORRECTING AT LEAST ONE BIT ERROR WITHIN A CODED BIT SEQUENCE | 1 |
Stephane Lacouture | FR | Gieres | 2016-03-17 / 20160078963 - PROGRAMMING OF ANTIFUSE CELLS | 1 |
Charles Dominic Lacovangelo | US | Saratoga Springs | 2011-05-05 / 20110104570 - CATHODE COMPOSITIONS COMPRISING ZN AND CHALCOGENIDE AND ENERGY STORAGE CELL COMPRISING SAME | 1 |
Donald P. Lacovoni | US | Plymouth | 2014-02-20 / 20140052346 - SOFT START WINDOW REGULATOR | 1 |
Sean Lacow | US | Boca Raton | 2008-09-11 / 20080216454 - Horse blanket | 1 |
Valérie Lacrampe | FR | Vernaison | 2011-10-06 / 20110244206 - EXTRUDED PLASTIC FILM FILLED WITH METAL PARTICLES, METHOD OF PRODUCTION AND USES THEREOF | 1 |
Jean Fernand Armand Lacrampe | FR | Le Mesnil-Esnard | 2015-04-16 / 20150105368 - PYRAZOLYL QUINOXALINE KINASE INHIBITORS | 7 |
Valérie Lacrampe | FR | Vernaison | 2015-08-27 / 20150240041 - Extruded Plastic Film Filled with Metal Particles, Method of Production and Uses Thereof | 1 |
Valerie Lacrampe | FR | Saint-Maurice-De-Beynost | 2014-01-23 / 20140020749 - MULTILAYER WHITE POLYESTER FILM METHOD FOR MANUFACTURING SAID FILM AND USE OF THIS FILM AS PART OF A BACK SHEET FOR PHOTOVOLTAIC CELLS | 1 |
Paul Lacrampe | US | Seattle | 2011-01-13 / 20110010632 - COMPUTER INTERCONNECTION SYSTEM | 1 |
Alejandro Lacreu | US | New York | 2010-06-03 / 20100132502 - QUICK RELEASE STEM | 2 |
Barry Lacroix | CA | Corunna | 2012-06-28 / 20120160755 - CYLINDRICAL CARTRIDGE FILTER WITH DETACHABLE CORE | 2 |
Yves Lacroix | US | San Jose | 2011-12-01 / 20110291027 - METHODS AND SYSTEMS FOR SIMULTANEOUS REAL-TIME MONITORING OF OPTICAL SIGNALS FROM MULTIPLE SOURCES | 3 |
Debbie J. Lacroix | US | Idaho Falls | 2009-02-26 / 20090050799 - Transition molding | 1 |
Mark E. Lacroix | US | Winchester | 2014-11-20 / 20140340077 - SENSOR ASSEMBLY | 7 |
Christopher P. Lacroix | US | Winchester | 2009-11-12 / 20090280033 - Pipette Tip Mounting And Ejection Assembly And Associated Pipette Tip | 1 |
Stephen E. Lacroix | US | Morristown | 2010-02-11 / 20100035130 - ELECTROLYTE WITH INDICATOR | 1 |
Michael E. Lacroix | US | Mequon | 2010-04-29 / 20100101078 - NEGATIVE GRID FOR BATTERY | 1 |
Jeffrey A. Lacroix | US | Irving | 2014-11-20 / 20140341210 - DELIVERING CORRECT NUMBER INFORMATION IN A PRIVATE SIP NETEWORK | 2 |
Luc Guy Louis Lacroix | US | West Bloomfield | 2010-09-30 / 20100246203 - SYSTEM AND METHOD FOR EXTERIOR LIGHTING OF VEHICLES | 1 |
Robert Lacroix | US | San Jose | 2015-11-19 / 20150332566 - SYSTEM WITH WEARABLE DEVICE AND HAPTIC OUTPUT DEVICE | 16 |
Jonathan B. Lacroix | US | San Francisco | 2011-03-03 / 20110050098 - GLASS LED LIGHT BULBS | 1 |
Nicki Lacroix | US | Clovis | 2011-03-17 / 20110065550 - Isometric Grip Device and Method of Exercise | 1 |
David Matthew Lacroix | US | Circle Pines | 2013-01-03 / 20130000623 - BLOCK SPLITTING ASSEMBLY AND METHOD | 3 |
Robert A. Lacroix | US | San Jose | 2016-03-10 / 20160070353 - ECCENTRIC ROTATING MASS ACTUATOR OPTIMIZATION FOR HAPTIC EFFECTS | 6 |
Brian Lacroix | US | Dallas | 2016-04-28 / 20160119294 - METHODS AND SYSTEMS FOR DATA TRAFFIC CONTROL AND ENCRYPTION | 1 |
Stéphane Lacroix | FR | Talmont Saint Hilaire | 2013-01-03 / 20130004624 - Cardboard-Box-Free Packaging Of Solid Yeast Products | 1 |
Bruno Lacroix | FR | Bois-D'Amont | 2013-08-01 / 20130193141 - LID FOR PACKAGING CONTAINER AND METHOD OF FABRICATION | 1 |
Timothy P. Lacroix | US | Buffalo | 2011-11-17 / 20110282225 - TECHNIQUES FOR REVIEWING AND ANALYZING IMPLANTABLE MEDICAL DEVICE SYSTEM DATA | 1 |
Pascal Lacroix | FR | Agnetz | 2011-09-15 / 20110222964 - HIGHWAY DEVICE, IN PARTICULAR MANHOLE, WITH FRAME AND PLUG WITH BACKWARD MOVEMENT RELATIVE TO THE FRAME TO ENSURE THE CLOSED POSITION OF THE PLUG IN THE FRAME | 1 |
Andrew Thomas Lacroix | US | Raleigh | 2015-10-15 / 20150292989 - Wheel-Tracking Devices and Related Systems and Methods | 1 |
Sébastien Lacroix | FR | Carrieres Sur Seine | 2011-09-22 / 20110229268 - SECURED FUSIBLE | 1 |
David M. Lacroix | US | St. Paul | 2015-02-12 / 20150042015 - RETAINING WALL BLOCK | 17 |
Graig Aaron Lacroix | US | Antioch | 2011-06-02 / 20110126909 - ROTARY UNION WITH SELECTIVELY CONTROLLED SEAL | 1 |
Nathalie Lacroix | FR | Clamart | 2015-10-22 / 20150300894 - PIEZOELECTRIC ACTUATOR AND PROCESS FOR MANUFACTURING SAME | 1 |
Robert Andre Lacroix | US | San Jose | 2015-02-26 / 20150054768 - HAPTIC EFFECTS WITH PROXIMITY SENSING | 3 |
Florian Benjamin Kévin Lacroix | FR | Moissy-Cramayel | 2015-10-29 / 20150308289 - ATTACHMENT OF A DISCHARGE CONDUIT OF A TURBINE ENGINE | 1 |
Jean-Christophe Lacroix | FR | La Varenne Saint Hilaire | 2013-03-28 / 20130079529 - ORGANIC COMPOUNDS, PROCESS FOR PREPARING SAME AND USES IN ELECTRONICS | 1 |
Marie-Jose Lacroix | FR | Paris | 2011-09-29 / 20110238442 - System And Method For Customizing Workflow Using Standard Formats For Information Transfer | 1 |
Monique Lacroix | CA | Saint-Lambert | 2011-09-29 / 20110236359 - Antimicrobial Activity of Bacteriocin-Producing Lactic Acid Bacteria | 1 |
Raymond Lacroix | CA | St-Mathias | 2011-07-07 / 20110163285 - Tool and method for lifting a reservoir | 1 |
Christophe Jan Lacroix | CH | Kilchberg | 2013-03-07 / 20130058870 - 3D NANOCRYSTALS AND MANUFACTURING METHODS | 1 |
Christian Lacroix | CA | Montreal | 2015-02-12 / 20150045231 - INCREASED NORMAL ZONE PROPAGATION VELOCITY IN SUPERCONDUCTING SEGMENTS | 1 |
Darien Lacroix | US | Texarkana | 2015-03-26 / 20150083090 - FUEL DELIVERY SYSTEM FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Bertrand Lacroix | FR | Villejuif | 2016-04-07 / 20160095812 - ESSENTIAL OIL OF SATUREJA MONTANA WITH A HIGH GERANIOL CONTENT, AND USE FOR TREATING GREASY SKIN AND/OR THE ASSOCIATED AESTHETIC DEFECTS | 3 |
Yannick Maurice Lacroix | GB | Research Triangle Park | 2013-01-31 / 20130030031 - Novel Compounds | 1 |
Francis Lacroix | CA | Montreal | 2014-03-13 / 20140074845 - METHODS, SYSTEMS, AND COMPUTER-READABLE MEDIA FOR SEMANTICALLY ENRICHING CONTENT AND FOR SEMANTIC NAVIGATION | 2 |
Pierre Lacroix | FR | Montauban | 2014-10-30 / 20140321047 - POWER SUPPLY BASE FOR ELECTRONIC PAYMENT TERMINAL AND ELECTRONIC PAYMENT TERMINAL | 2 |
Philippe Lacroix | CA | Lachine | 2013-01-24 / 20130024132 - METHOD FOR VALIDATION OF POLYMER AQUEOUS SOLUTIONS CONCENTRATION AND ACTIVATION IN WATER TREATMENT APPLICATIONS AND POLYMER MAKE-UP UNIT THEREFOR | 1 |
Mark Lacroix | US | Winchester | 2015-04-02 / 20150091554 - MAGNETIC ENCODER FOR PRODUCING AN INDEX SIGNAL | 1 |
Nathalie Lacroix | FR | Paris | 2015-12-10 / 20150355365 - Well-Logging Device with Dielectric Thermoset Material | 1 |
Monique Lacroix | CA | Quebec | 2013-01-10 / 20130011374 - GROWTH INHIBITION OF MICROORGANISMS BY LACTIC ACID BACTERIA | 1 |
Andrew Lacroix | CA | Ottawa | 2012-12-27 / 20120327952 - ETHERNET TAG APPROACH TO SUPPORT NETWORKING TASK OFFLOAD | 1 |
Darien Lynn Lacroix | US | Texarkana | 2012-12-20 / 20120318249 - FUEL DELIVERY SYSTEM FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Jeaninne Lacroix | DE | Heidelberg | 2014-07-24 / 20140205573 - ONCOLYTIC VIROTHERAPY FOR THE THERAPY OF SARCOMA | 1 |
Vincent Lacroix | FR | Frejus | 2014-02-06 / 20140039944 - METHOD AND SYSTEM PROVIDING INVENTORY OPTIMIZATION FOR DISRUPTED CUSTOMERS | 1 |
Emmanuel Lacroix | FR | Paris | 2014-05-01 / 20140121115 - CUSTOM-MADE MEGANUCLEASE AND USE THEREOF | 12 |
Joachim Lacroix | DE | Flonheim | 2015-08-06 / 20150219132 - RETAINING CLIP FOR AT LEAST ONE ELONGATE ELEMENT | 10 |
Fabien Lacroix | FR | Dardilly | 2013-07-04 / 20130170973 - MIXING SYSTEM FOR AN EXHAUST GASES AFTER-TREATMENT ARRANGEMENT | 1 |
Brian Lacroix | US | Burlington | 2014-12-11 / 20140362223 - Theft Deterrent System at Product Display Area with Targeted Advertising | 1 |
Donald L. Lacroix | US | Jericho | 2014-02-27 / 20140059386 - REAL-TIME RULE ENGINE FOR ADAPTIVE TESTING OF INTEGRATED CIRCUITS | 2 |
Christophe Lacroix | FR | Mareil/maulitle | 2008-08-28 / 20080201993 - Shoe Sole Composed of Polyamide Resin Composition and Shoe Using Same | 1 |
Roger Lacroix | FR | Village-Neuf | 2008-11-13 / 20080280052 - Process for Printing Textile Fibre Materials in Accordance with the Ink-Jet Printing Process | 1 |
Alain Lacroix | FR | Clamart | 2008-11-27 / 20080289636 - Device For the Angular Positioning of a Limb of a Patient Resting on an Operating Table | 1 |
Luc Guy Louis Lacroix | US | Dunstable | 2014-04-24 / 20140112003 - METHODS AND APPARATUS RELATED TO AN OPTICAL LENS FOR A LED | 2 |
Lise-Marie Lacroix | FR | Toulouse | 2009-12-03 / 20090294404 - PROCESS FOR CONTROLLING SURFACE WETTABILITY | 1 |
Michel Lacroix | CA | Kanata | 2012-09-27 / 20120244313 - HINGE TYPE SEAMING ELEMENT FOR JOINING ENDS OF AN INDUSTRIAL TEXTILE | 1 |
Pierre Lacroix | FR | Saint Peray | 2013-10-03 / 20130262299 - ELECTRONIC PAYMENT DEVICE ABLE TO RECEIVE AND HOLD A PORTABLE TELEPHONE | 3 |
Bernard Lacroix | FR | Sainte Consorce | 2016-02-25 / 20160054238 - DEVICE FOR NEAR FIELD AND FAR FIELD IMAGING IN THE MICROWAVE RANGE | 1 |
Fabien Gaël Léo Lacroix | FR | Paris | 2015-06-04 / 20150153101 - METHOD FOR PRODUCING A TREATED NATURAL GAS, A CUT RICH IN C3+ HYDROCARBONS AND OPTIONALLY AN ETHANE-RICH STREAM, AND ASSOCIATED FACILITY | 1 |
Joyca Petra Wilma Lacroix | NL | Eindhoven | 2016-04-28 / 20160117469 - HEALTHCARE SUPPORT SYSTEM AND METHOD | 6 |
Luke D. Lacroix | US | Williston | 2015-09-03 / 20150247896 - CIRCUIT FOR DETECTING STRUCTURAL DEFECTS IN AN INTEGRATED CIRCUIT CHIP, METHODS OF USE AND MANUFACTURE AND DESIGN STRUCTURES | 5 |
Sophie Lacroix | FR | Loyettes | 2012-07-12 / 20120177856 - PVC RESIN COMPOSITIONS | 1 |
Christophe Lacroix | CH | Kilchberg | 2015-12-10 / 20150352162 - BABY FOOD COMPOSITION COMPRISING VIABLE PROPIONIC ACID-PRODUCING BACTERIA | 2 |
James Alan Lacroix | US | Zebulon | 2016-02-11 / 20160041406 - GLASSES WITH FLUID-FILLABLE MEMBRANE FOR ADJUSTING FOCAL LENGTH OF ONE OR MORE LENSES OF THE GLASSES | 1 |
Paul Lacroix | US | Louisville | 2012-06-28 / 20120160755 - CYLINDRICAL CARTRIDGE FILTER WITH DETACHABLE CORE | 2 |
Roger T. Lacroix | US | Bedford | 2016-02-25 / 20160054307 - LED ASSAY READER WITH TOUCHSCREEN CONTROL AND BARCODE SAMPLE ID | 2 |
Robert Lacroix | CA | St. Lambert | 2015-07-02 / 20150185885 - Devices, Systems, and Methods For Using Corrugated Tessellation To Create Surface Features | 1 |
Robert Lacroix | US | San Jose | 2015-11-19 / 20150332566 - SYSTEM WITH WEARABLE DEVICE AND HAPTIC OUTPUT DEVICE | 16 |
Alain Lacroix | BE | Anthisnes | 2016-05-19 / 20160139001 - TEST BENCH FOR AN AXIAL TURBINE ENGINE WITH A VERTICAL WIND POWER ENGINE | 2 |
Timothee Lacroix | FR | Paris | 2016-02-04 / 20160034511 - INDEXING USER-DEFINED MINUTIAE | 1 |
David M. Lacroix | US | St. Paul | 2015-02-12 / 20150042015 - RETAINING WALL BLOCK | 17 |
David M. Lacroix | US | Circle Pines | 2009-11-12 / 20090277121 - MASONRY BLOCK AND METHOD OF MAKING SAME | 1 |
David M. Lacroix | US | Saint Paul | 2009-05-14 / 20090123228 - CABLED MAT SYSTEM WITH REMOVABLE BLOCKS | 1 |
Joachim Lacroix | DE | Flonheim | 2015-08-06 / 20150219132 - RETAINING CLIP FOR AT LEAST ONE ELONGATE ELEMENT | 10 |
Robin Lacroix | FR | Cannes La Bocca | 2016-03-10 / 20160068281 - DEPLOYABLE MAST WITH SPONTANEOUS AUTONOMOUS DEPLOYMENT, AND SATELLITE COMPRISING AT LEAST ONE MAST OF THIS TYPE | 1 |
Fabrice Lacroix | FR | Saint-Louis | 2013-12-26 / 20130344302 - LASER REINFORCED DIRECT BONDING OF OPTICAL COMPONENTS | 2 |
Eric Lacroix | FR | Amberieux D'Azergues | 2010-01-07 / 20100004349 - METHOD FOR PRODUCTION OF THERMOPLASTIC FOAMS | 2 |
Patrick Lacroix | FR | Boulogne | 2010-02-25 / 20100045544 - AIRBORNE RADAR NOTABLY FOR A DRONE | 1 |
Wolfgang Lacroix | DE | Pfalzgrafenweiler | 2011-07-28 / 20110180183 - VENEER SLICER | 1 |
Emmanuel Lacroix | FR | Paris | 2014-05-01 / 20140121115 - CUSTOM-MADE MEGANUCLEASE AND USE THEREOF | 12 |
Robert Andre Lacroix | CA | Saint-Lambert | 2008-08-21 / 20080198139 - Haptic Feedback System with Stored Effects | 1 |
Robert A. Lacroix | CA | San Jose | 2010-11-11 / 20100287311 - SYSTEM AND METHOD FOR ORDERING HAPTIC EFFECTS | 1 |
Benoit Lacroix | CA | Montreal | 2014-11-27 / 20140350807 - FUEL SAVING SYSTEM THAT FACILITATES VEHICLE RE-STARTS WITH THE ENGINE OFF | 3 |
Cliff Lacroix | US | Livermore | 2015-06-25 / 20150179416 - ADAPTER PLATE FOR POLISHING AND CLEANING ELECTRODES | 4 |
David Lacroix | FR | Saint-Genis Laval | 2015-02-12 / 20150041692 - PRESSURE REGULATING VALVE FOR REGULATING PRESSURE IN A HIGH- PRESSURE FUEL ACCUMULATOR FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Robert A. Lacroix | CA | Saint-Lambert | 2015-08-27 / 20150241972 - HAPTIC POWER CONSUMPTION MANAGEMENT | 5 |
Frederic Lacroix | CA | Montreal | 2009-09-24 / 20090236510 - SCINTILLATING FIBER DOSIMETER ARRAY | 1 |
Jeannine Lacroix | DE | Heidelberg | 2013-08-15 / 20130209413 - USE OF PARVOVIRUS FOR ELIMINATING CANCER STEM CELLS (CSCs) | 1 |
Sylvain Lacroix | FR | Paris | 2010-07-01 / 20100167761 - INTERCEPTION OF CALLS AT THE LEVEL OF A BASE | 1 |
Damien Lacroix | BE | Ernage | 2013-06-06 / 20130143963 - SULFORAPHANE STABILIZATION | 2 |
Robert A. Lacroix | CA | Quebec | 2009-04-23 / 20090106655 - Haptic Effects With Proximity Sensing | 1 |
Olivier Lacroix | FR | Montpellier | 2014-10-02 / 20140291162 - METHOD AND SYSTEM FOR TREATING CARBON GASES BY ELECTROCHEMICAL HYDROGENATION IN ORDER TO OBTAIN A CxHyOz COMPOUND | 4 |
Carine Lacroix | FR | Bardos | 2016-05-19 / 20160141534 - METHOD FOR PRODUCING AN ACTIVE LAYER CAPABLE OF EMITTING AN ELECTRIC CURRENT UNDER IRRADIATION | 1 |
Christine Lacroix | FR | Ornex | 2015-05-14 / 20150132518 - CHLORINE-RESISTANT CROSSLINKABLE POLYOLEFIN COMPOSITIONS AND ARTICLES MADE THEREFROM | 3 |
Robert Lacroix | CA | Saint-Lambert | 2016-01-28 / 20160026251 - HAPTIC SPATIALIZATION SYSTEM | 6 |
Romaric Lacroix | FR | Marseille | 2010-10-14 / 20100260724 - METHOD FOR MEASURING THE PLASMINE ACTIVITY OF MICROPARTICLES PRESENT IN A SAMPLE OF A BIOLOGICAL FLUID AND USE THEREOF | 1 |
Yves Lacroix | FR | Hyeres | 2012-03-08 / 20120059607 - METHOD AND DEVICE FOR FILTERING ELECTRICAL CONSUMPTION CURVES AND ALLOCATING CONSUMPTION TO CLASSES OF APPLIANCES | 1 |
Lise Lacroix | FR | Melun | 2014-07-17 / 20140197567 - COMPACTING AND INJECTION MOLD FOR A FIBER PREFORM FOR FABRICATING A TURBINE ENGINE GUIDE VANE MADE OF COMPOSITE MATERIAL | 2 |
Jeff T. Lacroix | US | Christiansburg | 2014-04-24 / 20140112615 - CO-REGISTRATION OF CORES IN MULTICORE OPTICAL FIBER SENSING SYSTEMS | 1 |
Aaron Lacroix | US | Antioch | 2014-02-06 / 20140035274 - ROTARY UNION WITH PRESSURE CONTROLLED SEAL ACTUATOR | 1 |
Stephane Lacroix | FR | Tournan En Brie | 2009-05-07 / 20090113891 - MASTER CYLINDER WITH U-SHAPED SEAL | 2 |
Jeffrey Allyn Lacroix | US | Irving | 2013-05-23 / 20130129061 - Unscreened Automatic Number Identification Based on Branch Identifier | 1 |
Damien Lacroix | BE | Emage | 2016-04-21 / 20160106707 - METHOD FOR OBTAINING A STABLE GEL OF HYALURONIC ACID AND OF A FREE FORM OF VITAMIN C AND/OR A SALT THEREOF | 1 |
Brigitte Lacroix | FR | La Tour D'Aigues | 2015-02-12 / 20150041670 - METHOD OF ANALYSING THE CHANGES IN GEOMETRY OF AN IRRADIATED FUEL | 2 |
Benoit Lacroix | FR | Paris | 2015-03-05 / 20150066229 - METHOD AND SYSTEM FOR CONTROLLING AN ENERGY MANAGEMENT INSTALLATION | 1 |
Joséphine Lacroix | FR | Clermont-Ferrand | 2016-04-28 / 20160114076 - IMPLANT WITH CONTROLLED POROSITY MADE OF A HYBRID MATERIAL | 2 |
Jean-Marc Lacroix | FR | Colombes | 2012-02-09 / 20120036581 - SECURITY APPARATUS | 1 |
Olivier Lacroix | IE | Dublin | 2014-03-27 / 20140089349 - SYSTEM AND METHOD FOR UPDATING INFOGRAPHICS BASED ON MULTIPLE ONLINE SOCIAL NETWORKS | 1 |
Marc Lacroix | BE | Louvain-Ia-Neuve | 2011-02-10 / 20110034585 - METHOD OF PREPARING A TRANSPARENT POLYMER MATERIAL COMPRISING A THERMOPLASTIC POLYCARBONATE AND SURFACE-MODIFIED MINERAL NANOPARTICLES | 1 |
Emmanuel Lacroix | BE | Bruxelles | 2010-06-17 / 20100151556 - HYBRID AND SINGLE CHAIN MEGANUCLEASES AND USE THEREOF | 1 |
Guillaume Lacroix | CA | Montréal | 2016-01-07 / 20160003564 - METHOD AND DEVICE FOR TRANSFERRING HEAT | 1 |
Marc Lacroix | BE | Louvain-La-Neuve | 2015-11-05 / 20150318079 - A METHOD FOR DIELECTRICALLY INSULATING ACTIVE ELECTRIC PARTS | 6 |
Wolfgang Lacroix | DE | Lichtenstein-Unterhausen | 2015-08-20 / 20150231794 - Automatic Clipping Line | 2 |
Maxime Lacroix | BE | Uccle | 2015-11-19 / 20150328625 - MODIFIED Y-TYPE ZEOLITES HAVING A TRIMODAL INTRACRYSTALLINE STRUCTURE, METHOD FOR MAKING SAME, AND USE THEREOF | 5 |
Yannick Maurice Lacroix | GB | Hertfordshire | 2012-01-19 / 20120015932 - Pyrazole Derivatives Used as CCR4 Receptor Antagonists | 1 |
Margaret Anne Lacroix | US | Morristown | 2014-10-09 / 20140303573 - DIAPERS, DIAPER WIPES AND DIAPER PADS WITH ACTIVE INGREDIENTS INTEGRATED THEREIN | 1 |
Timothy Matthew Lacroix | US | Morristown | 2014-10-09 / 20140303573 - DIAPERS, DIAPER WIPES AND DIAPER PADS WITH ACTIVE INGREDIENTS INTEGRATED THEREIN | 1 |
Yves Lacroix | JP | Tokushima | 2014-07-10 / 20140192839 - Method and Apparatus for Measuring Temperature of Semiconductor Layer | 1 |
Didier Lacroix | US | Los Gatos | 2015-09-10 / 20150256144 - SYMMETRIC DUAL PIEZOELECTRIC STACK MICROELECTROMECHANICAL PIEZOELECTRIC DEVICES | 1 |
Yannick Maurice Lacroix | GB | Stevenage | 2010-08-26 / 20100216860 - NOVEL COMPOUNDS | 1 |
Wolfgang Lacroix | DE | Eschenweg 9 | 2009-03-26 / 20090078338 - VENEER SLICER | 1 |
Wolfgang Lacroix | DE | Pfalzgrafenweller | 2008-09-04 / 20080213532 - Decorative Surface Veneer | 1 |
Clifford Erik La Croix | US | Livermore | 2016-02-18 / 20160045941 - ULTRASONIC CLEANING METHOD AND APPARATUS THEREFORE | 2 |
Cindi Michelle La Croix | US | Scottsdale | 2009-09-24 / 20090238894 - THRUSH AND WHITE LINE MEDICINAL DELIVERY SYSTEM | 1 |
Cliff E. La Croix | US | Livermore | 2013-10-10 / 20130264309 - ACOUSTIC ENERGY UTILIZATION IN PLASMA PROCESSING | 1 |
Clifford La Croix | US | Livermore | 2015-08-20 / 20150235889 - SYSTEM AND METHOD FOR PERFORMING HOT WATER SEAL ON ELECTROSTATIC CHUCK | 2 |
Timothy Matthew La Croix | US | San Diego | 2009-10-22 / 20090264849 - DIAPERS, DIAPER WIPES AND DIAPAER PADS WITH ACTIVE INGREDIENTS INTEGRATED THEREIN | 1 |
Cliff La Croix | US | Livermore | 2016-05-19 / 20160141153 - DUAL PHASE CLEANING CHAMBERS AND ASSEMBLIES COMPRISING THE SAME | 6 |
Cliff La Croix | US | Fremont | 2013-06-13 / 20130146095 - SYSTEM AND METHOD FOR CLEANING GAS INJECTORS | 1 |
Michael F. La Croix | US | Mequon | 2011-07-21 / 20110177375 - REINFORCED BATTERY SEPARATOR | 1 |
Sébastien Lacroix-Desmazes | FR | Issy-Les-Moulineaux | 2010-08-05 / 20100197578 - DEMANNOSYLATED RECOMBINANT FACTOR VIII [[VII]] FOR THE TREATMENT OF PATIENTS WITH HAEMOPHILIA A | 1 |
Patrick Lacroix-Desmazes | FR | Montepellier | 2009-12-10 / 20090306302 - Process of free-radical polymerization in aqueous disperasion for the preparation of polymers | 1 |
Patrick Lacroix-Desmazes | FR | Montpellier | 2014-12-18 / 20140371370 - PROCESS FOR THE PREPARATION OF A VINYLIDENE CHLORIDE POLYMER COMPOSITE | 6 |
Sébastien Lacroix-Desmazes | FR | Issy-Les-Moulineaux | 2010-08-05 / 20100197578 - DEMANNOSYLATED RECOMBINANT FACTOR VIII [[VII]] FOR THE TREATMENT OF PATIENTS WITH HAEMOPHILIA A | 1 |
Sebastien Lacroix-Desmazes | FR | Issy-Les0-Moulineaux | 2009-12-24 / 20090317373 - Inhibition of the Anti-FVIII Immune Response | 1 |
Sebastien Lacroix-Desmazes | FR | Ville D'Avray | 2009-08-20 / 20090208512 - Catalytic anti-factor VIII allo-antibodies | 1 |
Michael L. Lacroix-Fralish | US | Sleepy Hollow | 2014-06-26 / 20140179903 - High Affinity Human Antibodies to Human Protease-Activated Receptor 2 | 5 |
Michael L. Lacroix-Fralish | US | Yorktown Heights | 2016-01-07 / 20160002332 - Anti-ASIC1 Antibodies and Uses Thereof | 5 |
Nancy Lacroix-Toyne | US | Tujunga | 2011-01-06 / 20110000760 - VENDING APPARATUS AND METHOD | 1 |
Jeanna Lacross | US | St. Augustine | 2008-09-11 / 20080216769 - PET LEASH WITH RESILIENT, COILED, NON-TANGLING LANYARD AND COMFORTABLE WRAP AROUND HANDLE | 1 |
Inma Lacross | US | Chesapeake | 2010-08-19 / 20100206325 - Bracemate flosser | 1 |
Steven Lacross | US | Norcross | 2008-12-25 / 20080317605 - Progressive Cavity Propagation Pump | 1 |
Philippe Lacroute | US | Sunnyvale | 2015-11-12 / 20150322507 - METHODS FOR SIMULTANEOUS AMPLIFICATION OF TARGET LOCI | 11 |
Philippe G. Lacroute | US | Sunnyvale | 2012-06-28 / 20120163375 - SWITCHING DEVICE | 2 |
Hernan La Cruz | NL | Rijswijk | 2010-07-22 / 20100181114 - METHOD OF INTERCONNECTING SUBTERRANEAN BOREHOLES | 1 |
Thomas E. La Cruz | US | North Brunswick | 2016-04-21 / 20160108029 - METHODS FOR THE PREPARATION OF HIV ATTACHMENT INHIBITOR PIPERAZINE PRODRUG COMPOUND | 8 |
Juan Camilo La Cruz-Smith | US | Brooklyn | 2014-09-18 / 20140279061 - Social Media Branding | 2 |
Elmer S. Lacsamana | PH | Pampanga | 2011-11-03 / 20110266639 - Method of Producing a MEMS Device | 1 |
Nathaniel Lacsamana | US | Bridgewater | 2008-12-18 / 20080309491 - Comprehensive Theft Security System | 1 |
Elmer S. Lacsamana | PH | Dau | 2008-09-18 / 20080225505 - Method of producing a MEMS device | 1 |
Nhoy Lacson | PH | Sta. Rosa City | 2009-05-21 / 20090127690 - Package and Manufacturing Method for a Microelectronic Component | 1 |
Francis Gerard Lacson | US | Seattle | 2013-11-14 / 20130305033 - DATA ENCRYPTION METHOD | 1 |
Jessica Lacson | US | Orlando | 2013-12-05 / 20130325493 - SYSTEM AND METHOD FOR MANAGING PAST, PRESENT, AND FUTURE STATES OF HEALTH USING PERSONALIZED 3-D ANATOMICAL MODELS | 1 |
Alvin Lacson | US | Palo Alto | 2011-11-03 / 20110267196 - SYSTEM AND METHOD FOR PROVIDING SLEEP QUALITY FEEDBACK | 1 |
Katrina Lactuan | PH | Cebu City | 2013-07-04 / 20130170854 - Imaging Unit Having a Collapsible Handle | 1 |
Gregg Lacusta | CA | Lloydminster | 2013-12-05 / 20130319694 - DOWNHOLE PORTED SHIFTING SLEEVE | 1 |
Gregg J. Lacusta | CA | Lloydminster | 2013-12-05 / 20130319695 - DOWNHOLE ISOLATION TOOL HAVING A PORTED SLIDING SLEEVE | 2 |
Clive Lacy | GB | Goring-By-Sea | 2009-02-12 / 20090039300 - Hydro-mechanical valve actuation system for split-cycle engine | 1 |
Stephen Michael Lacy | GB | Leicester | 2015-01-22 / 20150024501 - INTEGRATED CHEMICAL INDICATOR DEVICE | 1 |
Patricia Sue Lacy | US | Erie | 2014-07-03 / 20140188306 - SYSTEM AND METHOD FOR COMMUNICATION AND CONTROL IN A VEHICLE SYSTEM | 2 |
Michael Lacy | US | Damascus | 2013-05-09 / 20130115234 - Ectodomains of Influenza Matrix 2 Protein, Expression System, and Uses Thereof | 1 |
Eilyn Lacy | US | Radnor | 2013-04-11 / 20130090457 - Toll-Like Receptor 3 Antagonists for the Treatment of Metabolic and Cardiovascular Diseases | 1 |
Amanda T. Lacy | US | Charlottesville | 2015-01-15 / 20150018108 - SUSPENDED PLAY STRUCTURE | 1 |
Amanda Lacy | US | Charlottesville | 2015-03-19 / 20150076888 - SWINGING CHAIR | 1 |
Christopher A. Lacy | US | Rockland | 2015-03-19 / 20150081091 - MEDICATION DISPENSERS | 1 |
Franklin R. Lacy | US | Marco Island | 2013-02-28 / 20130047879 - SYSTEM FOR PROTECTING AGAINST TERRORIST AND ILLEGAL INVASION | 2 |
Michael J. Lacy | US | Hamilton | 2011-07-21 / 20110178034 - PROPHYLACTIC AND THERAPEUTIC TREATMENT OF INFECTIOUS AND OTHER DISEASES WITH MONO- AND DISACCHARIDE-BASED COMPOUNDS | 1 |
Eilyn Lacy | US | Spring House | 2015-05-07 / 20150125458 - Anti-CCL17 Antibodies | 2 |
Janice Ann Lacy | US | Shrewsbury | 2014-12-18 / 20140372384 - LIVE RESTORE FOR A DATA INTELLIGENT STORAGE SYSTEM | 1 |
Stuart Lacy | GB | Cheltenham | 2015-02-26 / 20150053816 - AIRCRAFT LANDING GEAR | 3 |
Michael Lacy | US | West Chester | 2015-04-23 / 20150112612 - DIGITAL QUANTIFICATION OF SINGLE MOLECULES | 1 |
Susan E. Lacy | US | Westborough | 2016-01-07 / 20160002324 - ANTI-NGF ANTIBODIES AND THEIR USE | 6 |
Shane A. Lacy | US | Bound Brook | 2016-03-31 / 20160089145 - METHODS AND DEVICES FOR WOUND CLOSURE | 1 |
Darren William Lacy | AU | Kyneton | 2012-10-18 / 20120261456 - APPARATUS AND METHODS FOR INSERTING A FASTENER | 1 |
Christopher John Lacy | AU | Diggers Rest | 2012-10-18 / 20120261456 - APPARATUS AND METHODS FOR INSERTING A FASTENER | 1 |
Darren William Lacy | AU | Victoria | 2011-09-22 / 20110225800 - APPARATUS AND METHODS FOR INSERTING A FASTENER | 1 |
Clifton R. Lacy | US | Highlands | 2015-05-14 / 20150134350 - SYSTEM AND METHOD FOR OPTIMIZING PATIENT MANAGEMENT IN A CARE FACILITY | 1 |
Christopher A. Lacy | US | Arden Hills | 2015-05-14 / 20150133890 - OCCLUSION DETECTION | 1 |
Cameron Lacy | CA | Milton | 2011-01-27 / 20110019763 - USB 2.0 HS Voltage-Mode Transmitter With Tuned Termination Resistance | 2 |
Janice Lacy | US | Temple | 2014-11-06 / 20140331004 - Write Spike Performance Enhancement In Hybrid Storage Systems | 2 |
Fraser Lacy | DE | Aachen | 2015-04-30 / 20150119176 - TENSIONER | 2 |
Stephen M. Lacy | US | Mountain View | 2012-09-27 / 20120246278 - DYNAMIC MEDIA SERVING INFRASTRUCTURE | 1 |
Andrew Scott Lacy | US | Mckinney | / - | 1 |
Mark Lacy | US | Houston | 2016-03-17 / 20160075174 - Paint Storage and Application Apparatus and Method of Use | 1 |
Patricia Lacy | US | Erie | 2015-06-04 / 20150151769 - DATA COMMUNICATION SYSTEM AND METHOD FOR COMMUNICATING DATA IN A VEHICLE | 1 |
Lawrence Lacy | US | Clifton Forge | 2012-07-26 / 20120186410 - Multi-Function Power Saw | 1 |
Benjamin Paul Lacy | US | Greer | 2016-03-03 / 20160059437 - ARTICLE AND PROCESS FOR PRODUCING AN ARTICLE | 76 |
Benjamin Lacy | US | Greer | 2013-03-21 / 20130067926 - Multiple Tube Premixing Device | 12 |
Steven Lacy | US | San Mateo | 2016-02-04 / 20160031818 - Metabolites of N-(4-phenyl)-N'-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide | 1 |
Christopher Lacy | US | Encinitas | 2016-04-21 / 20160111074 - BICYCLE BELL WITH SILENCING MECHANISM | 1 |
James Richard Lacy | US | Shawano | 2010-12-02 / 20100299945 - SYSTEM AND METHOD FOR WORKPIECE COORDINATE MEASUREMENTS | 1 |
William Stephen Lacy | US | Madison | 2010-03-18 / 20100067691 - Document certification and authentication system | 1 |
Michelle Lacy | US | St. Louis | 2015-04-02 / 20150096083 - GENOME WIDE IDENTIFICATION AND CHARACTERIZATION OF GENE EXPRESSION REGULATORY ELEMENTS IN ZEA MAYS FOR USE IN PLANTS | 4 |
Lawrence Oliver Lacy | US | Clifton Forge | 2011-03-24 / 20110067542 - Multi-Function Power Saw with Tilt Cut | 1 |
Conner Lacy | US | Charlottesville | 2015-11-05 / 20150316434 - PIEZORESISTIVE SENSORS AND SENSOR ARRAYS | 3 |
Chris Lacy | US | Arden Hills | 2011-04-14 / 20110087165 - TWO PIECE MEDICATION CASSETTE CLOSURE APPARATUS AND METHOD | 1 |
Benjamin Lacy | US | Greenville | 2011-03-10 / 20110057056 - MONOLITHIC FUEL INJECTOR AND RELATED MANUFACTURING METHOD | 2 |
Rodger Lacy | US | Bellaire | 2009-12-03 / 20090294135 - Retrievable Surface Controlled Subsurface Safety Valve | 1 |
Stephen Lacy | US | Mountain View | 2015-11-05 / 20150319504 - SYSTEM FOR REMOTELY CONTROLLING CLIENT RECORDING AND STORAGE BEHAVIOR | 4 |
Stephen Michael Lacy | US | Mountain View | 2011-12-22 / 20110314095 - Media Article Adaptation To Client Device | 3 |
Christopher Allen Lacy | US | Arden Hills | 2015-07-16 / 20150198283 - POLE CLAMP | 3 |
Michael Joseph Lacy | US | Damascus | 2010-12-09 / 20100310593 - Vaccines Against Chlamydia Infection | 1 |
Jeffrey L. Lacy | US | Houston | 2016-05-12 / 20160133446 - MOVING MAGNET ASSEMBLY TO INCREASE THE UTILITY OF A RECTANGULAR MAGNETRON SPUTTERING TARGET | 7 |
Franklin R. Lacy | US | Tampa | 2012-10-04 / 20120247784 - SYSTEM FOR PROTECTING AGAINST UNDERSEA OIL SPILLS | 2 |
Clive Barrington Lacy | US | 2010-09-23 / 20100236533 - Valve Seat Insert for a Split-Cycle Engine | 1 | |
Benjamin P. Lacy | US | Greer | 2010-08-26 / 20100212322 - COAXIAL FUEL AND AIR PREMIXER FOR A GAS TURBINE COMBUSTOR | 1 |
Gary Listen Lacy | US | Colville | 2010-08-19 / 20100209244 - REMOTELY CONTROLLED AND ELECTRONICALLY OPERATED VARIABLE-PITCH SAILBOAT PROPELLER | 1 |
Benjamin Paul Lacy | US | 2010-07-08 / 20100170255 - METHODS AND SYSTEMS TO ENHANCE FLAME HOLDING IN A GAS TURBINE ENGINE | 1 | |
Jeffrey Lacy | US | Houston | 2012-06-21 / 20120153180 - Sealed Boron Coated Straw Detectors | 3 |
Andrea L. Klein Lacy | US | Mountain View | 2009-04-30 / 20090113296 - DISPLAYING A MAP AND ASSOCIATED SYMBOLIC CONTEXT INFORMATION | 1 |
Jackson S. Lacy | US | Tucson | 2009-01-15 / 20090018886 - WEB-BASED SYSTEM AND APPLICATION FOR COLLABORATIVE PLANNING OF A NETWORKED PROGRAM SCHEDULE | 1 |
Acacia Lacy | US | Ashland | 2016-01-28 / 20160021961 - ELASTIC SIZING MECHANISM | 1 |
Robbin Lacy | US | Talent | 2016-01-28 / 20160021962 - EYEWEAR RETAINER | 3 |
Michael Lacy | US | Naperville | 2008-11-06 / 20080274674 - STACKED POLISHING PAD FOR HIGH TEMPERATURE APPLICATIONS | 1 |
John Blakeway Lacy | US | Warren | 2012-02-09 / 20120033812 - SYSTEM AND METHOD FOR DECOMPRESSING AND MAKING PUBLICALLY AVAILABLE RECEIVED MEDIA CONTENT | 2 |
Renee Noel Lacy | US | Lexington | 2008-10-02 / 20080239032 - Membrane Divided Foam For use In An Ink Jet Cartridge | 1 |
Timothy D. Lacy | US | Duvall | 2008-09-11 / 20080222165 - Method and system for providing universal remote control of computing devices | 1 |
Stuart Lacy | GB | Gloucester | 2015-08-13 / 20150225069 - AIRFLOW MODIFICATION PATCH AND METHOD | 1 |
Yong Kwan Lacy | US | Carmel | 2013-12-26 / 20130340343 - DOOR CLOSER SYSTEM | 1 |
Susan Lacy | US | North Chicago | 2013-12-19 / 20130336957 - NOVEL PURIFICATION OF HUMAN, HUMANIZED, OR CHIMERIC ANTIBODIES USING PROTEIN A AFFINITY CHROMATOGRAPHY | 2 |
Silas Brent Lacy | US | Springdale | 2013-05-02 / 20130104344 - HINGE-MOUNTED DOOR STOP | 1 |
Jeffery L. Lacy | US | Missouri City | 2014-04-10 / 20140097351 - Boron Coated Straw Neutron Detectors Distributed in a Moderator Material | 1 |
James Scott Lacy | US | Shelbyville | 2015-10-15 / 20150294421 - Workcenter For Processing Rejected Or Denied Claims In A Revenue Cycle Management System | 6 |
Jack Lacy | US | Warren | 2013-08-15 / 20130212659 - TRUSTED CONNECTED VEHICLE SYSTEMS AND METHODS | 1 |
Stephen Michael Lacy | GB | Thurmaston | 2009-01-22 / 20090023217 - Integrated chemical indicator device | 1 |
Ian David Lacy | GB | Sharnbrook | 2009-12-10 / 20090304904 - Frozen confection | 2 |
Billy Gene Lacy | US | Moses Lake | 2014-04-24 / 20140112832 - THREADED NOZZLE AND CLOSABLE NOZZLE VALVE ASSEMBLY | 1 |
Graham Keith Lacy | GB | London | 2014-12-18 / 20140371690 - DISPENSING SYSTEMS | 5 |
Clive Lacy | GB | West Sussex | 2013-06-20 / 20130152889 - LOST-MOTION VARIABLE VALVE ACTUATION SYSTEM | 4 |
Rachel D'Arcy Lacy | NZ | Auckland | 2015-11-26 / 20150336849 - COLOURANTS | 3 |
Graham Lacy | GB | London | 2011-05-19 / 20110116672 - HEADSET TERMINAL WITH SPEECH FUNCTIONALITY | 1 |
Jeffrey M. Lacy | US | Idaho Falls | 2012-07-12 / 20120174758 - COMPOSITE ARMOR, ARMOR SYSTEM AND VEHICLE INCLUDING ARMOR SYSTEM | 2 |
Michael Joseph Lacy | US | Gaithersburg | 2011-11-03 / 20110268760 - SALMONELLA VECTORED VACCINES AGAINST CHLAMYDIA AND METHODS OF USE | 1 |
Orlanda Dominic Lacy | US | Kansas City | 2015-01-15 / 20150014401 - ARTICLE WITH A LATCHING MECHANISM | 2 |
Maurice James Lacy | AU | Healesville | 2015-10-29 / 20150305516 - OUTDOOR SEAT SYSTEM HAVING A PROTECTIVE CASE AGAINST THE WEATHER OR THE ELEMENTS | 1 |
Edna O. Lacy | US | Placitas | 2013-12-05 / 20130325752 - SYSTEM AND METHOD FOR EVALUATING DEFINED CONTRIBUTION PLANS | 2 |
Clive B. Lacy | GB | West Sussex | 2015-11-05 / 20150315912 - ROTARY MACHINE | 1 |
William B. Lacy | US | Plymouth | 2016-04-21 / 20160107044 - THERMOPLASTIC DUAL CORE HAVING A NEGATIVE GRADIENT INNER CORE AND A NEGATIVE GRADIENT OUTER CORE | 15 |
Paul Lacy | US | San Jose | 2016-04-07 / 20160100047 - CORE SERVICES PLATFORM FOR WIRELESS VOICE, DATA AND MESSAGING NETWORK SERVICES | 6 |
Christopher John Lacy | AU | Diggers Rest, Victoria | 2011-09-22 / 20110225800 - APPARATUS AND METHODS FOR INSERTING A FASTENER | 1 |
Ted M. Lacy | US | Worley | 2014-07-03 / 20140183943 - Mobile Vehicle or Equipment Electrical Circuit Disabler | 1 |
Patricia Sue Lacy | US | Edinboro | 2009-08-06 / 20090198391 - SYSTEM, METHOD AND COMPUTER SOFTWARE CODE FOR OBTAINING INFORMATION FOR ROUTING A POWERED SYSTEM AND ADJUSTING A ROUTE IN ACCORDANCE WITH RELEVANT INFORMATION | 2 |
Patricia Lacy | US | Lawrence Park | 2015-05-14 / 20150134156 - METHODS AND SYSTEMS FOR CONTROLLING ENGINE OPERATION THROUGH DATA-SHARING AMONG VEHICLES | 3 |
Darryl D. Lacy | US | Easton | 2013-10-10 / 20130267406 - Catalyst Composition and Its Use Thereof in Aromatics Alkylation | 4 |
Eilyn R. Lacy | US | Radnor | 2009-12-03 / 20090298186 - Method to Assess Stability of Proteins | 1 |
William B. Lacy | US | Plymouth | 2016-04-21 / 20160107044 - THERMOPLASTIC DUAL CORE HAVING A NEGATIVE GRADIENT INNER CORE AND A NEGATIVE GRADIENT OUTER CORE | 15 |
Douglas S. Lacy | US | Bothell | 2012-01-26 / 20120018588 - Aircraft Trailing Edge Devices, Including Devices Having Forwardly Positioned Hinge Lines, and Associated Methods | 3 |
Susan E. Lacy | US | Shrewsbury | 2010-08-05 / 20100196315 - IL-12/p40 BINDING PROTEINS | 2 |
Patricia Lacy | US | Edinboro | 2011-08-25 / 20110205070 - SYSTEM FOR MONITORING AN ALERTNESS OF AN OPERATOR OF A POWERED SYSTEM | 2 |
Roger W. Lacy | US | West Chester | 2015-10-01 / 20150274289 - VERTICALLY LANDING AIRCRAFT | 2 |
Claud Eugene Lacy | US | Sugar Land | 2016-05-12 / 20160130913 - SUBSEA PRODUCTION COOLER | 1 |
Dana Borden Lacy | US | Nashville | 2014-08-28 / 20140242692 - MAMMALIAN GENES INVOLVED IN TOXICITY AND INFECTION | 1 |
Stuart John Lacy | GB | Hampshire | 2016-05-19 / 20160137294 - AIRCRAFT ASSEMBLY WITH LOAD AND POSITION INDICATOR | 1 |
Darryl Donald Lacy | US | Easton | 2015-06-04 / 20150152343 - HYDROCRACKING OF GAS OILS WITH INCREASED DISTILLATE YIELD | 4 |
Benjamin Paul Lacy | US | Greenville | 2016-03-31 / 20160089859 - ARTICLE AND METHOD FOR MAKING AN ARTICLE | 4 |
Tibor Laczay | US | Novato | 2016-01-28 / 20160027097 - Visual Search Interface for Open Filters for Eyeglass Selection | 1 |
Péter Laczay | HU | Budapest | 2015-06-04 / 20150150820 - PHARMACEUTICAL COMPOSITIONS OF PIMOBENDAN | 1 |
Karolina Laczka | PL | Krakow | 2014-05-22 / 20140141960 - PROCESS FOR PREPARING A GLASS-CERAMIC BODY | 1 |
Nitin Lad | IN | Mumbai | 2015-04-30 / 20150119394 - Insulin-Like Growth Factor-1 Receptor Inhibitors | 1 |
Vishal Lad | IN | Hyderabad | 2012-03-08 / 20120058194 - PHARMACEUTICAL FORMULATIONS COMPRISING SUBSTITUTED BENZIMIDAZOLE DERIVATIVES | 2 |
Kanti D. Lad | US | Sugar Land | 2011-05-05 / 20110100135 - INVERSE VENTURI METER WITH INSERT CAPABILITY | 1 |
Girish M. Lad | IN | Bangalore | 2013-07-04 / 20130173092 - SYSTEMS AND METHODS FOR ISSUING A HARD LANDING WARNING AND PROVIDING MAINTENANCE ADVISORIES FOR HARD LANDING INCIDENTS | 1 |
Abhimanyu Lad | US | San Mateo | 2014-08-28 / 20140245184 - PRESENTING ACTIONABLE RECOMMENDATIONS TO MEMBERS OF A SOCIAL NETWORK | 4 |
Prad Lad | CA | Unionville | 2008-12-04 / 20080295290 - Multiple Piece Construction Automotive Door Hinge | 1 |
Mitaben Dhirajlal Lad | GB | Nottingham | 2012-05-10 / 20120115964 - XANTHAN GUM AND SWELLABLE PARTICULATE CONTAINING COMPOSITION AND USES THEREOF | 1 |
Kamlesh Lad | US | Woodcliff Lake | 2014-10-23 / 20140317059 - INSTANT DATA CENTER RECOVERY | 2 |
Mohit V. Lad | US | San Francisco | 2014-09-18 / 20140280917 - DEEP PATH ANALYSIS OF APPLICATION DELIVERY OVER A NETWORK | 2 |
Kamleshkumar K. Lad | US | Dublin | 2016-04-07 / 20160100007 - DATA TRANSFER TECHNIQUES WITHIN DATA STORAGE DEVICES, SUCH AS NETWORK ATTACHED STORAGE PERFORMING DATA MIGRATION | 2 |
Nikhil Lad | IN | Bangalore | 2013-10-17 / 20130272076 - MEMORY DEVICE HAVING MULTI-PORT MEMORY CELL WITH EXPANDABLE PORT CONFIGURATION | 3 |
Amol Lad | IN | Bangalore | 2010-03-04 / 20100053461 - SYSTEM AND METHOD FOR AN INTERNET TELEVISION REMOTE CONTROL | 1 |
Raj Lad | US | San Mateo | 2012-01-19 / 20120014885 - Personal Care Compositions and Methods for Their Use | 1 |
Pankaj B. Lad | US | Desoto | 2011-02-10 / 20110031651 - DESIRABLE WETTING AND RELEASE BETWEEN AN IMPRINT LITHOGRAPHY MOLD AND A POLYMERIZABLE COMPOSITION | 6 |
Shivinand Lad | US | Palo Alto | 2009-06-04 / 20090143650 - MINIATURIZED, DERMAL-ADHESIVE-BASED DEVICE FOR POSITION-INDEPENDENT, NON-INVASIVE FETAL MONITORING | 1 |
Kamleshkumar K. Lad | US | Monroe | 2014-04-17 / 20140108470 - TRANSFERRING OR MIGRATING PORTIONS OF DATA OBJECTS, SUCH AS BLOCK-LEVEL DATA MIGRATION OR CHUNK-BASED DATA MIGRATION | 4 |
Shivanand Lad | US | Durham | 2013-10-17 / 20130274846 - METHODS, DEVICES AND SYSTEMS FOR TREATING PAIN | 5 |
Rakeshkumar K. Lad | US | Marlton | 2012-12-27 / 20120328677 - Active Agent Formulations, Methods of Making, and Methods of Use | 4 |
Sachin Lad | IN | Thane | 2015-12-31 / 20150376134 - PROCESS FOR THE PREPARATION OF IVACAFTOR AND SOLVATES THEREOF | 1 |
Vinodrai Lad | CA | Mississauga | 2011-06-09 / 20110136396 - Re-enterable end cap | 1 |
Sachin Mahedo Lad | IN | Maharastra | 2011-11-17 / 20110281928 - Process for the preparation of zofenopril and its pharmaceutically acceptable salts thereof | 1 |
Pankaj Lad | US | Austin | 2009-11-12 / 20090278057 - Pulsed-Laser Systems and Methods for Producing Holographic Stereograms | 1 |
Robert J. Lad | US | Glenburn | 2010-07-22 / 20100181869 - Ultra-thin film electrodes and protective layer for high temperature device applications | 1 |
Rakeshkumar Khushalbhai Lad | US | Marlton | 2013-03-14 / 20130064889 - Tablet-in-tablet Palperidone Formulations and Methods for Production and Use Thereof | 3 |
Shivanand Lad | US | Palo Alto | 2014-03-06 / 20140066830 - CEREBROSPINAL FLUID PURIFICATION SYSTEM | 3 |
Santosh Lad | US | West Des Moines | 2012-11-01 / 20120277904 - VENDING MACHINE WITH ELEVATOR DELIVERY OF VENDED PRODUCT TO CUSTOMER ACCESS | 1 |
Emily K. Lada | US | Raleigh | 2011-05-26 / 20110125466 - Computer-Implemented Systems And Methods For Determining Steady-State Confidence Intervals | 1 |
Mark Lada | US | St. Augustine | 2014-10-02 / 20140296440 - IONIC SILICONE HYDROGELS HAVING IMPROVED HYDROLYTIC STABILITY | 4 |
Thomas A. Lada | US | Brighton | 2012-12-20 / 20120318359 - ORGANIC PHOTOVOLTAIC DEVICES COMPRISING FULLERENES AND DERIVATIVES THEREOF | 3 |
Emily Lada | US | Raleigh | 2012-02-09 / 20120035903 - Systems And Methods For Simulating A Resource Constrained Process | 3 |
Henry F. Lada | US | Cypress | 2012-01-19 / 20120015671 - METHOD AND SYSTEM FOR LOCATING A NOTEBOOK COMPUTER | 2 |
Thomas A. Lada | US | Park Westwood | 2013-11-14 / 20130298993 - ORGANIC PHOTOVOLTAIC DEVICES COMPRISING FULLERENES AND DERIVATIVES THEREOF | 2 |
Zygmunt Lada | PL | Nowa Iwiczna | 2012-10-18 / 20120261495 - APPARATUS FOR REGULATING TWO-PHASE FLOW AND PORTABLE ATOMIZER BASED ON TWO-PHASE FLOW | 2 |
Ewa Lada | PL | Nowa Iwiczna | 2013-06-20 / 20130152308 - INTELLIGENT HOSPITAL BED AND METHOD FOR OPERATING SAME | 1 |
Thomas Lada | US | Somerville | 2015-08-27 / 20150241773 - Two-Step Photoresist Compositions and Methods | 3 |
Christopher O. Lada | US | Los Gatos | 2013-11-28 / 20130316543 - METHOD AND APPARATUS FOR SUBSTRATE-MASK ALIGNMENT | 3 |
Thomas A. Lada | US | Winthrop | 2011-06-09 / 20110132440 - FULLERENE-FUNCTIONALIZED PARTICLES, METHODS FOR MAKING THE SAME AND THEIR USE IN BULK-HETEROJUNCTION ORGANIC PHOTOVOLTAIC DEVICES | 1 |
Igal Ladabaum | US | San Carlos | 2015-10-15 / 20150290425 - METHODS AND DEVICES FOR ASEPTIC IRRIGATION, URINE SAMPLING, AND FLOW CONTROL OF URINE FOR A CATHETERIZED BLADDER | 13 |
Igal Ladabaum | US | San Carlos | 2015-10-15 / 20150290425 - METHODS AND DEVICES FOR ASEPTIC IRRIGATION, URINE SAMPLING, AND FLOW CONTROL OF URINE FOR A CATHETERIZED BLADDER | 13 |
Henry F. Lada, Jr. | US | Cypress | 2015-01-22 / 20150026830 - METHOD AND SYSTEM FOR LOCATING A NOTEBOOK COMPUTER | 2 |
Henry F. Lada, Jr. | US | Houston | 2015-12-17 / 20150363346 - SATA INITIATOR ADDRESSING AND STORAGE DEVICE SLICING | 1 |
Akbar Ladak | IN | Bangalore | 2014-07-31 / 20140214868 - METHODS FOR IDENTIFYING UNIQUE ENTITIES ACROSS DATA SOURCES AND DEVICES THEREOF | 1 |
Hanif Ladak | CA | London | 2010-06-03 / 20100134517 - METHOD FOR AUTOMATIC BOUNDARY SEGMENTATION OF OBJECT IN 2D AND/OR 3D IMAGE | 1 |
Stephen Martin Ladak | US | Santee | 2013-10-03 / 20130259270 - PERSONALIZED DISPLAY | 1 |
Nikunj Arvindbhai Ladani | IN | Dist. Ahmedabad | 2013-06-13 / 20130150444 - MODIFIED RELEASE COMPOSITIONS OF MAGNESIUM VALPROATE | 1 |
Mahesh Ladani | IN | Vadodara | 2015-06-25 / 20150175526 - THE NOVEL REFERENCE MARKERS FOR FESOTERODINE FUMARATE | 4 |
Leila J. Ladani | US | Logan | 2011-05-05 / 20110100133 - Mechanical Test Fixture With Submicron Tolerance | 1 |
Leila Ladani | US | Logan | 2009-12-17 / 20090308172 - Mechanical Properties Testing Device and Method | 1 |
Leila Jannesari Ladani | US | Willington | 2014-12-04 / 20140358447 - INSTANT, IN-SITU, NONDESTRUCTIVE MATERIAL DIFFERENTIATION APPARATUS AND METHOD | 1 |
Donald J. Ladanyi | US | West Lake | 2009-06-18 / 20090157568 - Flatware marketing method | 1 |
Laszlo Ladanyi | US | Peekskill | 2012-02-23 / 20120047471 - DYNAMIC PROVISIONAL DECOMPOSITION OF LITHOGRAPHIC PATTERNS HAVING DIFFERENT INTERACTION RANGES | 1 |
James Ladas | US | Austin | 2011-05-19 / 20110117974 - SUPPLEMENTAL ACCESSORY SYSTEM FOR PORTABLE ELECTRONIC DEVICES | 1 |
Dimitrios Ladas | FR | Grenoble | 2015-04-09 / 20150097522 - ENERGY CONVERSION SYSTEM, RECHARGING ASSEMBLY BY INDUCTION AND METHODS FOR TRANSMITTING AND RECEIVING ASSOCIATED DATA | 2 |
Dimitrios Ladas | FR | Grenbole Cedex 09 | 2016-03-03 / 20160059713 - METHOD FOR CHARGING A VEHICLE BATTERY BY INDUCTION | 1 |
William Ladas | US | Berlin | 2014-05-08 / 20140128227 - APPARATUS FOR PHYSICAL CONDITIONING AND STRIKE TRAINING | 1 |
Kosta Ladavac | US | Chicago | 2008-12-25 / 20080316575 - Aberration correction of optical traps | 1 |
Kosta Ladavac | US | Somerville | 2016-03-24 / 20160085132 - COLORED ELECTROPHORETIC DISPLAYS | 7 |
Kosta Ladavac | US | Ridgefield | 2011-11-17 / 20110278442 - MANIPULATION OF OBJECTS IN POTENTIAL ENERGY LANDSCAPES | 2 |
Kosta Ladavac | US | Cambridge | 2010-06-17 / 20100147456 - SYSTEM AND METHOD FOR HOLOGRAPHIC OPTICAL TRAP BONDING | 1 |
Steven K. Ladd | US | North Andover | 2011-10-20 / 20110258195 - Systems and methods for automatically reducing data search space and improving data extraction accuracy using known constraints in a layout of extracted data elements | 18 |
Scott W. Ladd | US | Penobscot | 2016-03-31 / 20160094105 - CORDLESS PORTABLE PUMP DEVICE | 1 |
Thatcher B. Ladd | US | Bethesda | 2016-02-25 / 20160051533 - DIAGNOSIS AND PHARMACOLOGICAL TREATMENT OF SEASONAL AFFECTIVE DISORDER AND SYMPTOMS OF SEASONALITY | 1 |
Derel A. Ladd | US | Acushnet | 2015-12-03 / 20150343271 - GOLF BALL | 1 |
Collin Ladd | US | North Charleston | 2015-08-06 / 20150217367 - Three-Dimensional Printing of Metallic Materials | 1 |
John Ladd | US | Santa Clara | 2016-01-28 / 20160028974 - LOW-NOISE, HIGH DYNAMIC-RANGE IMAGE SENSOR | 4 |
John Ralph Ladd | US | Russiaville | 2015-05-07 / 20150125816 - DENTAL INSTRUMENT | 1 |
Evan Andrew Ladd | US | Amsterdam | 2015-03-19 / 20150079559 - Fire Fighting Training System with Steam/Smoke Generation | 3 |
Steven Kif Ladd | US | Kennebunk | 2015-03-12 / 20150073951 - AUTOMATED SYSTEMS AND METHODS FOR AUDITING AND DISPUTING THIRD-PARTY RECORDS OF PAYMENTS TO PROFESSIONALS | 4 |
Jeffrey R. Ladd | US | Duxbury | 2015-02-26 / 20150057585 - COMPRESSION DEVICE HAVING COMPLIANCE TRACKING | 1 |
Alan Robert Ladd | US | The Colony | 2015-01-22 / 20150022956 - Electronic Pull Tab for Battery Protection | 1 |
Brian James Ladd | US | Ortonvile | 2014-11-27 / 20140350867 - SYSTEM FOR PRODUCING LIQUID COMPOSITION | 1 |
Danielle Ladd | US | Scottsdale | 2014-11-20 / 20140342156 - GEOPOLYMER RESIN MATERIALS, GEOPOLYMER MATERIALS, AND MATERIALS PRODUCED THEREBY | 1 |
Doug Ladd | US | Cincinnati | 2015-07-30 / 20150208900 - Interface Unit In A Multiple Viewing Elements Endoscope System | 3 |
Mark J. Ladd | US | Chicago | 2016-05-05 / 20160121211 - INTERACTIVE GAMING USING WEARABLE OPTICAL DEVICES | 2 |
Paula D. Ladd | US | San Diego | 2014-05-22 / 20140141439 - METHODS AND COMPOSITIONS FOR IDENTIFYING INCREASED RISK OF DEVELOPING FRAGILE X-ASSOCIATED DISORDERS | 1 |
Bryan Ladd | US | Minneapolis | 2016-04-07 / 20160095620 - Tissue-Removing Catheter Including Screw Blade and Cutter Driveshaft | 5 |
John R. Ladd | US | Commerce Township | 2014-08-07 / 20140216367 - AIR COOLER AND METHOD FOR OPERATION OF AN AIR COOLER | 1 |
Mark J. Ladd | US | Indianapolis | 2014-08-07 / 20140216078 - REDUCED ENERGY HEAT PUMP DEFROST FOR UNOCCUPIED SPACE | 1 |
Derek A. Ladd | US | Acushnet | 2016-05-05 / 20160121174 - MULTI-LAYER CORE GOLF BALL HAVING OPPOSING HARDNESS GRADIENT WITH STEEP GRADIENT OUTER CORE LAYER | 202 |
Komal Ladd | US | Danbury | 2014-01-16 / 20140014130 - HAIR TREATMENT AND REVITALIZING COMPOSITION AND METHODS | 1 |
Derek A. Ladd | US | Fairhaven | 2014-03-20 / 20140080636 - BLENDS OF LINEAR AND BRANCHED NEODYMIUM-CATALYZED RUBBER FORMULATIONS FOR USE IN GOLF BALLS | 8 |
Bill Ladd | US | Cambridge | 2014-04-24 / 20140114941 - SEARCH ACTIVITY PREDICTION | 2 |
John William Ladd | US | Santa Clara | 2014-02-20 / 20140052407 - SYSTEMS, METHODS, AND COMPUTER-READABLE MEDIA FOR THREE-DIMENSIONAL FLUID SCANNING | 1 |
John W. Ladd | US | Boise | 2008-10-23 / 20080258187 - METHODS, SYSTEMS AND APPARATUSES FOR THE DESIGN AND USE OF IMAGER SENSORS | 1 |
David Ladd | US | Lisle | 2011-11-03 / 20110270997 - Deferred Invocation of Communication Services | 2 |
Ryan Patrick Ladd | US | Indianapolis | 2009-09-03 / 20090222368 - AUTHOR WEBSITE IN A COMMERCE ENVIRONMENT | 1 |
Barry Lewis Ladd | US | Zionsville | 2009-11-12 / 20090281696 - METHOD FOR CONTROLLING A TRANSMISSION DURING ACCELERATION FROM IDLE | 1 |
Josh Samuel Ladd | US | Knoxville | 2012-08-30 / 20120221484 - DIVERSIFICATION MEASUREMENT AND ANALYSIS SYSTEM | 2 |
Eric J. Ladd | US | West Jordan | 2009-12-10 / 20090304554 - APPARATUS, SYSTEM, AND METHOD FOR PROMOTING A SUBSTANTIALLY COMPLETE REACTION OF AN ANHYDROUS HYDRIDE REACTANT | 2 |
John Ladd | US | Boise | 2011-12-08 / 20110297816 - METHOD AND APPARATUS FOR DARK CURRENT AND BLOOMING SUPRESSION IN 4T CMOS IMAGER PIXEL | 7 |
Jonathan Ladd | US | Bridgewater | 2009-12-31 / 20090322601 - GNSS RECEIVER USING SIGNALS OF OPPORTUNITY AND ASSISTANCE INFORMATION TO REDUCE THE TIME TO FIRST FIX | 3 |
Lori A. Ladd | US | Chesterfield | 2010-01-14 / 20100010471 - MEDICATION APPLICATOR DEVICE | 1 |
Bryan E. Ladd | US | West Carrollton | 2016-03-31 / 20160089983 - Braking Grid Cooling System | 2 |
Bryan Matthew Ladd | US | Minneapolis | 2013-11-14 / 20130304186 - STENTS AND CATHETERS HAVING IMPROVED STENT DEPLOYMENT | 2 |
Jim L. Ladd | US | Erie | 2010-09-09 / 20100226742 - MANUAL FORKLIFT APPARATUS AND METHODS | 2 |
Paula D. Ladd | US | Seattle | 2010-11-04 / 20100279293 - METHODS AND COMPOSITIONS FOR IDENTIFYING INCREASED RISK OF DEVELOPING FRAGILE X-ASSOCIATED DISORDERS | 1 |
Michael M. Ladd | US | Renton | 2015-03-26 / 20150086788 - SPECTRALLY SELECTIVE COATINGS AND ASSOCIATED METHODS FOR MINIMIZING THE EFFECTS OF LIGHTNING STRIKES | 2 |
Alan R. Ladd | US | The Colony | 2011-01-06 / 20110004879 - METHOD AND APPARATUS FOR ELIMINATING WAIT FOR BOOT-UP | 1 |
Scott A. Ladd | US | Coventry | 2014-01-02 / 20140003922 - TURBINE EXHAUST CASE DUCT | 2 |
Thomas B. Ladd | US | Jacksonville | 2011-01-27 / 20110021471 - REDUCING Abeta42 LEVELS AND Abeta AGGREGATION | 1 |
Eric Ladd | US | West Jordan | 2011-02-03 / 20110027670 - APPARATUS FOR GENERATING ELECTRICITY FROM A CHEMICAL HYDRIDE | 2 |
Danielle Ladd | US | Westminster | 2011-04-21 / 20110092363 - POROUS METAL OXIDE PARTICLES | 1 |
David A. Ladd | US | Lisle | 2013-11-07 / 20130297732 - Providing an Aggregate Reachability Status | 1 |
Bryan Matthew Ladd | US | St. Louis Park | 2015-08-27 / 20150238223 - CATHETER WITH HELICAL DRIVE SHAFT AND METHODS OF MANUFACTURE | 2 |
Brian J. Ladd | US | Ortonville | 2013-06-06 / 20130142956 - METHOD FOR FORMING A MULTILAYER COATING | 1 |
Steve Ladd | US | Meriden | 2013-02-07 / 20130036144 - METHOD FOR CONVERTING POINT ADDRESS DATA | 1 |
Amy L. Ladd | US | Palo Alto | 2014-09-11 / 20140257538 - METHODS FOR ANALYZING AND PROVIDING FEEDBACK FOR IMPROVED POWER GENERATION IN A GOLF SWING | 2 |
Sharron Ladd | US | Burlington | 2015-04-02 / 20150093388 - Antibodies and Assays for Detection of Folate Receptor 1 | 3 |
Charles Ladd | US | Union City | 2015-04-30 / 20150113733 - SURGERY TABLE HAVING COORDINATED MOTION | 2 |
Clay Ladd | US | Lafayette | 2012-10-04 / 20120247346 - APPARATUS AND METHOD FOR TIN-TIE APPLICATION | 1 |
Derek A. Ladd | US | Acushmet | 2012-06-28 / 20120165125 - MULTI-LAYER GOLF BALL | 1 |
Marion L. Ladd | US | Alpharetta | 2012-06-21 / 20120152289 - Sterilization Container With Disposable Liner | 1 |
Patrick Ladd | US | San Marcos | 2015-11-12 / 20150326926 - METHOD AND APPARATUS FOR NETWORK ASSOCIATION OF CONTENT | 14 |
David Ladd | US | Rainbow City | 2012-02-09 / 20120035973 - COMPUTERIZED DYNAMIC CAPACITY MANAGEMENT SYSTEM AND METHOD | 2 |
Holly Ladd | US | Rainbow City | 2011-11-24 / 20110287910 - Upper Body Exercise Apparatus for Stationary Bike | 1 |
Steven K. Ladd | US | North Andover | 2011-10-20 / 20110258195 - Systems and methods for automatically reducing data search space and improving data extraction accuracy using known constraints in a layout of extracted data elements | 18 |
Gerald Richard Ladd | US | Omaha | 2011-08-25 / 20110208572 - SYSTEMS AND METHODS FOR PROVIDING A REFFERAL REWARD INCENTIVE FOR AN ITEM VIA A NETWORKING WEBSITE | 1 |
Gregory Richard Ladd | US | Elkhorn | 2011-08-25 / 20110208572 - SYSTEMS AND METHODS FOR PROVIDING A REFFERAL REWARD INCENTIVE FOR AN ITEM VIA A NETWORKING WEBSITE | 1 |
Jeffrey C. Ladd | US | Rochester | 2011-08-11 / 20110192953 - LATCHING MECHANISM FOR HOLDING A REMOVABLE COMPONENT IN A MOUNT | 1 |
Mitchell Ladd | US | Winston-Salem | 2011-07-14 / 20110172683 - TISSUE EXPANDER | 1 |
Douglas N. Ladd | US | Cincinnati | 2009-04-02 / 20090088665 - MARKER DEVICE AND METHOD OF DEPLOYING A CAVITY MARKER USING A SURGICAL BIOPSY DEVICE | 1 |
Derek A. Ladd | US | Fairhaven | 2014-03-20 / 20140080636 - BLENDS OF LINEAR AND BRANCHED NEODYMIUM-CATALYZED RUBBER FORMULATIONS FOR USE IN GOLF BALLS | 8 |
Dennis D. Ladd | US | Acton | 2015-06-25 / 20150178139 - METHOD AND SYSTEM FOR TRANSFORMING INPUT DATA STREAMS | 4 |
William M. Ladd | US | Cambridge | 2014-10-02 / 20140297573 - Method for quantifying amplitude of a response of a biological network | 5 |
David D. Ladd | CA | Bramptem | 2009-06-11 / 20090145139 - Method and apparatus for the manipulation and management of a cryogen for production of frozen small volumes of a substance | 1 |
Susanne Ladd | DE | Essen | 2010-07-29 / 20100191098 - METHOD TO TRACK A CONTRAST AGENT IN A MAGNETIC RESONANCE TOMOGRAPHY EXAMINATION | 1 |
David D. Ladd | CA | Huttonville | 2010-08-12 / 20100203214 - Frozen dessert product | 1 |
John W. Ladd | US | San Jose | 2011-01-27 / 20110019051 - IMAGE SENSORS WITH PIXEL CHARGE SUMMING | 1 |
Patrick Ladd | US | San Marcos | 2015-11-12 / 20150326926 - METHOD AND APPARATUS FOR NETWORK ASSOCIATION OF CONTENT | 14 |
John Ladd | US | San Jose | 2012-04-19 / 20120092532 - IMAGING SYSTEMS AND METHODS FOR PROVIDING A SWITCHABLE IMPEDANCE TO GROUND | 4 |
Amy L. Ladd | US | Stanford | 2013-08-15 / 20130211522 - WEDGE SHAPED FRACTURE FIXATION DEVICES AND METHODS FOR USING THE SAME | 3 |
James Ladd | US | San Jose | 2013-05-23 / 20130132824 - SYSTEM AND METHOD FOR CONTEXT AND COMMUNITY BASED CUSTOMIZATION FOR A USER EXPERIENCE | 4 |
Derek A. Ladd | US | Vista | 2009-01-08 / 20090011856 - LOW COMPRESSION, RESILIENT GOLF BALLS WITH RUBBER CORE | 1 |
Shraddha Ladda | US | Sunnyvale | 2016-05-05 / 20160127352 - STEP-UP AUTHENTICATION FOR SINGLE SIGN-ON | 1 |
Gregory C. Ladden | US | Vernon Hills | 2009-04-16 / 20090097842 - SYSTEM AND METHOD FOR SONET EQUIPMENT FAULT MANAGEMENT | 1 |
Prashant Laddha | IN | Morshi | 2016-02-25 / 20160057387 - Identifying and Enhancing Motion Video in a Conference Call Channel by Detecting Audio | 1 |
Nitin Shivcharan Laddha | IN | Gujarat | 2011-04-21 / 20110092602 - RAPIDLY DISINTEGRATING ORAL COMPOSITIONS OF TRAMADOL | 1 |
Akhilesh Laddha | IN | Bangalore | 2008-12-04 / 20080301170 - e-PROFILER: DYNAMIC PROFILING AND AUDITING FRAMEWORK | 1 |
Ritu Nitin Laddha | IN | Ahmedabad | 2013-11-21 / 20130310447 - PHARMACEUTICAL COMPOSITION OF TAXOIDS | 2 |
Joseph Michael Ladd, Jr. | US | Cleves | 2010-04-01 / 20100081602 - COMPOSITIONS FOR LIPOPHILIC FLUID SYSTEMS | 1 |
Ravindra R. Laddu | US | Peoria | 2014-10-16 / 20140307202 - POLARIZATION PLATE FOR USE IN A LIQUID CRYSTAL DISPLAY | 2 |
Tamara Ladduwahetty | GB | Essex | 2012-01-05 / 20120004221 - Antibacterial Compositions | 3 |
Tammy Ladduwahetty | GB | London | 2014-09-25 / 20140288066 - MORPHOLINO SUBSTITUTED BICYCLIC PYRIMIDINE UREA OR CARBAMATE DERIVATIVES AS MTOR INHIBITORS | 1 |
Tamara Ladduwahetty | GB | London | 2009-07-02 / 20090170824 - Diarylsulfones as 5-HT2A Antagonists | 3 |
Dominick Laddy | US | Philadelphia | 2010-07-01 / 20100166787 - VACCINES AND METHODS FOR USING THE SAME | 1 |
Dominick Laddy | US | Germantown | 2013-01-03 / 20130004529 - VACCINES AND METHODS FOR USING THE SAME | 2 |
Dominick Laddy | US | Rockville | 2009-07-02 / 20090169505 - NOVEL VACCINES AGAINST MULTIPLE SUBTYPES OF INFLUENZA VIRUS | 1 |
Tammy Laddywahetty | GB | Harlow | 2015-07-16 / 20150197529 - ARYL SULTAM DERIVATIVES AS RORc MODULATORS | 1 |
Rob J. Lade | GB | Buckinghamshire | 2011-10-27 / 20110260081 - DISCHARGE FLOW CONTROL VALVE | 3 |
Sanjay Pandurang Lade | IN | Navi Mumbai Maharashtra | 2010-02-04 / 20100028425 - PHARMACEUTICAL COMPOSITION OF ATOVAQUONE | 1 |
Günther Lade | DE | Berglen | 2013-03-07 / 20130056527 - FOLDING-BOX INSERT, AND FOLDING BOX FOR A FOLDING-BOX INSERT | 1 |
Abhijit Lade | IN | Bangalore | 2014-07-31 / 20140210944 - METHOD AND APPARATUS FOR CONVERTING 2D VIDEO TO 3D VIDEO | 1 |
Gajanan Lade | IN | Latur | 2015-09-24 / 20150270714 - METHOD AND A SYSTEM FOR A FAST BUS TRANSFER IN AN ELECTRICAL POWER SYSTEM | 1 |
Günther Lade | DE | Berglen | 2013-03-07 / 20130056527 - FOLDING-BOX INSERT, AND FOLDING BOX FOR A FOLDING-BOX INSERT | 1 |
Thomas G. Lade | US | Ankeny | 2014-06-19 / 20140166786 - Seed Sampling Apparatus and Method | 1 |
Deborah Lade | US | Cincinnati | 2012-04-19 / 20120093752 - Use of Monoamine Oxidase Inhibitors to Improve Epithelial Biology | 1 |
Oliver Lade | DE | Berlin | 2013-08-29 / 20130225416 - ELECTRONIC SEQUENCING | 7 |
Robert J. Lade | GB | Marlow | 2010-11-25 / 20100294518 - HYBRID INERT GAS FIRE SUPPRESSION SYSTEM | 3 |
Ralf Ladebeck | DE | Erlangen | 2016-03-31 / 20160089029 - SHIM COIL DEVICE AND A MAGNETIC RESONANCE COIL SYSTEM HAVING A SHIM COIL DEVICE | 56 |
Jurgen Ladebeck | DE | Bad Aibling | 2013-10-10 / 20130266507 - MECHANOCHEMICAL PRODUCTION OF ZEOLITES | 2 |
Jurgen R. Ladebeck | US | Louisville | 2009-10-01 / 20090246523 - Small Diameter Calcium Aluminate Based Catalyst Supports by Extrusion and Pelletizing | 2 |
Ralf Ladebeck | DE | Erlagen | 2014-12-04 / 20140354277 - Mobile Magnetic Resonance Tomography | 1 |
Jürgen Ladebeck | DE | Bad Aibling | 2013-10-10 / 20130266507 - MECHANOCHEMICAL PRODUCTION OF ZEOLITES | 1 |
Christoph Hubertus Ladel | DE | Darmstadt | 2015-08-06 / 20150218637 - GENETIC MARKERS FOR PREDICTING RESPONSIVENESS TO FGF-18 COMPOUND | 2 |
Christoph Ladel | IT | Ivrea (to) | 2012-01-12 / 20120010215 - Treatment of bacterial diseases of the respiratory organs | 1 |
Christoph H. Ladel | CH | Nyon | 2010-01-21 / 20100016223 - TREATMENT OF CARTILAGE DISORDERS WITH FGF-18 | 2 |
Gaetano Ladelfa | CH | Naters | 2013-08-15 / 20130211142 - HALOGENATED DIETHYLTOLUENEDIAMINES | 1 |
Ross A. Ladell | CA | Kelowna | 2015-04-30 / 20150119139 - SELECTIVE CACHING OF INTERACTIVE OBJECTS | 1 |
Tricia Ladely (guevara) | US | Beaver | 2010-02-25 / 20100047496 - LABELED CONTAINERS, METHODS AND DEVICES FOR MAKING SAME | 1 |
Anne-Marie Lademann | DK | Klampenborg | 2014-06-26 / 20140179731 - Tacrolimus For Improved Treatment Of Transplant Patients | 4 |
Anne-Marie Lademann | DK | Charlottenlund | 2010-07-01 / 20100166866 - MATRIX COMPOSITIONS FOR CONTROLLED DELIVERY OF DRUG SUBSTANCES | 4 |
Helmut Lademann | DE | Hurth | 2012-06-07 / 20120138483 - METHOD AND SYSTEM FOR ELECTROLYSER SINGLE CELL CURRENT EFFICIENCY | 2 |
Olaf Lademann | DE | Rostock | 2012-11-15 / 20120288934 - DEVICE AND METHOD FOR TREATING LIVING CELLS BY MEANS OF A PLASMA | 1 |
Jurgen Lademann | DE | Berlin | 2014-04-03 / 20140093548 - METHOD FOR DECONTAMINATING THE SKIN WITH TEXTILE COMPOSITE MATERIAL | 3 |
Anne-Marie Lademann | DK | Gentofte | 2014-05-01 / 20140120164 - POLYMER RELEASE SYSTEM | 1 |
Jacob Bo Lademann | DK | Copenhagen S | 2012-01-05 / 20120003741 - HEPATITIS C VIRUS EXPRESSING REPORTER TAGGED NS5A PROTEIN | 1 |
Guy Laden | IL | Haifa | 2009-12-24 / 20090319738 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR STORING TRANSIENT STATE INFORMATION | 1 |
Patrick Laden | US | Petaluma | 2015-11-12 / 20150321499 - OPTICALLY VARIABLE DEVICE COMPRISING MAGNETIC FLAKES | 3 |
Mark Noam Laden | IL | Kefar Shmaryahu | 2010-08-26 / 20100216567 - GOLF STANCE AND BALL ALIGNMENT DEVICE | 1 |
Guy Laden | IL | Tel Aviv | 2016-03-10 / 20160070645 - ALLOCATION ENFORCEMENT IN A MULTI-TENANT CACHE MECHANISM | 2 |
Bunny Laden | US | Los Gatos | 2012-12-06 / 20120311494 - Persistently Displaying Transient Content | 1 |
Guy Laden | IL | Jaffa | 2015-04-02 / 20150095584 - UTILITY-BASED INVALIDATION PROPAGATION SCHEME SELECTION FOR DISTRIBUTED CACHE CONSISTENCY | 5 |
Matthew M. Laden | US | Masaryktown | 2015-05-28 / 20150148020 - METHOD AND APPARATUS FOR SELF-ACTIVATING A MOBILE DEVICE | 1 |
Daniel Ladenberger | DE | Maulburg | 2009-02-26 / 20090050467 - APPARATUS FOR PROCESSING PROCESS OR INDUSTRIAL WASTEWATERS | 1 |
Michael Ladenberger | DE | Ludwigshafen | 2013-03-07 / 20130056081 - PIPELINE SYSTEM AND METHOD FOR DRAINING A PIPELINE SYSTEM | 2 |
Michael Ladenberger | DE | Darstein | 2014-08-28 / 20140238523 - APPARATUS FOR HEATING A PIPELINE | 6 |
Deborah Ladenheim | US | Dexter | 2011-11-03 / 20110268731 - METHODS OF TREATING AUTOIMMUNE DISORDERS AND/OR INFLAMMATORY DISORDERS | 1 |
Jack H. Ladenson | US | St. Louis | 2013-10-31 / 20130288280 - ALZHEIMER'S DIAGNOSIS | 2 |
Ruth Ladenstein | AT | Vienna | 2015-05-21 / 20150139942 - Method for Tretaing a GD2 Positive Cancer | 2 |
Reinhold Lader | DE | Altenmunster | 2012-01-12 / 20120006769 - CROCKERY BASKET AND CROCKERY BASKET SYSTEM | 1 |
Eric Fat Lader | US | Boyds | 2012-06-07 / 20120142011 - Modified siNA | 1 |
Alan S. Lader | US | Stoughton | 2015-11-19 / 20150329519 - Formulations Comprising Lipoyl Compounds | 5 |
Eric S. Lader | US | Boyds | 2012-10-25 / 20120270316 - METHODS AND REAGENTS FOR PRESERVING RNA IN CELL AND TISSUE S AMPLES | 2 |
Eric Lader | US | Boyds | 2014-10-02 / 20140295411 - METHODS AND REAGENTS FOR PRESERVING RNA IN CELL AND TISSUE SAMPLES | 2 |
Alan Lader | US | Stoughton | 2011-06-30 / 20110160294 - COMPOSITIONS AND METHODS FOR TREATING ISCHEMIA AND ISCHEMIA-REPERFUSION INJURY | 2 |
Mark Laderman | CA | Toronto | 2008-11-06 / 20080271461 - METHOD OF STARTING TURBINE ENGINE FROM LOW ENGINE SPEED | 1 |
Raphael Frederick Laderman | US | Hayward | 2009-03-05 / 20090063942 - SYSTEM AND METHOD FOR ASSOCIATING A REPORT WITH A CUSTOMIZABLE TEMPLATE | 1 |
Elisabeth Inman Laderman | US | Fullerton | 2011-07-28 / 20110184254 - DETECTION OF INTRAAMNIOTIC INFECTION | 1 |
Douglas Laderman | US | Natick | 2014-12-11 / 20140360420 - MULTI-COMPONENT ROBOT FOR BELOW ICE SEARCH AND RESCUE | 1 |
Stephen S. Laderman | US | Menlo Park | 2012-09-13 / 20120231968 - Comparative Genomic Hybridization Assays Using Immobilized Oligonucleotide Features and Compositions for Practicing the Same | 1 |
Keith R. Laderoute | US | Menlo Park | 2012-10-04 / 20120252773 - Method and composition for inhibiting cell proliferation and angiogenesis | 1 |
Marian Laderoute | CA | Gatineau | 2012-01-26 / 20120021403 - Human Endogenous Retrovirus with Foamy-Like Properties and Uses Thereof | 1 |
Marian Laderoute | CA | Quebec | 2009-01-15 / 20090017447 - Human Endogenous Retrovirus with Foamy-Like Properties and Uses Thereof | 1 |
Geoff Ladestro | US | San Francisco | 2011-07-07 / 20110166950 - System and Methods for a Personal Seat License Auction | 1 |
Sébastien Ladet | FR | Caluire-Et-Cuire | 2013-06-06 / 20130143976 - MEDICAL DEVICE WITH PREDEFINED ACTIVATED CELLULAR INTEGRATION | 1 |
Sebastien Ladet | FR | Lyon | 2014-05-22 / 20140142626 - CROSSLINKED FIBERS AND METHOD OF MAKING SAME BY EXTRUSION | 16 |
Sébastien Ladet | FR | Lyon | 2013-03-28 / 20130078285 - IMPLANT FOR TISSUE REPAIR | 20 |
Sébastien Ladet | FR | Lyon | 2013-03-28 / 20130078285 - IMPLANT FOR TISSUE REPAIR | 20 |
Sebastien Ladet | FR | Lyon | 2014-05-22 / 20140142626 - CROSSLINKED FIBERS AND METHOD OF MAKING SAME BY EXTRUSION | 16 |
Sèbastien Ladet | FR | Caluire & Cuire | 2016-03-10 / 20160067344 - COMPOUNDS AND MEDICAL DEVICES ACTIVATED WITH SOLVOPHOBIC LINKERS | 16 |
Sèbastien Ladet | FR | Caluire & Cuire | 2016-03-10 / 20160067344 - COMPOUNDS AND MEDICAL DEVICES ACTIVATED WITH SOLVOPHOBIC LINKERS | 16 |
Sébastien Ladet | FR | Caluire-Et-Cuire | 2015-11-26 / 20150335795 - MULTILAYER IMPLANTS FOR DELIVERY OF THERAPEUTIC AGENTS | 2 |
Brett Ladetto | US | Clarkston | 2013-06-13 / 20130147222 - STORAGE COMPARTMENT IN THE PILLAR OF A VEHICLE | 3 |
Kathrin Ladetzki-Baehs | DE | Planegg | 2014-12-25 / 20140377255 - 4-1BB BINDING MOLECULES | 4 |
Sandy Ladeuze | BE | Lesve | 2015-08-06 / 20150218502 - FLUIDIC DEVICE FOR STUDYING OF SURFACE-DWELLING MULTICELLULAR LAYERS AND MICROBIAL BIOFILMS | 1 |
Christopher Ladewig | US | Fayetteville | 2015-03-05 / 20150062911 - Multi-Functional Heat Sink | 10 |
Christopher G. Ladewig | US | Fayetteville | 2009-09-24 / 20090237924 - BEAM ADJUSTMENT MECHANISM FOR AN LED LIGHT FIXTURE | 2 |
Dorothy J. Ladewig | US | Mazeppa | 2012-06-07 / 20120143099 - SYSTEMS AND METHODS FOR IMPAIRING SMOOTH MUSCLE TISSUE FUNCTION | 1 |
Julia Ladewig | DE | Bonn | 2012-04-19 / 20120093832 - PROMOTION OF NEURONAL INTEGRATION IN NEURAL STEM CELL GRAFTS | 1 |
Christopher Ladewig | US | Fayetteville | 2015-03-05 / 20150062911 - Multi-Functional Heat Sink | 10 |
Alexander Ladewig | DE | Bad Wiessee | 2016-05-05 / 20160121438 - REPAIR METHOD AND DEVICE FOR THE ADDITIVE REPAIR OF A COMPONENT | 5 |
Eric Ladewig | US | Cary | 2014-12-11 / 20140364825 - ABSORPTIVE BREAST BANDAGE | 3 |
Jan Ladewig | US | Rochester Hills | 2012-11-29 / 20120298907 - THERMOFORMABLE SOUND-DEADENING FILLED THERMOPLASTIC POLYOLEFIN COMPOSITION | 1 |
Gunter R. Ladewig | CA | Maple | 2016-03-10 / 20160069416 - REDUCED NOISE FLEXPLATE | 1 |
Bradley Ladewig | AU | St Kilda East | 2015-07-09 / 20150190784 - GAS SEPARATION PROCESSES | 1 |
Chris Ladewig | US | Fayetteville | 2010-06-10 / 20100142213 - Methods And Apparatus For Enhancing Portable Worklight Features | 1 |
Rebecca L. Ladewski | US | Midland | 2015-08-27 / 20150240111 - AMBIENT CURE WEATHERABLE COATINGS | 1 |
Laura Marie Ladewski | US | Chicago | 2012-10-04 / 20120254066 - METHODS AND APPARATUS FOR VALUING MORTGAGE LOAN PORTFOLIOS | 1 |
Khoj Ladha | US | Sammamish | 2012-12-13 / 20120317082 - QUERY-BASED INFORMATION HOLD | 1 |
Mohamad H. Ladha | US | Parsippany | 2011-10-27 / 20110262525 - METHODS OF TREATMENT | 1 |
Shab Ladha | GB | Bucks | 2008-12-25 / 20080315119 - Method of Analysing a Sample and Apparatus Therefor | 2 |
Alka Ladha | US | New York | 2009-03-19 / 20090077089 - COMPUTER OBJECT TAGGING | 1 |
Shezadh Ladha | IN | Mumbai | 2013-10-03 / 20130262306 - Method, System and Program Product for Financial Transactions | 1 |
Alnasir Ladha | CA | Markham | 2010-06-24 / 20100161647 - SMART CACHE COMPONENT FOR DEMAND CHAIN MANAGEMENT | 2 |
Karim Ladha | GB | Coalville | 2011-11-24 / 20110283886 - Method And Apparatus For Regenerating A Filter | 1 |
Khoj M. Ladha | US | Sammamish | 2013-11-07 / 20130297576 - EFFICIENT IN-PLACE PRESERVATION OF CONTENT ACROSS CONTENT SOURCES | 1 |
Hussain H. Ladhani | US | Chandler | 2015-12-31 / 20150381117 - RADIO FREQUENCY DEVICES WITH SURFACE-MOUNTABLE CAPACITORS FOR DECOUPLING AND METHODS THEREOF | 2 |
Sandip J. Ladhani | US | Austin | 2010-05-06 / 20100111166 - DEVICE FOR DECODING A VIDEO STREAM AND METHOD THEREOF | 1 |
Hussain H. Ladhani | US | Tempe | 2016-03-10 / 20160072451 - RF POWER TRANSISTOR CIRCUITS | 4 |
Sandip Ladhani | US | Austin | 2009-07-02 / 20090168899 - System, method and device to encode and decode video data having multiple video data formats | 1 |
Othman Ladhari | FR | Grenoble | 2015-11-26 / 20150336469 - SYSTEM COMPRISING A BATTERY FORMED BY BATTERY MODULES, AND CORRESPONDING METHOD FOR CONNECTING OR DISCONNECTING A BATTERY MODULE | 1 |
Parag Ladhawala | US | San Francisco | 2013-10-17 / 20130275222 - SYSTEMS AND METHODS TO COMBINE TRANSACTION TERMINAL LOCATION DATA AND SOCIAL NETWORKING CHECK-IN | 2 |
Ram L. Ladi | US | Tomball | 2013-11-14 / 20130299249 - SUPER-ABRASIVE MATERIAL WITH ENHANCED ATTACHMENT REGION AND METHODS FOR FORMATION AND USE THEREOF | 8 |
Pierluigi Ladié | IT | Milano | 2014-05-08 / 20140124263 - JOINT FOR HV CABLES INSULATED WITH IMPREGNATED PAPER OR PAPER-POLYPROPYLENE LAMINATE (PPL) | 1 |
Elke Ladiges | DE | Hepstedt | 2015-10-22 / 20150296892 - Nursing Pad | 2 |
Mladen Ladika | US | Midland | 2015-12-31 / 20150374823 - POLYALKOXYLATED ALCOHOLS AS EXCIPIENTS FOR PHARMACEUTICAL COMPOSITIONS | 8 |
Mladen Ladika | US | Midland | 2015-12-31 / 20150374823 - POLYALKOXYLATED ALCOHOLS AS EXCIPIENTS FOR PHARMACEUTICAL COMPOSITIONS | 8 |
Kim Ladin | US | Campbell | 2016-03-24 / 20160081598 - Devices, Systems, and Methods Related to Analyte Monitoring and Management | 2 |
Karl L. Ladin | US | Rochester | 2010-11-11 / 20100287515 - INTERACTIVE CHECKER IN A LAYOUT EDITOR | 8 |
Dmitry Ladin | CA | Maple | 2008-11-20 / 20080287010 - ELECTRICAL CONNECTOR ASSEMBLY WITH A WEDGE AND LUBRICANT | 1 |
Daniel A. Ladin | US | Winterville | 2015-04-23 / 20150111969 - J-SERIES PROSTAGLANDIN-ETHANOLAMIDES AS NOVEL THERAPEUTICS | 1 |
Dmitry Ladin | CA | Thornhill | 2010-01-21 / 20100011571 - ELECTRICAL CONNECTOR ASSEMBLY TOOL | 1 |
Dmitry Ladin | CA | Ontario | 2010-06-24 / 20100155212 - ISOLATING APPARATUS FOR ELECTRIC POWER LINES AND METHODS FOR FORMING AND USING THE SAME | 2 |
Steven Ladin | US | Plymouth | 2013-03-21 / 20130073474 - SOCIAL NETWORK AND LOCATION-BASED EMPLOYMENT PLACEMENT SYSTEM AND METHOD | 1 |
James Robert Ladine | US | Uxbridge | 2009-10-01 / 20090247417 - METHOD AND SYSTEM FOR DRUG SCREENING | 1 |
James R. Ladine | US | Uxbridge | 2011-02-24 / 20110046910 - Method and System for Sample Testing | 1 |
James R. Ladine | US | Whitinsville | 2010-07-08 / 20100173359 - ENHANCED BIOTHERAPEUTIC PRODUCTION USING INHIBITORY RNA | 1 |
Gert Lading | DK | Randers | 2012-03-08 / 20120056031 - Method for Compensation of Gyroscopic Forces of a Rotor in a Helicopter | 2 |
Lars Lading | DK | Roskilde | 2015-11-19 / 20150327786 - Method of Calibrating a Blood Pressure Measurement Device | 13 |
Michael R. Ladisch | US | West Lafayette | 2015-10-15 / 20150293094 - METHODS AND SYSTEMS USEFUL FOR FOODBORNE PATHOGEN DETECTION | 9 |
Michael Ladisch | US | West Lafayette | 2012-05-03 / 20120108798 - Production Of Pure Lignin From Lignocellulosic Biomass | 1 |
Michael R. Ladisch | US | West Lafayette | 2015-10-15 / 20150293094 - METHODS AND SYSTEMS USEFUL FOR FOODBORNE PATHOGEN DETECTION | 9 |
Michael Ralph Ladisch | US | West Lafayette | 2016-03-10 / 20160068828 - LIQUEFIED CELLULOSIC BIOMASS FOR ENZYME PRODUCTION | 3 |
Michael R. Ladisch | US | Lafayette | 2012-03-08 / 20120058524 - METHODS FOR INCREASING THE YIELD OF FERMENTABLE SUGARS FROM PLANT STOVER | 1 |
Curtis J. Ladish | US | Brookfield | 2014-07-17 / 20140199182 - RECIPROCATING WATER PUMP | 3 |
Asif Ladiwala | US | San Diego | 2014-03-06 / 20140067308 - Automated System and Method for Monitoring Chromatography Column Performance, and Applications Thereof | 3 |
Nicolas Ladizinsky | CA | Burnaby | 2015-08-20 / 20150236235 - SYSTEMS AND METHODS FOR FABRICATION OF SUPERCONDUCTING CIRCUITS | 1 |
Daniel A. Ladizinsky | US | Lake Oswego | 2015-08-27 / 20150238396 - Method for Oxygen Treatment of Intact Skin | 4 |
Daniel A. Ladizinsky | US | Oswego | 2009-03-19 / 20090074880 - METHOD FOR OXYGEN TREATMENT OF INTACT SKIN | 1 |
Eric Ladizinsky | US | Manhattan Beach | 2015-08-20 / 20150236235 - SYSTEMS AND METHODS FOR FABRICATION OF SUPERCONDUCTING CIRCUITS | 4 |
Mustapha Ladjali | FR | Sannois | 2016-02-11 / 20160038149 - BODILY TISSUE TREATMENT KIT | 1 |
Mustapha Ladjali | FR | Rueil Malmaison | 2016-05-12 / 20160128832 - DEVICE FOR TREATMENT OF BODY TISSUE, AND ASSOCIATED TREATMENT KIT | 1 |
Madjid Ladjici | FR | Chevilly Larue | 2014-04-17 / 20140102806 - TUBULAR COMPONENT FOR DRILL STEM CAPABLE OF BEING CABLED, AND METHOD FOR MOUNTING A CABLE IN SAID COMPONENT | 1 |
Kiran Ladkat | IN | Gurgaon | 2015-10-01 / 20150276332 - POST TREAT REACTOR INLET TEMPERATURE CONTROL PROCESS AND TEMPERATURE CONTROL DEVICE | 5 |
Kiran Ladkat | IN | Haryana | 2016-04-28 / 20160115394 - SEPARATOR VESSEL AND PROCESS OF USING SAME | 2 |
Prashant Ladkat | IN | Mumbai | 2016-02-04 / 20160031867 - AN IMPROVED PROCESS FOR THE PREPARATION OF APREPITANT | 1 |
Rajendra Vithal Ladkat | IN | Maharashtra | 2011-02-24 / 20110042054 - HOT AND COLD STORAGE | 1 |
Saad A. Ladki | US | Bellevue | 2010-07-22 / 20100186082 - Web Management Authorization and Delegation Framework | 1 |
Saad Ladki | US | Bellevue | 2010-09-23 / 20100242032 - NETWORK APPLICATION VERSIONING | 2 |
Thomas Robert Ladley | US | South Park | 2011-01-27 / 20110020484 - Barrier Guard for Dough Sheeter | 1 |
Eric Garner Ladner | US | Canton | 2013-07-25 / 20130186061 - HEAT RECOVERY SYSTEM FOR A VEHICLE | 2 |
Martha Ladner | US | Oakland | 2015-07-02 / 20150184243 - Determination of KIR Haplotypes Associated with Disease | 2 |
Rob Ladner | US | Dryden | 2014-09-18 / 20140261386 - BROIL BURNER OF A HOUSEHOLD COOKING APPLIANCE | 2 |
Robert C. Ladner | US | Ijamsville | 2014-11-27 / 20140349940 - PREVENTION AND REDUCTION OF BLOOD LOSS | 43 |
Zachary Daniel Ladner | US | Pleasant Hill | 2012-10-04 / 20120246988 - Systems and Methods for Limiting Capacity of Firearm Magazines | 1 |
Rodney W. Ladner | US | Kiln | 2011-11-17 / 20110282636 - Variable Resolution Uncertainty Expert System for Digital Bathymetry Database | 1 |
William Ladner | US | Plainville | 2012-07-05 / 20120168407 - DEVICE AND METHOD FOR CIRCUIT PROTECTION | 1 |
Daniel Ray Ladner | US | Boulder | 2014-09-18 / 20140260622 - Apparatus for gauging liquid or solid mass contained in a vessel and method for using same | 2 |
Robert D. Ladner | US | Santa Monica | 2016-02-11 / 20160039788 - DEOXYURIDINE TRIPHOSPHATASE INHIBITORS | 3 |
Zachary Daniel Ladner | US | Martinez | 2015-11-05 / 20150316340 - UNIVERSAL SYSTEMS AND METHODS FOR ADJUSTABLY LIMITING CAPACITY OF FIREARM MAGAZINES | 1 |
Robert Charles Ladner | US | Ijamsville | 2015-08-06 / 20150218218 - PEPTIDES THAT SPECIFICALLY BIND HGF RECEPTOR (CMET) AND USES THEREOF | 26 |
David Ladner | US | Chaska | 2015-03-12 / 20150073695 - SYSTEM FOR ROUTE SCHEDULING, DRIVER ALERTS, AND CORRECTIVE ACTION BASED ON WEATHER GUIDANCE | 1 |
Jim R. Ladner | US | Marysville | 2012-07-19 / 20120183369 - Vehicle Transporter | 1 |
Carol Ladner | CA | Calgary | 2010-04-15 / 20100089753 - FLUORESCENT DETECTION OF PROTEINS IN POLYACRYLAMIDE GELS | 1 |
Wolfgang Ladner | DE | Fussgonheim | 2012-05-31 / 20120135483 - Preparation of Isomerically Pure Substituted Cyclohexanols | 3 |
Robert Charles Ladner | US | Ijamsville | 2015-08-06 / 20150218218 - PEPTIDES THAT SPECIFICALLY BIND HGF RECEPTOR (CMET) AND USES THEREOF | 26 |
Karl Ladner | AT | Linz | 2012-11-01 / 20120272708 - DRIVE DEVICE FOR A BENDING PRESS | 2 |
Peter Ladner | AT | Leonding | 2012-11-01 / 20120272708 - DRIVE DEVICE FOR A BENDING PRESS | 2 |
Wolfgang Ladner | DE | Fussgoenheim | 2012-05-24 / 20120129699 - Liquid Composition Containing a Pesticide, a Non-ionic Surfactant and a Propionamide | 1 |
Samantha Victoria Lado | US | Pittsfield Township | 2014-11-27 / 20140350805 - METHOD OF CONTROLLING A TRANSMISSION OF A VEHICLE | 9 |
Beatrice Lado | FR | Saint-Andre De Cubzac | 2015-04-16 / 20150104542 - SHELF-STABLE COOKING AID AND A PROCESS FOR ITS PREPARATION | 1 |
Michael Ladonnet | FR | Thaon Les Vosges | 2010-08-05 / 20100196145 - TURBINE ASSEMBLY FOR AN EXHAUST GAS-DRIVEN TURBOCHARGER HAVING A VARIABLE NOZZLE | 1 |
Michael Ladonnet | US | Morristown | 2011-05-05 / 20110103936 - TURBOCHARGER WITH ANNULAR ROTARY BYPASS VALVE FOR THE TURBINE | 1 |
Michael Ladonnet | FR | Dombrot Sur Vair | 2013-10-17 / 20130269339 - Turbocharger Cartridge and Engine Cylinder Head Assembly | 4 |
Csaba Ladonyi | AT | Vienna | 2009-11-05 / 20090276456 - SYSTEM AND METHOD FOR MANAGING BINARY LARGE OBJECTS | 1 |
Dale W. Ladoon | US | Niskayuna | 2010-12-02 / 20100300101 - STEAM TURBINE TWO FLOW LOW PRESSURE CONFIGURATION | 1 |
Dale William Ladoon | US | Niskayuna | 2012-06-28 / 20120163969 - TURBINE INCLUDING EXHAUST HOOD | 3 |
Norman M. Ladouceur | CA | Waterloo | 2013-04-04 / 20130082934 - SYSTEMS AND METHODS FOR INPUTTING DATA USING MULTI-CHARACTER KEYS | 24 |
Ronald P. Ladouceur | US | Niskayuna | 2011-10-20 / 20110258561 - METHOD, SYSTEM AND PROGRAM PRODUCT FOR PARTICIPATING IN SOCIAL MEDIA SITES ON BEHALF OF ENTITY | 1 |
Norman Miner Ladouceur | CA | Waterloo | 2013-08-08 / 20130199908 - MOBILE DEVICE CASE | 16 |
Richard Ladouceur | CA | Hornby Island | 2015-06-11 / 20150159676 - INTERMITTENT FLUID PUMP | 4 |
David Ladouceur | US | Westminster | 2013-12-12 / 20130332845 - Apparatus and Method for Utilizing Dynamic Three-Dimensional Facades for Organizing Content | 1 |
Francois Ladouceur | AU | Waterloo | 2014-12-04 / 20140354263 - OPTICALLY BASED VOLTAGE SENSING DEVICE AND METHOD | 1 |
Norman M. Ladouceur | CA | Wingham | 2013-09-05 / 20130230345 - Ramped-Key Keyboard for a Handheld Mobile Communication Device | 1 |
Harold D. Ladouceur | US | Severn | 2016-02-18 / 20160047213 - SYSTEM AND METHOD FOR DRY FRACTURE SHALE ENERGY EXTRACTION | 1 |
Norman Miner Ladouceur | CA | Wingham | 2014-01-23 / 20140024308 - HANDHELD ELECTRONIC DEVICE THAT HAS A KEYPAD WHICH CAN BE RENDERED INEFFECTIVE, AND ASSOCIATED METHOD | 8 |
Norman Miner Ladouceur | US | 2013-03-21 / 20130069882 - ELECTRONIC DEVICE AND METHOD OF CHARACTER SELECTION | 1 | |
Norman Miner Ladouceur | CA | Wingham | 2014-01-23 / 20140024308 - HANDHELD ELECTRONIC DEVICE THAT HAS A KEYPAD WHICH CAN BE RENDERED INEFFECTIVE, AND ASSOCIATED METHOD | 8 |
Gaetan Ladouceur | US | Raleigh | 2011-12-29 / 20110318393 - Substituted Pyrazole Compounds | 1 |
Harold A. Ladouceur | US | Livonia | 2008-08-28 / 20080207341 - FASTENER MANUFACTURING APPARATUS AND METHOD | 1 |
Gaetan Ladouceur | US | Rockville | 2011-08-11 / 20110195110 - UREA COMPOUNDS USEFUL IN THE TREATMENT OF CANCER | 2 |
Gaetan Ladouceur | US | Guilford | 2011-06-09 / 20110136809 - Substituted Pyrazolyl Urea Derivatives Useful In The Treatment Of Cancer | 4 |
Gaetan H. Ladouceur | US | Guilford | 2009-11-26 / 20090292014 - BENZOFURAN DERIVATIVES USEFUL FOR TREATING HYPER-PROLIFERATIVE DISORDERS | 2 |
Nels Ladouceur | CA | Delta | 2014-06-12 / 20140159258 - MIXER AERATOR | 1 |
Gaeten Ladouceur | US | Rockville | 2010-11-25 / 20100298297 - Tetrahydropyridothienopyrimidine Compounds and Methods of Use Thereof | 1 |
Dave J. Ladouceur | US | Westminster | / - | 1 |
Christopher Ladouceur | US | Westminster | 2013-12-12 / 20130332845 - Apparatus and Method for Utilizing Dynamic Three-Dimensional Facades for Organizing Content | 1 |
Norman Ladouceur | CA | Waterloo | 2013-05-09 / 20130114845 - COMMUNICATION DEVICE AND A CASING THEREFOR | 15 |
Nels Robert Ladouceur | CA | Delta | 2014-01-16 / 20140016433 - METHOD AND APPARATUS FOR GENERATING MICRO BUBBLES IN A FLUID FLOW | 2 |
Trisha Ladouceur | CA | Edmonton | 2010-01-14 / 20100007127 - BUILD A BOOK | 1 |
Nels Robert Ladouceur | CA | Richmond | 2011-05-12 / 20110110181 - Method and apparatus for mixing and/or blending fluids | 1 |
Norman Miner Ladouceur | CA | Waterloo | 2013-08-08 / 20130199908 - MOBILE DEVICE CASE | 16 |
Steven T. Ladoulis | US | Brookline | 2009-04-16 / 20090099918 - Funds accumulation systems and methods | 1 |
Corinne Ladous | US | Salem | 2011-05-19 / 20110117726 - BONDED INTERMEDIATE SUBSTRATE AND METHOD OF MAKING SAME | 1 |
Agnés Ladous | FR | Charenton Le Pont | 2012-11-15 / 20120287405 - Method for Determining Binocular Performance of a Pair of Spectacle Lenses | 2 |
Corinne Ladous | US | Pasadena | 2009-11-12 / 20090278233 - BONDED INTERMEDIATE SUBSTRATE AND METHOD OF MAKING SAME | 1 |
Agnés Ladous | FR | Charenton Le Pont | 2012-11-15 / 20120287405 - Method for Determining Binocular Performance of a Pair of Spectacle Lenses | 2 |
Agnes Ladous | FR | Charenton-Le-Pont | 2014-11-27 / 20140347626 - Process Of Determination Of A Semi - Finished Blank | 2 |
Chad Ladov | US | San Francisco | 2010-01-14 / 20100007737 - METHODS AND SYSTEMS FOR MONITORING A VENUE | 1 |
Spencer Ladow | US | Canonsburg | 2010-01-07 / 20100004863 - MOBILE ENVIRONMENTAL DETECTOR | 1 |
Uwe Ladra | DE | Erlangen | 2013-04-04 / 20130085617 - VELOCITY-PROPORTIONAL ACTIVE VIBRATION DAMPING | 13 |
Anthony S. Ladran | US | El Cerrito | 2011-03-03 / 20110051759 - METHOD AND SYSTEM FOR POWERING AND COOLING SEMICONDUCTOR LAYERS | 1 |
Daniel Ladret | FR | Lans-En-Vercors | 2015-06-11 / 20150162831 - INTEGRATED CIRCUIT ADAPTED TO PERFORM POWER PATH CONTROL IN A MOBILE EQUIPMENT | 6 |
Marika Ladret | FR | Lompret | 2010-03-11 / 20100058953 - USE OF A LEGUMINOUS STARCH DERIVATIVE FOR COATING PAPER OR FOLDING CARTON AND COATING COMPOSITION CONTAINING SAME | 1 |
Marika (née Szadecski) Ladret | FR | Lompret | 2010-06-24 / 20100155338 - Method for the cationisation of legume starches, cationic starches thus obtained applications thereof | 1 |
Marika (née Szadecski) Ladret | FR | Lompret | 2010-06-24 / 20100155338 - Method for the cationisation of legume starches, cationic starches thus obtained applications thereof | 1 |
Oscar A. Ladriere | US | Charleston | 2008-09-18 / 20080223926 - BIOMETRIC CONTROL OF EQUIPMENT | 1 |
Cesar Ladron De Guevara | US | Las Vegas | 2014-02-06 / 20140034559 - SYSTEM FOR MONITORING AND CONTROLLING A PROCESS FOR TREATMENT OF A TREATABLE FLUID | 3 |
Daniel T. Ladror | US | Madison | 2013-11-07 / 20130292581 - Integrated Electrospray Ionization Emitter and Detection Cell for Parallel Measurements by Fluorescence and Mass Spectrometry | 2 |
Francis-Jurjen Ladru | DE | Berlin | 2013-06-20 / 20130153555 - PROCESS FOR LASER MACHINING A LAYER SYSTEM HAVING A CERAMIC LAYER | 21 |
Gerald Ladstaetter | AT | Klaus | 2016-03-10 / 20160069554 - SUPPORT RAIL FOR FORMING A LIGHTING STRIP SYSTEM AND LIGHTING STRIP SYSTEM | 8 |
Martin Ladstaetter | DE | Bergkirchen | 2015-07-09 / 20150191064 - Independent Suspension of a Wheel of a Two-Track Vehicle With a Scissor-Type Arm and a Spring Element | 1 |
Ulrich Ladstaetter | DE | Weinstadt | 2013-06-20 / 20130158364 - Device Embodied to Measure Vital Parameters of a Patient | 7 |
Gerald Ladstaetter | AT | Klaus | 2016-03-10 / 20160069554 - SUPPORT RAIL FOR FORMING A LIGHTING STRIP SYSTEM AND LIGHTING STRIP SYSTEM | 8 |
James R. Ladtkow | US | Broomfield | 2015-12-31 / 20150375238 - System for Blood Separation with Gravity Valve for Controlling a Side-Tapped Separation Chamber | 9 |
Casey M. Ladtkow | US | Arvada | 2010-04-15 / 20100094271 - Apparatus, System and Method for Monitoring Tissue During an Electrosurgical Procedure | 4 |
Casey M. Ladtkow | US | Westminster | 2014-02-20 / 20140052125 - FLEXIBLE MICROWAVE CATHETERS FOR NATURAL OR ARTIFICIAL LUMENS | 22 |
Geneva Ladtkow | US | Arvada | 2015-01-22 / 20150025522 - LIMITED-USE SURGICAL DEVICES | 4 |
Casey M. Ladtkow | US | Erie | 2016-05-19 / 20160135885 - FLEXIBLE MICROWAVE CATHETERS FOR NATURAL OR ARTIFICIAL LUMENS | 30 |
James R. Ladtkow | US | Broomfield | 2015-12-31 / 20150375238 - System for Blood Separation with Gravity Valve for Controlling a Side-Tapped Separation Chamber | 9 |
Casey M. Ladtkow | US | Westminster | 2014-02-20 / 20140052125 - FLEXIBLE MICROWAVE CATHETERS FOR NATURAL OR ARTIFICIAL LUMENS | 22 |
James Ladtkow | US | Brighton | 2014-08-07 / 20140215871 - DEVICE FOR CREATING AND DISPLAYING LIQUID-MEDIUM MOVEMENT WITHIN A VESSEL CONTAINING A DIORAMIC SCENE | 4 |
Robert C. Laduca | US | Santa Cruz | 2014-09-04 / 20140249506 - HELICAL BALLOON CATHETER | 6 |
Frank M. Laduca | US | East Brunswick | 2009-02-26 / 20090053754 - Assay for Low Molecular Weight Heparin | 1 |
Paul Laduca | US | Little Elm | 2013-08-15 / 20130211507 - APPARATUS AND METHOD FOR DEPLOYING AN IMPLANTABLE DEVICE WITHIN THE BODY | 3 |
Frank Laduca | US | East Brunswick | 2016-03-24 / 20160084858 - SYSTEMS AND METHODS FOR NON-FASTING LDL CHOLESTEROL ASSAYS | 3 |
Ronald Laduca | US | Cherry Hill | / - | 4 |
Ronald Laduca | US | Cross Lanes | 2010-11-25 / 20100295247 - BLACKJACK GAME WITH OPTIONAL IN BETWEEN SIDE WAGER | 1 |
Paul Laduca | US | Buffalo | 2011-12-01 / 20110295307 - EXPANDABLE RETRIEVAL DEVICE | 1 |
Ronald Laduca | US | Ledyard | / - | 3 |
Robert C. Laduca | US | Davenport | 2012-03-15 / 20120065671 - PLUG WITH COLLET AND APPARATUS AND METHODS FOR DELIVERING SUCH PLUGS | 2 |
Robert Laduca | US | Davenport | 2012-06-28 / 20120165861 - SNARE | 3 |
Robert Laduca | US | Santa Cruz | 2015-01-22 / 20150025623 - SYSTEM AND METHOD FOR CARDIAC VALVE REPAIR AND REPLACEMENT | 6 |
Paul A. Laduca | US | Little Elm | 2013-07-04 / 20130172922 - Transvascular Retrograde Access Devices | 5 |
Cang C. Laduca | US | Irvine | 2012-11-22 / 20120296348 - APPARATUS FOR MANIPULATING AND SECURING TISSUE | 1 |
Michelle Ladue | US | Windsor | 2011-03-24 / 20110067719 - HAIR EXTENSION DEVICE | 1 |
Kristen L. Ladue | US | Ontario | 2011-01-27 / 20110018192 - MULTI-PATH GATING SYSTEM | 1 |
Peter T. Laduke | US | Holland | 2016-02-04 / 20160029801 - OFFICE CHAIR | 2 |
Thomas Laduke | US | Orange | 2014-01-02 / 20140002800 - REFLECTIVE SURFACE TENSIONING AND CLEANING SYSTEM FOR PEPPER'S GHOST ILLUSION | 1 |
Thomas F. Laduke | US | Orange | 2015-12-31 / 20150375493 - Rear Projected Screen Materials and Processes | 8 |
Thomas Frasier Laduke | US | Orange | 2013-09-05 / 20130229527 - INFRARED IMAGING PROJECTION | 3 |
Thomas F. Laduke | US | Orange | 2015-12-31 / 20150375493 - Rear Projected Screen Materials and Processes | 8 |
Matthew T. Laduke | US | Warren | 2009-10-22 / 20090260607 - OVERCURRENT THRESHOLD CORRECTION FOR IGNITION CONTROL | 1 |
Thomas Fraiser Laduke | US | Orange | 2012-06-07 / 20120138821 - INFRARED IMAGING PROJECTION FOR CAMERA BLOCKING | 4 |
Markus Ladurner | AT | Villach | 2015-10-01 / 20150280416 - CONTROLLED SWITCH-OFF OF A POWER SWITCH | 9 |
Andreas Ladurner | DE | Neckargemünd | 2012-07-05 / 20120171225 - Diagnostic Method for Predicting the Risk of Cancer Recurrence Based on Histone Macroh2A Isoforms | 1 |
Markus Ladurner | AT | Villach | 2015-10-01 / 20150280416 - CONTROLLED SWITCH-OFF OF A POWER SWITCH | 9 |
Hemant Kumar Jethalal Ladva | US | Missouri City | 2015-12-24 / 20150369027 - WELL TREATMENT METHOD AND SYSTEM | 2 |
Hemant K. Ladva | US | Missouri City | 2012-06-14 / 20120149606 - System, Method And Apparatus For Enhancing Wellbore Treatment Fluid Flexibility | 7 |
Hemant K. J. Ladva | US | Missouri City | 2015-03-19 / 20150075790 - OILFIELD BIOCIDE | 7 |
Hemant K.j. Ladva | US | Missouri City | 2013-10-24 / 20130277047 - Downhole Delivery Of Chemicals With A Micro-Tubing System | 6 |
Suresh Kurji Ladva | US | Brea | 2015-07-02 / 20150182014 - ORAL HYGIENE TOOL | 2 |
Hemant Ladva | US | Missouri City | 2015-10-01 / 20150275644 - WELL TREATMENT | 1 |
Hemant Kumar J. Ladva | US | Missouri City | 2015-04-09 / 20150096750 - HIGH INTERNAL PHASE RATIO INVERT EMULSION | 3 |
Janos Ladvanszky | HU | Pomaz | 2014-01-16 / 20140016687 - Apparatus for Performing Channel Equalization on a MIMO Signal | 1 |
David Ladwig | US | Chicago | 2011-11-24 / 20110284543 - Container With Removable Base | 1 |
Ralf Ladwig | DE | Jena | 2012-06-07 / 20120142656 - BUCCAL APPLICATION SYSTEM COMPRISING 17A-ESTRADIOL | 3 |
Jeffrey W. Ladwig | US | Seattle | 2014-06-19 / 20140168053 - TEST AND MEASUREMENT INSTRUMENT USER INTERFACE WITH MOVE MODE | 1 |
William Thomas Ladwig | US | Beaver Dam | 2012-10-25 / 20120266710 - UTILITY VEHICLE TRANSMISSION CONTROLS WITH COMMON PIVOT SHAFT | 1 |
Miriam Ladwig | DE | Dietzenbach | 2014-01-02 / 20140005091 - Use of Transition Metal Complexes as Bleach Catalysts in Washing and Cleaning Compositions | 3 |
Miriam Ladwig | DE | Diezenbach | 2014-04-24 / 20140114073 - Method For Producing 3,7-Diaza-bicyclo[3.3.1]nonane metal complexes | 1 |
Jill Ladwig | US | Amery | 2015-05-28 / 20150145208 - Card Game and Method of Playing | 1 |
Paul Ladwig | US | Amery | 2015-05-28 / 20150145208 - Card Game and Method of Playing | 1 |
Jeffrey William Ladwig | US | Seattle | 2013-01-17 / 20130018251 - SENSOR DEVICE WITH FLEXIBLE JOINTSAANM Caprio; MatthewAACI SeattleAAST WAAACO USAAGP Caprio; Matthew Seattle WA USAANM Beasley; VanessaAACI ClaytonAAST NCAACO USAAGP Beasley; Vanessa Clayton NC USAANM McMorrow; Gerald J.AACI RedmondAAST WAAACO USAAGP McMorrow; Gerald J. Redmond WA USAANM Clay; AndrewAACI EverettAAST WAAACO USAAGP Clay; Andrew Everett WA USAANM Ladwig; Jeffrey WilliamAACI SeattleAAST WAAACO USAAGP Ladwig; Jeffrey William Seattle WA US | 3 |
Paul K. Ladwig | US | Centreville | 2010-06-24 / 20100160459 - Product filtration system for slurry reactors | 1 |
Thorsten Ladwig | DE | Hainburg | 2013-11-14 / 20130303673 - DISPERSION COMPRISING HYDROPHOBIZED SILICON DIOXIDE PARTICLES, AND PAINT PREPARATION | 2 |
Jeffery Michael Ladwig | US | Overland Park | 2015-03-26 / 20150083659 - BICOMPONENT FIBER WITH SYSTEMS AND PROCESSES FOR MAKING | 2 |
Peter F. Ladwig | US | Hutchinson | 2014-05-29 / 20140146649 - HEAD SUSPENSION FLEXURE WITH INTEGRATED STRAIN SENSOR AND SPUTTERED TRACES | 3 |
Linda J. Lady | US | Fremont | 2010-10-07 / 20100251972 - Animal Training Apparatus | 1 |
Jordan M. Lady | US | Ventura | 2014-08-07 / 20140215730 - Pool Cleaner Positive Pressure Water Supply Distribution Subsystem And Wall Fitting | 1 |
Keith P. Lady | US | Santa Barbara | 2010-03-25 / 20100073490 - MOBILE ROBOT WITH A HEAD-BASED MOVEMENT MAPPING SCHEME | 1 |
Mônica Lady Fiorese | BR | Curitiba Pr | 2011-12-08 / 20110301325 - Preparation of PHA (Polyhydroxyalkanoates) From a Citric Residue | 1 |
Vladimir G. Ladygin | RU | Pushchino (moscow Region) | 2012-10-18 / 20120264195 - High bio-productivity chlamydomonas strain DG8-108 | 1 |
Lina Ladyzhenskaya | US | West Hollywood | 2012-12-13 / 20120311768 - SOCK WITH PAIRING DEVICE | 1 |
Hyung Lae | KR | Seoul | 2010-03-25 / 20100073287 - SYSTEM FOR CONTROLLING DEVICES AND INFORMATION ON NETWORK BY USING HAND GESTURES | 1 |
Jay Steven Laefer | US | Sunnyvale | 2009-07-02 / 20090167542 - Personal media device input and output control based on associated conditions | 1 |
Jay Laefer | US | San Mateo | 2012-07-05 / 20120173441 - Ownership Resolution System | 1 |
Jay S. Laefer | US | Sunnyvale | 2009-01-08 / 20090013253 - METHOD AND SYSTEM FOR CONTROLLING VIDEO SELECTION AND PLAYBACK IN A PORTABLE MEDIA PLAYER | 2 |
Jay S. Laefer | US | San Mateo | 2012-01-05 / 20120005395 - COMMUNICATION BETWEEN A HOST DEVICE AND AN ACCESSORY VIA AN INTERMEDIATE DEVICE | 5 |
Jay Laefer | US | Sunnyvale | 2009-03-05 / 20090061678 - Smart Cables | 1 |
Diane R. Laegeler | US | Lake In The Hills | 2013-11-07 / 20130294054 - DISPENSER OF OFFICE SUPPLIES WITH ILLUMINATED COVER | 1 |
Jesper Laegsgaard | DK | Virum | 2010-11-25 / 20100296529 - MODE-LOCKED FIBER LASER WITH IMPROVED LIFE-TIME OF SATURABLE ABSORBER | 1 |
Sakari Laehdetie | FI | Turku | 2012-05-03 / 20120103078 - Mopping Device | 1 |
Benjamin Anthony Laemmerhirt | US | North East | 2015-12-10 / 20150352615 - DUAL-PHASE HOT EXTRUSION OF METALS | 4 |
Bernhard Laemmle | CH | Bolligen | 2014-11-13 / 20140335071 - Composition Exhibiting a von Willebrand Factor (vWF) Protease Activity Comprising a Polypeptide Chain with the Amino Acid Sequence AAGGILHLELLV | 3 |
Christopher Laemmle | DE | Stuttgart | 2015-09-03 / 20150247684 - ARRANGEMENT HAVING AN ELECTRICAL COMPONENT AND A HEAT EXCHANGER | 1 |
Christian Laemmle | CH | Russikon | 2012-10-25 / 20120266848 - Lean Combustion Method for a Reciprocating Internal Combustion Engine | 1 |
Bruno Laeng | CH | Oberdorf | 2014-01-16 / 20140018858 - Device, Kit and Method for Correction of Spinal Deformity | 3 |
Bruno Laeng | CH | Langendorf | 2012-06-21 / 20120158061 - METHODS AND SYSTEMS FOR MINIMALLY INVASIVE POSTERIOR ARCH EXPANSION | 2 |
Bruno Laeng | CH | Horriwil | 2015-06-04 / 20150150601 - REMOTELY ADJUSTABLE TISSUE DISPLACEMENT DEVICE | 5 |
Tilman Laeppchen | NL | Eindhoven | 2013-10-17 / 20130272959 - AGENTS FOR CLEARING BIOMOLECULES FROM CIRCULATION | 1 |
Loredana Laera | GB | London | 2013-12-12 / 20130332490 - Method, Controller, Program and Data Storage System for Performing Reconciliation Processing | 1 |
Vito Laera | US | Fort Lauderdale | 2013-01-24 / 20130019974 - EXTENDABLE FAUCET SPOUT | 3 |
Vito Laera | US | Matthews | 2015-06-11 / 20150159354 - Overflow Switch | 2 |
Tore Laerdal | NO | Stavanger | 2012-02-02 / 20120029486 - NASAL ASPIRATOR | 2 |
Hilde Laeremans | NL | Maastricht | 2015-03-12 / 20150071856 - ANTAGONISTIC PEPTIDES FOR FRIZZLED-1 AND FRIZZLED-2 | 2 |
Toon Laeremans | BE | Dworp | 2015-12-31 / 20150376261 - NOVEL CHIMERIC POLYPEPTIDES FOR SCREENING AND DRUG DISCOVERY PURPOSES | 10 |
Toon Laeremans | BE | Dworp | 2015-12-31 / 20150376261 - NOVEL CHIMERIC POLYPEPTIDES FOR SCREENING AND DRUG DISCOVERY PURPOSES | 10 |
Toon Laeremans | BE | Dworp-Beersel | 2015-05-14 / 20150133637 - P2X7 RECEPTOR ANTAGONISTS AND AGONISTS | 6 |
Franz Laermer | DE | Weil Der Stadt | 2016-02-04 / 20160035570 - Method for Masking a Surface Comprising Silicon Oxide | 34 |
Stephan Laertz | DE | Herrenberg | 2015-07-16 / 20150201037 - INTEGRATING A PLURALITY OF THIRD PARTY SERVICE INTERACTIONS INTO A PORTAL SYSTEM | 7 |
Stephan Laertz | DE | Boeblingen | 2014-04-03 / 20140096023 - LINK CLOUDS AND USER/COMMUNITY-DRIVEN DYNAMIC INTERLINKING OF RESOURCES | 6 |
Paul F. Laeseke | US | Madison | 2015-10-01 / 20150272671 - ENERGY DELIVERY SYSTEMS AND USES THEREOF | 7 |
Hannes Laessig | DE | Muenchen | 2014-10-02 / 20140290500 - COOKING DEVICE | 3 |
John Laessle | US | Plano | 2015-11-12 / 20150322938 - Precision Pump With Multiple Heads | 8 |
John Laessle | US | Plano | 2015-11-12 / 20150322938 - Precision Pump With Multiple Heads | 8 |
Jack Laessle | US | Plano | 2010-06-24 / 20100158716 - PRECISION PUMP WITH MULTIPLE HEADS | 2 |
Elisabeth Laett | CA | Montreal | 2012-09-13 / 20120229634 - METHOD AND SYSTEM FOR MONITORING THE ACTIVITY OF A SUBJECT WITHIN SPATIAL TEMPORAL AND/OR BEHAVIORAL PARAMETERS | 1 |
Michael Laett | CH | Muehledorf | 2010-06-24 / 20100154230 - HAND-HELD POWER TOOL DEVICE | 1 |
Michael Laett | CH | Solothurn | 2016-03-31 / 20160089733 - Tool Clamping Device | 3 |
Lorenz Laeuppi | CH | Graenichen | 2014-04-17 / 20140104740 - SWTICHING PHASE OFFSET FOR CONTACTOR OPTIMIZATION | 3 |
Koenraad Laevens | GB | Cambridge | 2009-06-25 / 20090161546 - Methods and systems for alleviating network congestion | 1 |
Koenraad Laevens | BE | Gent | 2012-06-21 / 20120155258 - METHOD AND APPARATUS FOR CONGESTION CONTROL | 2 |
Igor M. Laevskiy | RU | Saint-Petersburg | 2015-04-02 / 20150095897 - METHOD AND APPARATUS FOR CONVERTING PROGRAMS | 1 |
Drake Laface | US | Half Moon Bay | 2013-12-05 / 20130323246 - USE OF MDL-1 ANTAGONISTS TO TREAT SPONDYLARTHROPATHY | 1 |
Drake M. Laface | US | Palo Alto | 2016-03-10 / 20160068597 - FDF03 ANTIBODIES AND USES THEREOF | 4 |
Drake M. Laface | US | Half Moon Bay | 2015-12-24 / 20150368344 - MDL-1 LIGAND | 2 |
Pietro Laface | IT | Torino | 2016-02-11 / 20160042739 - FAST SPEAKER RECOGNITION SCORING USING I-VECTOR POSTERIORS AND PROBABILISTIC LINEAR DISCRIMINANT ANALYSIS | 4 |
Virginie Lafage | FR | Lafrancaise | 2010-06-10 / 20100145663 - METHOD FOR SIMULATING THE BEHAVIOR OF AN ARTICULATED SET OF BONES | 1 |
Virginie Lafage | US | New York | 2010-07-22 / 20100183201 - Adaptive Software and Hardware System for Scientific Image Processsing | 1 |
Jean Michel Lafaille | US | Brooklyn | 2013-12-05 / 20130318842 - Hand-Held Ironing Board Apparatus | 1 |
Stephen R. Lafaille | US | Harrisville | / - | 1 |
Sarah A. Lafarelle | US | Wylie | 2014-11-13 / 20140334686 - MISREGISTRATION CORRECTION | 2 |
Gregory Pierre Lafarge | FR | Choisy En Brie | 2008-08-28 / 20080206061 - OPTIMIZED AERODYNAMIC AIRFOIL FOR A TURBINE BLADE | 1 |
Stephane Lafarge | FR | La Roche Blanche | 2013-12-05 / 20130326729 - PREFORMED DEFENSE IN PLANTS | 2 |
Stéphane Lafarge | FR | La Roche Blanche | 2013-02-21 / 20130047300 - Grain Filling of a Plant Through the Modulation of NADH-Glutamate Synthase | 1 |
Christopher Lafarge | US | Wayland | 2014-05-01 / 20140121563 - PROSTATE GLOVE WITH RECEIVER FIBERS | 7 |
Russell Lafargue | US | Humble | 2009-01-22 / 20090020290 - Frangible flapper valve with hydraulic impact sleeve | 1 |
Nicolas Lafargue | FR | Montbonnot-Saint-Martin | 2015-12-31 / 20150377937 - Calculating Power Consumption of Electonic Devices | 2 |
David Lafargue | FR | Saint Jean Le Blanc | 2015-08-27 / 20150238614 - STABILISED AMORPHOUS FORM OF AGOMELATINE, A PROCESS FOR ITS PREPARATION AND PHARMACEUTICAL COMPOSITIONS CONTAINING IT | 1 |
Edouard Lafargue | FR | Paris | 2010-10-14 / 20100263033 - METHOD FOR AUTHENTICATING A USER ACCESSING A REMOTE SERVER FROM A COMPUTER | 1 |
Marianne Lafargue | FR | Paris | 2011-03-10 / 20110059528 - Method for Preparing Raw Pollen | 3 |
Danielle M. Lafargue | US | Laguna Niguel | 2010-11-25 / 20100294818 - HOLDER FOR MOBILE COMMUNICATIONS DEVICE | 1 |
Clay J. Lafargue | US | Laguna Niguel | 2010-11-25 / 20100294818 - HOLDER FOR MOBILE COMMUNICATIONS DEVICE | 1 |
Rymond Wilhelmus Louis Lafarre | NL | Helmond | 2012-08-23 / 20120212749 - Measuring Method, Measuring Apparatus, Lithographic Apparatus and Device Manufacturing Method | 1 |
Raymond Wilhelmus Louis Lafarre | NL | Eindhoven | 2008-10-16 / 20080254648 - System for Detachably Connecting a Large Number of Signal Lines of Two Components | 2 |
Raymond Wilhelmus Louis Lafarre | NL | Helmond | 2015-12-24 / 20150370180 - Lithographic Apparatus and Method | 39 |
Raymond Wilhemus Louis Lafarre | NL | Eindhoven | 2008-08-21 / 20080198973 - X-Ray Examination Apparatus | 1 |
Raymond W. Lafarre | NL | Eindhoven | 2010-07-15 / 20100177020 - Rollable Display Device | 2 |
Paul Lafata | US | San Diego | 2015-11-26 / 20150341759 - USER EXPERIENCE ENHANCEMENTS FOR CONTROLLING A GROUP COMMUNICATION | 1 |
Paul J. Lafata | US | San Diego | 2014-03-06 / 20140067965 - METHODS AND APPARATUS FOR ENHANCING DEVICE MESSAGING | 5 |
Raymond Lafata | US | Staten Island | 2011-05-19 / 20110114636 - Two-sided automobile fuel filling system | 1 |
Giuseppe Lafauci | US | Old Bridge | 2014-05-08 / 20140127724 - System and Method for Quantifying Fragile X Mental Retardiation 1 Protein in Tissue and Blood Samples | 2 |
Michael Lafauci | US | Center Moriches | 2011-05-05 / 20110106123 - TWO-PART SURGICAL DEVICE | 1 |
Michael Lafauci | US | Brooklyn | 2010-09-16 / 20100234865 - DEVICE FOR PERFORMING SURGERY | 3 |
Mariagrazia Lafauci | US | Philadelphia | 2011-02-03 / 20110026854 - Ecologically Safe Storage Bag and Transporting System and Method of Making Same | 1 |
Peter D. Lafauci | US | Holly Springs | 2008-09-11 / 20080222583 - METHOD AND SYSTEM FOR LOGIC VERIFICATION USING MIRROR INTERFACE | 1 |
Laura Anne Lafave | GB | Bristol | 2014-05-08 / 20140126375 - DATA FLOW CONTROL | 2 |
Paul A. Lafave | US | East Amherst | 2013-10-17 / 20130269725 - TEETH FLOSSING WEDGE | 1 |
John Lafave | US | Sarasota | 2015-10-15 / 20150292637 - SUPER HIGH FLOW PRESSURE RELIEF VENT | 1 |
Adam Lafave | US | San Francisco | 2013-10-03 / 20130262464 - System Configuration Method And Apparatus | 1 |
Harper Lafave | US | Seattle | 2013-10-31 / 20130290910 - USER INTERFACE CONTROL USING A KEYBOARD | 2 |
Lonnie A. Lafave | US | Sherwood | 2012-03-29 / 20120075677 - METHOD AND APPARATUS FOR PROCESSING PRINT JOB IN PRINTING PLATFORM | 2 |
Julie Lafave | US | 2012-08-02 / 20120197187 - BREAST PUMP SYSTEM | 1 | |
Robert Lafave | US | Birch Run | 2012-03-29 / 20120073734 - DECORATIVE SYSTEM COMPOSITE AND METHOD | 2 |
Janet K. Lafave | US | Bay City | 2015-01-29 / 20150027943 - RAKE SCREEN AND NOVEL COMPONENTS THEREFOR | 1 |
George S. Lafave | US | Tewksbury | 2010-04-01 / 20100079220 - N-Channel Multiplexer | 1 |
Laura Lafave | GB | Bristol | 2014-11-13 / 20140337106 - COMPUTER-IMPLEMENTED METHODS AND SYSTEMS FOR PERFORMANCE TRACKING | 1 |
Timothy L. Lafaver | US | Ballwin | 2008-10-30 / 20080267076 - SYSTEM AND APPARATUS FOR MAINTAINING A COMMUNICATION SYSTEM | 1 |
Nona Lafaver | US | St. Louis | 2015-07-23 / 20150203923 - MOLECULAR MARKERS ASSOCIATED WITH CHLORIDE TOLERANT SOYBEANS | 1 |
William T. Lafay | US | Redondo Beach | 2008-12-11 / 20080301861 - THERMALLY PROTECTIVE SURVIVAL GARMENT | 1 |
Jean Lafay | FR | Nice | 2013-05-30 / 20130137885 - PROCESS FOR INTRODUCING A DOUBLE BOND INTO POSITION 15, 16 OF A STEROID | 6 |
Aurelie Lafay | FR | Saint Laurent De Vaux | 2013-09-12 / 20130236883 - PROCESS OF DIRECTLY DETECTING AND IDENTIFYING A MICROORGANISM IN A BIOLOGICAL SAMPLE DILUTED IN AN ENRICHMENT BROTH | 1 |
Sophie Lafay | FR | Montagne | 2010-05-06 / 20100112098 - EFFECTS OF A DECAFFEINATED GREEN COFFEE EXTRACT ON BODY WEIGHT CONTROL BY REGULATION OF GLUCOSE METABOLISM | 2 |
Victor Lafay | US | Cincinnati | 2012-12-27 / 20120325113 - METHOD FOR IMPROVEMENT OF CASTING QUALITY | 1 |
Frédéric Lafaye | FR | Argenteuil | 2012-11-08 / 20120284728 - Method for the Real-Time Ordering of a Set of Noncyclical Multi-Frame Tasks | 1 |
Michaël Lafaye | FR | Meudon La Foret Cedex | 2012-10-11 / 20120259613 - Advance Phase Modeling, Simulation and Evaluation Method of a Computation Platform | 1 |
Michel Lafaye | FR | Soyaux | 2009-05-14 / 20090123994 - Lockable Signalling Column | 1 |
Pierre Lafaye | FR | Malakoff | 2015-11-12 / 20150322152 - USE OF ANTI-FCYRI AND/OR ANTI-FCYRIIA ANTIBODIES FOR TREATING ARTHRITIS, INFLAMMATION, THROMBOCYTOPENIA AND ALLERGIC SHOCK | 5 |
Frederic Lafaye | FR | Argenteuil | 2013-10-31 / 20130288613 - Radio Subsystem for Emitting/Receiving Software Defined Radio with Opportunistic Secondary Use | 2 |
Gary R. Lafayette | US | Saint Joseph | 2014-12-18 / 20140372001 - SPEED CONTROL SYSTEM FOR HYDROSTATIC TRANSMISSION | 2 |
Christopher John Lafayette | US | Bridgewater | 2014-01-09 / 20140012965 - HYBRID MODEL IN SELF-PROVISIONING PROCESS | 1 |
Richard Lafayette | US | Stanford | 2014-05-22 / 20140141449 - Autoantibody Biomarkers for IGA Nephropathy | 1 |
Paul K. Lafayette | US | Leicester | 2008-08-28 / 20080207420 - ROLLING MILL OIL FILM BEARING SLEEVE WITH FILLED KEYWAY | 1 |
Khalid Lafdi | US | Fairborn | 2009-07-23 / 20090186214 - METHOD OF GROWING CARBON NANOMATERIALS ON VARIOUS SUBSTRATES | 1 |
Khalid Lafdi | US | Dayton | 2014-09-04 / 20140248454 - MEDICAL DRAINAGE DEVICES WITH CARBON-BASED STRUCTURES FOR INHIBITING GROWTH OF FIBROBLASTS | 3 |
Marcia Lafemina | US | Madison | 2015-01-15 / 20150015703 - ENERGY EFFICIENT LIGHTING PLATFORM AND SYSTEM | 1 |
Benjamin R. Lafentz | US | Auburn | / - | 1 |
Alois Lafer | AT | Graz | 2010-04-29 / 20100101351 - TRANSMISSION | 1 |
Alois Lafer | AT | Kainbach Bei Graz | 2015-02-12 / 20150040721 - TRANSFER CASE | 3 |
Alois Lafer | AT | Kainbach | 2010-04-15 / 20100089199 - TORQUE TRANSFER DEVICE | 1 |
Percival Lafer | BR | Sao Paulo-Sp | 2012-10-25 / 20120267921 - LEG AND FOOT SUPPORT STRUCTURE TO BE APPLIED TO TILTABLE ARMCHAIRS | 1 |
Vincent E. La Fera | US | Olivebridge | 2014-08-28 / 20140244356 - Smart Analytics for Forecasting Parts Returns for Reutilization | 2 |
Joseph Victor Lafergola | US | Vestal | 2010-07-15 / 20100179844 - INFORMATION REPORTING SYSTEM FOR MANAGING A FLEET OF AN INDUSTRIAL VEHICLES | 1 |
Jimmy D. Laferney | US | Frisco | 2015-08-13 / 20150224011 - COMPRESSION GARMENT AND METHOD OF FORMING THE SAME | 4 |
Holly Laferrara | US | Wappingers Falls | 2010-08-19 / 20100207284 - METHOD FOR PROVIDING ROTATIONALLY SYMMETRIC ALIGNMENT MARKS FOR AN ALIGNMENT SYSTEM THAT REQUIRES ASYMMETRIC GEOMETRIC LAYOUT | 1 |
Craig A. J. Laferriere | CA | Mississauga | 2011-01-13 / 20110008419 - Vaccine Against Streptococcus Pneumoniae | 1 |
Craig A. J. Laferriere | BE | Rixensart | 2014-04-10 / 20140099339 - VACCINE AGAINST STREPTOCOCCUS PNEUMONIAE | 1 |
Craig Antony Joseph Laferriere | CA | Mississauga | 2015-03-19 / 20150079129 - VACCINE | 2 |
Craig Antonyjoseph Laferriere | BE | Rixensart | 2011-09-08 / 20110217329 - Vaccine | 3 |
Pascal Laferriere | CA | Toronto | 2016-01-07 / 20160001494 - APPARATUS FOR APPLYING HEAT SHRINKABLE CASINGS ONTO PREINSULATED PIPE JOINTS | 4 |
Andre Laferriere | CA | Montreal | 2013-01-31 / 20130029989 - TOPICAL TREATMENTS FOR PAIN | 1 |
Olivier Laferte | FR | Trosly Breuil | 2015-07-02 / 20150184045 - SELF-ADHESIVE ARTICLE WITH FOAM SUPPORT | 8 |
Frank E. La Fetra | US | Cupertlno | 2011-05-26 / 20110126189 - Method And Device For Customizing Software | 1 |
M. Gary Lafever | US | Lyons | 2015-10-29 / 20150310560 - Systems And Methods For Improving Government Financing Of Infrastructure Services/Improvements | 3 |
Rene Lafever | US | Bainbridge Island | 2016-05-19 / 20160138688 - MECHANICAL LOGIC PROCESSING DEVICE | 2 |
Malcolm Gary Lafever | US | Lyons | 2015-12-31 / 20150379303 - Systems And Methods For Contextualized Data Protection | 14 |
Jenny Lafever | US | Ladera Ranch | 2013-02-07 / 20130032606 - STRAW FOR WINE | 1 |
Malcolm Gary Lafever | US | Lyons | 2015-12-31 / 20150379303 - Systems And Methods For Contextualized Data Protection | 14 |
George B. La Fever | US | Norwalk | 2013-04-11 / 20130091587 - SYSTEMS AND METHODS OF IMPLEMENTING REMOTE BOUNDARY SCAN FEATURES | 2 |
Mark Richard Laff | US | Goldens Bridge | 2009-07-30 / 20090192785 - SYSTEM AND METHOD FOR OPTIMIZING NATURAL LANGUAGE DESCRIPTIONS OF OBJECTS IN A VIRTUAL ENVIRONMENT | 1 |
Jean-Baptiste Laffaire | FR | Mozac | 2013-01-24 / 20130024998 - METHOD FOR PERFORMING HOMOLOGOUS RECOMBINATION IN PLANTS | 2 |
David Dermot Patrick Laffan | GB | Cheshire | 2012-05-03 / 20120108814 - PROCESS 738 | 1 |
James Laffan | US | Phoenix | 2016-02-11 / 20160040601 - TRANSVERSE MOUNTED ACCESSORY GEARBOX | 2 |
David Dermot Patrick Laffan | GB | Macclesfield | 2011-12-08 / 20110301348 - Process for the Manufacture of the Calcium Salt of Rosuvastatin (E)-7-[4-(4-Fluorophenyl)-6-Isopropyl-2-[Methyl(Methylsulfonyl)Amino]-Pyr- imidin-5-yl](3R,5S)-3,5-Dihydroxyhept-6-Enoic Acid and Crystalline Intermediates Thereof | 2 |
Patrick W. Laffan | US | Bridgeport | 2010-06-24 / 20100156060 - Reversible Stroller Handle | 1 |
Patrick William Laffan | US | Berwyn | 2015-08-06 / 20150217792 - STROLLER WITH SECONDARY SEATING | 3 |
Patrick W. Laffan | US | Berwyn | 2010-10-14 / 20100259021 - Stroller and Seat Assembly | 2 |
Johann Laffargue | FR | Bernay | 2010-11-25 / 20100298487 - EXTRUSION AGENT BASED ON A HETEROGENEOUS PVDF | 4 |
Geraldine Laffargue | FR | Clermont-Ferrand Cedex 9 | 2015-02-05 / 20150038617 - METHOD FOR PREPARING A MASTERBATCH OF DIENE ELASTOMER AND SILICA | 6 |
Géraldine Laffargue | FR | Clermont-Ferrand | 2012-10-18 / 20120264875 - METHOD FOR PREPARING A MASTERBATCH OF NATURAL RUBBER AND SILICA | 3 |
Johann Laffargue | FR | Plasnes | 2011-09-29 / 20110232735 - THREE-LAYER FILM FOR A PHOTOVOLTAIC CELL | 2 |
Franck Laffargue | FR | Toulouse | 2016-04-21 / 20160112643 - HANDHELD DIMENSIONER WITH DATA-QUALITY INDICATION | 14 |
Philippe Laffargue | FR | La Madeleine | 2010-06-10 / 20100145347 - CENTERING JIG FOR RESURFACING THE HEAD OF THE FEMUR AND METHOD | 1 |
Franck Laffargue | FR | Poissy | 2009-10-01 / 20090244061 - HIGH QUALITY ACCURATE SURFACE TRIANGULATION FROM A SIMPLEX MESH | 3 |
Franck Laffargue | FR | Toulouse | 2016-04-21 / 20160112643 - HANDHELD DIMENSIONER WITH DATA-QUALITY INDICATION | 14 |
Geraldine Laffargue | FR | Clermont-Ferrand | 2009-02-05 / 20090036579 - Rubber Composition Comprising an Itaconimidomaleimide | 1 |
Phillippe Laffay | FR | Sainte Foy Les Lyon | 2014-08-21 / 20140230923 - CONNECTOR, CONTAINER WITH SUCH A CONNECTOR AND FLUID PREPARATION DEVICE WITH A MATING CONNECTOR FOR SUCH A CONTAINER | 3 |
Jean-Pierre Laffay | FR | Roppe | 2010-12-16 / 20100318192 - Acetabular prosthetic system with simplified impaction | 1 |
Philippe Laffay | FR | Beinte Foy Les Lyon | 2013-01-03 / 20130004593 - CONTAINER, USE, DIALYZER OR PREPARATION UNIT AS WELL AS METHOD OF MANUFACTURING A CONCENTRATE | 1 |
Jean Laffay | FR | Pelissanne | 2008-09-25 / 20080232908 - Pneumatic Cartridge | 1 |
Philippe Laffay | FR | Sainte Foy Les Lyon | 2014-05-29 / 20140144794 - CONTAINER FOR DIALYSIS | 7 |
Philippe Laffay | FR | Stainte Foy Les Lyon | 2013-03-21 / 20130071048 - CONTAINER FILLED WITH A LIQUID CONCENTRATE FOR MAKING DIALYSATE | 2 |
Barry D. Laffe | US | Humbird | 2014-12-04 / 20140352191 - Redesigned AR-15 Upper Receiver | 1 |
Lisa A. Laffend | US | Claymont | 2009-10-08 / 20090253192 - PROCESS FOR THE BIOLOGICAL PRODUCTION OF 1,3-PROPANEDIOL WITH HIGH TITER | 1 |
Sylvia Laffer | AT | Vienna | 2008-10-23 / 20080261215 - Method for Evaluating the Allergen Sensitivity of an Individual | 1 |
Jean-David Lafferayrie | FR | Creteil | 2012-07-26 / 20120188186 - HUMAN-MACHINE INTERFACE FOR A MOTOR VEHICLE | 1 |
Shannon Lafferty | US | Aurora | 2016-03-03 / 20160058179 - RESISTANT SINK BASE CABINET | 2 |
Kenneth Don Lafferty | US | Walker | 2011-12-22 / 20110308483 - Nitrous-oxide system for internal combustion engine | 1 |
Peter R. Lafferty | US | New Albany | 2010-07-29 / 20100191145 - SYSTEM AND APPARATUS FOR RAPID STEREOTACTIC BREAST BIOPSY ANALYSIS | 1 |
Sean B. Lafferty | US | Taylor Mill | 2013-09-12 / 20130237917 - POWER INJECTOR WITH FLOW RATE ASSESSMENT | 3 |
Ames Lafferty | US | Carencro | 2014-08-28 / 20140241822 - ARTICULATING DRILL METHOD AND APPARATUS FOR CUTTING OPENINGS IN NESTED STRINGS OF UNDERWATER PIPING AND OR TUBING FOR OVERTURNED WELLS OR PLATFORMS | 2 |
Lawrence Lafferty | US | Atlanta | 2008-10-30 / 20080270328 - Building and Using Intelligent Software Agents For Optimizing Oil And Gas Wells | 2 |
Chad Lafferty | US | Atlanta | 2008-10-30 / 20080270328 - Building and Using Intelligent Software Agents For Optimizing Oil And Gas Wells | 2 |
William Michael Lafferty | US | Encinitas | 2011-09-29 / 20110236983 - SINGLE MOLECULE DETECTION AND SEQUENCING USING FLUORESCENCE LIFETIME IMAGING | 4 |
Lawrence Lafferty | US | Alpharetta | 2009-11-05 / 20090276094 - Apparatus And Method For An Autonomous Robotic System For Performing Activities In A Well | 1 |
Patrick Lafferty | US | Tarpin Springs | 2010-04-08 / 20100086496 - ORAL CARE PACKAGE | 1 |
Clinton Lane Lafferty | US | Jackson | 2010-04-08 / 20100083647 - Exhaust diffuser for a vocational truck | 2 |
W. Michael Lafferty | US | Encinitas | 2011-12-22 / 20110311963 - Method and Apparatus for Addressable Flow Cells in Single Molecule Sequencing | 2 |
Ted Lafferty | US | Sugar Land | 2015-03-05 / 20150060064 - WELL TREATMENT WITH UNTETHERED AND/OR AUTONOMOUS DEVICE | 1 |
David John Lafferty | US | Katy | 2015-08-13 / 20150227874 - Intervention Recommendation For Well Sites | 1 |
Greg Lafferty | US | South Bend | 2012-10-18 / 20120261000 - FUEL FLOW DIVIDER AND ECOLOGY SYSTEM FOR A GAS TURBINE ENGINE FUEL FLOW CONTROL SYSTEM | 1 |
William Cole Lafferty | US | Natick | 2014-12-18 / 20140371586 - FIDUCIAL DEPLOYMENT MECHANISMS, AND RELATED METHODS OF USE | 1 |
Robert Lafferty | CA | Georgetown | 2009-07-23 / 20090184847 - VEHICLE LANE DISCRIMINATION IN AN ELECTRONIC TOLL COLLECTION SYSTEM | 1 |
Donal Lafferty | GB | Cambridge | 2015-09-17 / 20150264035 - METHOD AND SYSTEM FOR SECURELY TRANSMITTING VOLUMES INTO CLOUD | 2 |
Brendan Lafferty | IE | Muff | 2009-01-22 / 20090020432 - Write element modification control using a galvanic couple | 1 |
Chad Lafferty | US | Alpharetta | 2009-11-05 / 20090276094 - Apparatus And Method For An Autonomous Robotic System For Performing Activities In A Well | 1 |
Michael M. Lafferty | US | Encinitas | 2016-02-18 / 20160047747 - Systems and Methods for Genetic Sequencing | 1 |
Shawn P. Lafferty | US | Springfield | 2014-01-30 / 20140032530 - PROJECT MANAGEMENT SYSTEM WITH GEOGRAPHIC DATA | 1 |
Robert James Lafferty | US | Spokane | 2016-04-14 / 20160101842 - FUEL TRANSFER AND STORAGE SYSTEMS AND METHODS | 1 |
David Aaron Lafferty | US | North Billerica | 2011-03-03 / 20110054717 - Remote Vehicle | 1 |
William Columbus Ian Lafferty | GB | Leicester | 2012-11-01 / 20120277267 - METHOD OF MANAGING OR TREATING PAIN | 2 |
Lola Lafferty | US | Capac | 2016-02-25 / 20160052432 - VEHICLE SEAT CUSHION | 5 |
Brendan Lafferty | IR | Muff | 2011-10-06 / 20110243176 - INTEGRATING AND ALIGNING LASER CHIPS ON SLIDERS FOR HAMR APPLICATIONS | 1 |
Wallace Lafferty | DE | Hamburg | 2014-02-13 / 20140044554 - FOUNDATION FOR A WIND TURBINE AND METHOD OF MAKING SAME | 1 |
Kevin R. Lafferty | US | San Mateo | 2014-12-11 / 20140365999 - METHODS AND SYSTEMS FOR RECORD EDITING IN APPLICATION DEVELOPMENT | 4 |
Neal V. Lafferty | US | Albany | 2015-02-12 / 20150046888 - MASK DESIGN AND DECOMPOSITION FOR SIDEWALL IMAGE TRANSFER | 2 |
Theodore Lafferty | US | Sugar Land | 2015-12-24 / 20150369029 - COMPOUND CLUSTER PLACEMENT IN FRACTURES | 8 |
Terrence Philip Lafferty | US | Winneconne | 2011-01-20 / 20110012291 - CONTAINER HAVING A RIM OR OTHER FEATURE ENCAPSULATED BY OR FORMED FROM INJECTION-MOLDED MATERIAL | 1 |
Terrence P. Lafferty | US | Winneconne | 2012-11-22 / 20120292813 - METHOD OF FORMING CONTAINER WITH A TOOL HAVING AN ARTICULATED SECTION | 8 |
Gregory A. Lafferty | US | Plymouth | 2010-10-21 / 20100263634 - DUAL LEVEL PRESSURIZATION CONTROL BASED ON FUEL FLOW TO ONE OR MORE GAS TURBINE ENGINE SECONDARY FUEL LOADS | 2 |
Mark D. Lafferty | US | Lincoln | 2011-03-17 / 20110061329 - METHOD FOR CONSTRUCTING PRECAST SANDWICH PANELS | 1 |
Sheralin Lafferty | US | Pomona | 2015-03-12 / 20150071749 - LIFT GATE AND MOUNTING SYSTEM | 4 |
William M. Lafferty | US | San Diego | 2014-01-02 / 20140004571 - COMPOSITIONS AND METHODS FOR BIOMASS LIQUEFACTION | 1 |
Terrence P. Lafferty | US | Neenah | 2015-12-31 / 20150375479 - Susceptor Structure | 15 |
Terrence P. Lafferty | US | Winneconne | 2012-11-22 / 20120292813 - METHOD OF FORMING CONTAINER WITH A TOOL HAVING AN ARTICULATED SECTION | 8 |
Jaynee B. Lafferty | US | Trophy Club | 2014-04-24 / 20140111518 - Methods and Systems Using a Fluid Treatment Polar Graph | 1 |
Greg Lafferty | US | Plymouth | 2013-12-12 / 20130327044 - FUEL METERING VALVE FAIL-FIXED AND BACK-UP CONTROL SYSTEM | 1 |
William Columbus Ian Lafferty | GB | Leicestershire | 2015-10-08 / 20150283123 - INTRANASAL SPRAY DEVICE CONTAINING PHARMACEUTICAL COMPOSITION | 1 |
Terrence Philip Lafferty | US | Neenah | 2012-08-16 / 20120207874 - Container Having A Rim Or Other Feature Encapsulated By Or Formed From Injection-Molded Material | 1 |
Brad Lafferty | US | 2012-12-20 / 20120318187 - UNDERWATER ROBOTIC VENTING AND INSPECTION SYSTEM | 1 | |
Terrence P. Lafferty | US | Neenah | 2015-12-31 / 20150375479 - Susceptor Structure | 15 |
Wallace Lafferty | US | Katy | 2011-08-11 / 20110193344 - Control Network for Wind Turbine Park | 1 |
Sean Lafferty | US | Taylor Mill | / - | 1 |
Ronald E. Lafferty | US | Kansas City | 2014-05-22 / 20140137485 - STRUCTURAL REINFORCING SYSTEM COMPONENTS | 2 |
Anthony Dean Lafferty | US | Alvin | 2012-10-11 / 20120258847 - PINPOINT PUSH-UP APPARATUS | 1 |
Theodore Lafferty | US | Sugar Land | 2015-12-24 / 20150369029 - COMPOUND CLUSTER PLACEMENT IN FRACTURES | 8 |
Theodore Bruce Lafferty, Jr. | US | Sugar Land | 2011-08-04 / 20110188348 - METHOD AND APPARATUS FOR MONITORING ACOUSTIC ACTIVITY IN A SUBSURFACE FORMATION | 1 |
Gilbert Laffet | FR | Biot | 2016-02-25 / 20160054319 - METHOD FOR DETECTING TRICHOPHYTONS AND ASSOCIATED DISEASES | 2 |
Duane J. Laffey | US | Maxwell | 2012-11-29 / 20120301222 - PATCHING MATERIAL USING POWDER COATING PAINT AND METHOD OF USING | 1 |
Martin S. Laffey | US | Saint Joseph | 2013-08-15 / 20130206868 - Hose End Dispenser Having Vent | 1 |
Thomas M. Laffey | US | Roseville | 2013-11-14 / 20130305053 - SYSTEMS, METHODS, AND APPARATUS TO AUTHENTICATE COMMUNICATIONS MODULES | 4 |
Pat V. Laffey | US | Washington | 2008-08-28 / 20080208534 - System and method for diagnostic analysis of delivery services | 1 |
Suzanne Laffey | US | Bensalem | 2012-01-12 / 20120007741 - Auto Reminder | 1 |
Martin S. Laffey | US | St. Joseph | 2015-04-02 / 20150090741 - Compression Spring and Pump for Dispensing Fluid | 1 |
Patrick Laffey | US | Austin | 2012-02-23 / 20120047018 - IMMEDIATE UPDATING OF GLOBAL POSITIONING SYSTEM (GPS) GENERATED AREA MAPS ON WIRELESS COMPUTER CONTROLLED DISPLAYS | 1 |
Joseph Francis Laffey, Sr. | US | Bensalem | 2012-01-12 / 20120007741 - Auto Reminder | 1 |
Clary L. Laffin | US | West Bloomfield | 2010-01-28 / 20100018300 - Method of Aligning Properties for Dynamometer Testing | 1 |
Aaron Wallace Laffin | US | Richfield | 2009-12-10 / 20090307286 - CREATING SYNTHETIC BACKUP IMAGES ON A REMOTE COMPUTER SYSTEM | 1 |
Matthew A. Laffin | US | Fremont | 2015-07-16 / 20150199463 - Semiconductor Device Models Including Re-Usable Sub-Structures | 1 |
Anatole Laffitte | FR | Mouans-Sartoux | 2015-10-15 / 20150294236 - ELECTRONIC MISCELLANEOUS DOCUMENT HANDLING IN RESPONSE TO VOLUNTARY MODIFICATIONS OF ANCILLARY SERVICES | 4 |
Jean-Alex Laffitte | FR | Pau | 2014-09-11 / 20140256978 - USE OF SULFONIC ACID FOR RECOVERING GLYCEROL RESULTING FROM THE TRIGLYCERIDE TRANSESTERIFICATION REACTION | 8 |
Christian Laffitte | FR | Cheval Blanc | 2015-05-28 / 20150148927 - Systems and methods for portable audio synthesis | 1 |
Véronique Laffitte | FR | Dange-Saint-Romain | 2015-05-28 / 20150147437 - METHOD FOR PREPARING CHEESES WITH IMPROVED AROMA | 1 |
Hernan Laffitte | US | Mountain View | 2016-03-24 / 20160085811 - GENERATING A FEATURE SET | 11 |
Jean Denis Laffitte | FR | Leuville Sur Orge | 2011-03-17 / 20110063536 - LIQUID CRYSTAL DISPLAY DEVICE WITH ADVANCED MEANS FOR SWITCHING | 3 |
Jean-Denis Laffitte | FR | La Ville Surt Orge | 2013-03-28 / 20130076610 - ENERGY-SAVING METHOD FOR MARKING AN AREA OF A LIQUID CRYSTAL SCREEN | 1 |
Wayne L. Laffitte | US | Murphy | 2009-07-30 / 20090189743 - Radio-Frequency Identification Enabled Inventory Management and Network Operations System and Method | 1 |
Pierre Laffitte | FR | Saint Paul | 2009-08-27 / 20090211249 - INSTALLATION FOR GENERATING ELECTRICAL ENERGY FROM SOLAR ENERGY | 1 |
Jean-Alex Laffitte | FR | Pau | 2014-09-11 / 20140256978 - USE OF SULFONIC ACID FOR RECOVERING GLYCEROL RESULTING FROM THE TRIGLYCERIDE TRANSESTERIFICATION REACTION | 8 |
Hernan Laffitte | US | Mountain View | 2016-03-24 / 20160085811 - GENERATING A FEATURE SET | 11 |
Herman Laffitte | US | Mountain View | 2010-05-06 / 20100114869 - GENERATING A QUERY PLAN FOR ESTIMATING A NUMBER OF UNIQUE ATTRIBUTES IN A DATABASE | 1 |
Marti Laffitte Figueras | ES | Barcelona | 2009-12-03 / 20090297307 - Machine to secure wheels to vehicles | 1 |
Donald L. Laffler | US | El Paso | 2013-03-07 / 20130058680 - THERMAL AGGLOMERATION OF TONER IN LASER CARTRIDGES TO AID RECYCLING | 1 |
Thomas G. Laffler | US | Libertyville | 2011-10-27 / 20110262924 - MOLECULAR ASSAY FOR DIAGNOSIS OF HIV TROPISM | 4 |
Donald F. Laffler | US | El Paso | 2013-03-21 / 20130068105 - MODIFIED WET SCRUBBER FOR REMOVAL OF AIRBORNE TONER | 1 |
Donald Francis Laffler | US | El Paso | 2009-04-23 / 20090103942 - FLUID BASED DECOMMISSIONING AND RECYCLING OF PRINTER TONER CARTRIDGES | 2 |
Thomas Laffler | US | Vista | 2013-12-05 / 20130323815 - FUNGAL NUCLEIC ACID EXTRACTION | 4 |
Donald L. Laffler | US | Ei Paso | 2014-09-18 / 20140271102 - MODIFIED HEAT CHAMBER AND METHOD TO IMPROVE HEAT CYCLE EFFICIENCY USING AIRFLOW CONTROL | 1 |
Brian Alan Laffoley | GB | Edinburgh | 2015-09-10 / 20150253390 - ELECTRICAL DEVICE POWER MANAGEMENT | 3 |
Christophe Laffont | FR | Colombes | 2012-04-26 / 20120098727 - PARABOLIC ANTENNA POSITIONER | 1 |
Guillaume Laffont | FR | Bois D'Arcy | 2010-11-18 / 20100290062 - Microstructured fibre bragg grating sensor | 1 |
Jean-Louis Laffont | FR | Toulouse | 2010-11-11 / 20100286973 - Real-Time Process For Targeting Trait Phenotyping of Plant Breeding Experiments | 1 |
Patrick Laffont | FR | Montrouge | 2015-01-29 / 20150028183 - SUPPORT SYSTEM FOR AN EQUIPMENT ITEM ON A CONTRETE SLAB | 1 |
Serge Laffont | FR | Fontaine | 2012-12-13 / 20120313874 - METHOD OF MANUFACTURING A VIBRATORY ACTUATOR FOR A TOUCH PANEL WITH HAPTIC FEEDBACK | 1 |
Andrew Laffoon | US | Mountain View | 2014-04-03 / 20140095632 - Methods for Coordinating and Presenting Collaborative Communication between Collaborators Working on an Image-Based Project Through an Electronic Interface | 2 |
Daniel Andrew Laffoon | US | San Jose | 2011-07-07 / 20110167353 - System and Methods for Creating and Editing Photo-Based Projects on a Digital Network | 15 |
Christopher Laffoon | US | Durham | 2010-01-14 / 20100010802 - System and Method for User Skill Determination | 1 |
Stephen Laffoon | US | Atlanta | 2010-09-16 / 20100234681 - URETHRAL SUPPORT SYSTEM | 1 |
Darrell Lee Laffoon | US | Bel Air | 2013-09-12 / 20130238518 - Identity Alert Management System And Method | 6 |
Christopher M. Laffoon | US | Durham | 2014-09-18 / 20140281976 - ADJUSTING CONTENT PLAYBACK TO CORRELATE WITH TRAVEL TIME | 4 |
Barry Laffoon | US | Glendale | 2014-03-06 / 20140068767 - SYSTEMS AND METHODS FOR DETECTING ILLEGITIMATE APPLICATIONS | 1 |
Christopher Michael Laffoon | US | Durham | 2014-01-02 / 20140005934 - Incorporating Traveler Feedback in Future Trip Planning | 1 |
Stephen Lee Laffoon | US | Atlanta | 2016-04-21 / 20160107395 - APPARATUS AND PROCESS FOR PRODUCING POROUS DEVICES | 3 |
Darrell L. Laffoon | US | Bel Air | 2013-07-11 / 20130179552 - Computer Implemented Method, Computer System And Nontransitory Computer Readable Storage Medium For Matching URL With Web Site | 1 |
Daniel Andrew Laffoon | US | Mountain View | 2016-05-19 / 20160139761 - AUTOMATIC TARGET BOX IN METHODS AND SYSTEMS FOR EDITING CONTENT-RICH LAYOUTS IN MEDIA-BASED PROJECTS | 4 |
Ludovic Lafforgue | FR | Saint-Gaudens | 2011-10-27 / 20110259223 - SOFT LITHOGRAPHY DEVICE AND PROCESS | 1 |
Johannes C. Laffra | US | Raleigh | 2010-01-28 / 20100023644 - INSPECTING WEB BROWSER STATE INFORMATION FROM A SYNCHRONOUSLY-INVOKED SERVICE | 3 |
Matteo Laffranchi | IT | Genova | 2010-10-07 / 20100253273 - ELASTIC ROTARY ACTUATOR, PARTICULARLY FOR ROBOTIC APPLICATIONS, AND METHOD FOR CONTROLLING THE SAME | 1 |
Emilie Laffray | GB | Croydon | 2016-02-04 / 20160034494 - SYSTEMS AND METHODS FOR RANKING POINTS OF INTEREST | 2 |
Walid Lafi | FR | Saint-Martin D'Heres | 2011-10-27 / 20110264890 - ELECTRONIC CHIP AND INTEGRATED CIRCUIT INCLUDING SUCH AN ELECTRONIC CHIP | 1 |
Beatrice Lafiandra | FR | Sassenage | 2013-02-21 / 20130043938 - LOW VOLTAGE ANALOG SWITCH | 1 |
Domenico Lafiandra | IT | Montefiascone (vt) | / - | 2 |
Susan J. Lafica | US | Fairport | 2015-06-04 / 20150153672 - LOW ENERGY CONSUMPTION MONOCHROME PARTICLE FOR SINGLE COMPONENT DEVELOPMENT SYSTEM | 4 |
Anthony Lafitte | FR | Bannost Villegagnon | 2016-05-05 / 20160123162 - PROPELLER RING MADE OF COMPOSITE MATERIAL FOR A TURBOMACHINE | 1 |
Mathieu Lafitte | FR | Marcillac Saint-Quentin | 2013-06-13 / 20130150794 - URETERAL ACCESS SYSTEM WITH ACCESS SHEATH | 1 |
Renee Lafitte | US | Davis | 2013-12-05 / 20130326730 - DOWN-REGULATION OF ACC SYNTHASE FOR IMPROVED PLANT PERFORMANCE | 1 |
Mathieu Lafitte | FR | Sainte Nathalene | 2014-09-18 / 20140276635 - Access sheath | 3 |
Valerie Lafitte | US | Stafford | 2015-05-14 / 20150129226 - Thickening of Fluids | 6 |
Valerie Gisele Helene Lafitte | GB | London | 2009-01-01 / 20090005519 - Supramolecular Polymers | 1 |
Mathieu Lafitte | FR | Pessac | 2009-12-24 / 20090318894 - Method for positioning an access sheath and a security guide, a catheter for positioning an access sheath and a catheter-access sheath assembly | 1 |
Honor Renee Lafitte | US | Davis | 2016-03-03 / 20160060647 - DROUGHT TOLERANT PLANTS AND RELATED CONSTRUCTS AND METHODS INVOLVING GENES ENCODING PHOSPHATIDIC ACID PHOSPHATASE (PAP), DTP25 and DTP46 POLYPEPTIDES | 8 |
Valerie Lafitte | GB | Cambridge | 2011-07-07 / 20110162977 - ELECTROCHEMICAL SENSOR UTILISING A DUAL REDOX SYSTEM CONTAINED WITHIN A SINGLE MOLECULE | 4 |
Geraldine Lafitte | FR | Begues | 2016-04-14 / 20160101064 - PROCESS FOR PREPARING AN EMULSION OF AN ACTIVE INGREDIENT AND PARTICLES OBTAINED FROM THIS EMULSION | 1 |
Arnaud Lafitte | FR | Chaville | 2012-04-19 / 20120091264 - SELECTIVE FORCE TRANSMISSION DEVICE AND AN UNDERCARRIAGE CONSISTING OF AN APPLICATION THEREOF | 4 |
Melvyn J. Lafitte | FR | Prevessin-Moens | 2016-04-28 / 20160113526 - GENERATING USER INFORMATION FROM AUTONOMIC NERVOUS SYSTEM PARAMETERS | 1 |
Guillaume Lafitte | FR | Antibes | 2011-11-10 / 20110275657 - MELANOCORTIN RECEPTOR MODULATORS, PROCESS FOR PREPARING THEM AND USE THERE IN HUMAN MEDICINE AND COSMETICS | 1 |
Honor Renee Lafitte | US | Davis | 2016-03-03 / 20160060647 - DROUGHT TOLERANT PLANTS AND RELATED CONSTRUCTS AND METHODS INVOLVING GENES ENCODING PHOSPHATIDIC ACID PHOSPHATASE (PAP), DTP25 and DTP46 POLYPEPTIDES | 8 |
H. Renee Lafitte | US | Davis | 2016-02-11 / 20160040181 - AGRONOMIC CHARACTERISTICS UNDER NITROGEN LIMITING CONDITIONS FOR PLANTS EXPRESSING PH11 OR NUCPU29 POLYPEPTIDES | 1 |
Jennifer L. Lafiura | US | New York | 2012-08-16 / 20120209842 - SYSTEMS AND METHODS FOR GENERATING CUSTOMIZED TRAVEL ITINERARIES | 1 |
Joby Lafky | US | Seattle | 2015-07-23 / 20150202976 - ELECTRIC VEHICLE POWER MANAGEMENT SYSTEMS | 5 |
Ernest M. Lafky | US | San Francisco | 2016-03-10 / 20160071361 - GAMING SYSTEM AND METHOD FOR PROVIDING A SYMBOL MATRIX WITH A MOVEABLE SYMBOL DISPLAY WINDOW | 17 |
Ernie M. Lafky | US | San Francisco | 2016-05-19 / 20160140796 - GAMING METHOD AND DEVICE INVOLVING PROGRESSIVE WAGERS | 10 |
Ernest M. Lafky | US | Oakland | 2013-03-28 / 20130079108 - GAMING SYSTEM, GAMING DEVICE, AND METHOD FOR PROVIDING A PERSISTENT GAME | 5 |
Ernest M. Lafky | US | San Francisco | 2016-03-10 / 20160071361 - GAMING SYSTEM AND METHOD FOR PROVIDING A SYMBOL MATRIX WITH A MOVEABLE SYMBOL DISPLAY WINDOW | 17 |
Carl Lafky | US | Camas | 2013-02-21 / 20130044892 - HEADSET PLUG UNIVERSAL AUTO SWITCHER | 1 |
Ernie M. Lafky | US | San Fancisco | 2010-02-11 / 20100035676 - GAMING SYSTEM AND METHOD FOR PROVIDING IMPROVED ELEMENT SETTING OPTIONS | 1 |
Ernie M. Lafky | US | San Francisco | 2016-05-19 / 20160140796 - GAMING METHOD AND DEVICE INVOLVING PROGRESSIVE WAGERS | 10 |
Georges Yves Laflamme | CA | Montreal | 2009-12-17 / 20090312758 - Orthopaedic fixation component and method | 1 |
Jimmy Laflamme | CA | Levis | 2016-03-03 / 20160063846 - HOSPITAL BED WITH PATIENT WEIGHT AND DISPLACEMENT SENSORS | 2 |
Simon Laflamme | CA | Quebec | 2016-03-24 / 20160082711 - PICKTIP HAVING NON-PLANAR TOPOGRAPHY | 1 |
Roger J. Laflamme | US | Enfield | 2014-06-12 / 20140158579 - IMPACT AND VIBRATION RESISTANT ARTICLE CARRIER | 12 |
Dorothy P. Laflamme | US | Millstadt | 2009-09-24 / 20090238915 - SENIOR FELINE FOOD | 1 |
Roger J. Laflamme | US | West Hartford | 2010-06-17 / 20100147892 - Fluid Dispensing Device With Metered Delivery | 2 |
Michael A. Laflamme | US | Seattle | 2014-11-06 / 20140329311 - INDUCTION OF HUMAN EMBRYONIC STEM CELL DERIVED CARDIAC PACEMAKER OR CHAMBER-TYPE CARDIOMYOCYTES BY MANIPULATION OF NEUREGULIN SIGNALING | 3 |
Daniel Laflamme | US | Chandler | 2010-12-09 / 20100308047 - CANTEEN CUP COVER WITH INTEGRATED STRAINER AND SIGNALING MIRROR | 1 |
Dorothy Pauline Laflamme | US | Floyd | 2011-02-10 / 20110034411 - Methods and compositions for improving gastrointetinal health | 1 |
Daniel J. Laflamme | US | Wixom | 2013-11-14 / 20130302584 - Foam Assembly And Method Of Making The Same | 3 |
Benoit Laflamme | CA | Quebec | 2016-04-14 / 20160105070 - MOUNTING BRACKET FOR MOTOR CAPACITOR AND MOTOR ASSEMBLY EQUIPPED WITH MOTOR CAPACITOR MOUNTED TO A MOTOR BODY USING SUCH MOUNTING BRACKET | 10 |
Simon Laflamme | US | Cambridge | 2011-10-06 / 20110241704 - STRUCTURAL HEALTH MONITORING SYSTEM AND METHOD USING SOFT CAPACITIVE SENSING MATERIALS | 1 |
Robert Laflamme | CA | Verdun | 2011-03-17 / 20110061760 - CONDUITS AND COUPLING SYSTEMS FOR TRENCHLESS APPLICATIONS | 2 |
Martin Laflamme | CA | St-Romuald | 2011-02-24 / 20110043002 - CUT AND RIGIDIFIED CONSTRUCTION COMPONENT AND METHOD OF MANUFACTURING THE SAME | 1 |
Benoit Laflamme | CA | Quebec | 2016-04-14 / 20160105070 - MOUNTING BRACKET FOR MOTOR CAPACITOR AND MOTOR ASSEMBLY EQUIPPED WITH MOTOR CAPACITOR MOUNTED TO A MOTOR BODY USING SUCH MOUNTING BRACKET | 10 |
Phillippe Laflamme | CA | Montreal | 2011-08-04 / 20110189663 - ASSESSMENT OF RISK FOR COLORECTAL CANCER | 2 |
Dominic Laflamme | CA | Montreal | 2010-02-11 / 20100033483 - Exchanging Data Between Vertex Shaders And Fragment Shaders On A Graphics Processing Unit | 1 |
Claude Laflamme | CA | Orford | 2009-03-05 / 20090063139 - Signal modification method for efficient coding of speech signals | 1 |
Marcel Laflamme | CA | Val-D'Or | 2008-09-18 / 20080224527 - CONTINUOUS EXTRACTION OF UNDERGROUND NARROW-VEIN METAL-BEARING DEPOSITS BY THERMAL ROCK FRAGMENTATION | 1 |
Manuel Laflamme | CA | Brossard | 2012-03-15 / 20120066298 - SYSTEM AND METHOD OF UPDATING PRESENCE INFORMATION | 3 |
Raymond M. Laflamme | US | White Lake | 2014-11-20 / 20140338814 - VIBRATION WELDERS WITH HIGH FREQUENCY VIBRATION, POSITION MOTION CONTROL, AND DELAYED WELD MOTION | 1 |
Roger J. Laflamme | US | Sturbridge | 2014-11-20 / 20140342637 - COMFORT MOLDED BRA CUP | 2 |
Bradley James Laflamme | CA | Waterloo | 2012-02-16 / 20120038558 - ELECTRONIC DEVICE INCLUDING TOUCH-SENSITIVE DISPLAY | 1 |
Arthur Laflamme | US | Rowley | 2014-09-04 / 20140245769 - DESICCANT AIR CONDITIONING METHODS AND SYSTEMS | 4 |
Dorothy P. Laflamme | US | Floyd | 2015-06-04 / 20150153353 - METHODS FOR DIAGNOSING CHRONIC VALVULAR DISEASE | 1 |
Michael Laflamme | US | Seattle | 2015-05-07 / 20150125952 - SYSTEMS AND METHOD FOR ENGINEERING MUSCLE TISSUE | 1 |
Roger Laflamme | US | West Hartford | 2014-04-10 / 20140096324 - COMPOSITE MATERIAL, METHOD OF MAKING AND ARTICLES FORMED THEREBY | 2 |
Shawn Laflamme | US | North Concord | 2015-03-19 / 20150076819 - Thread Connection for a Torch System | 1 |
Cheryl Laflamme | US | Lewiston | 2013-05-30 / 20130133122 - Mittenshirt | 1 |
Georges-Yves Laflamme | CA | Mont-Royal | 2015-02-26 / 20150057710 - ORTHOPAEDIC FIXATION COMPONENT AND METHOD | 1 |
Arthur H. Laflamme, Jr. | US | Rowley | 2010-02-04 / 20100025368 - HIGH THROUGHPUT THERMAL TREATMENT SYSTEM AND METHOD OF OPERATING | 2 |
Philippe Laflaquiere | FR | Bordeaux | 2011-12-15 / 20110307202 - SYSTEM FOR MONITORING THE STATE OF A BATTERY | 2 |
Arnaud Laflaquiere | GB | Merchiston - Edinburgh | 2010-09-30 / 20100245627 - PROCESSING FOR CAPTURED IMAGES | 1 |
Arnaud Laflaquiere | GB | Edinburgh | 2011-03-10 / 20110057906 - POINTING DEVICES | 3 |
Arnaud Laflaquiere | FR | Grenoble | 2016-04-28 / 20160118432 - IMAGE SENSOR WITH VERTICAL ELECTRODES | 1 |
William F. Laflash | US | Northbridge | 2009-03-19 / 20090076328 - ENDOSCOPE WITH INTERNAL LIGHT SOURCE AND POWER SUPPLY | 2 |
John E. Lafleche | US | Tolland | 2011-01-06 / 20110001782 - METHOD AND APPARATUS FOR IMPROVING FLEXIBILITY OF INK PRINTED ONTO SUBSTRATES USING IRRADIATION CURE CONTROL | 1 |
Patrick Lafleche | US | Kalamazoo | 2016-05-12 / 20160128468 - COMPUTER SUPPORT STATION | 15 |
Patrick Lafleche | US | Kalamazoo | 2016-05-12 / 20160128468 - COMPUTER SUPPORT STATION | 15 |
James D. Laflen | US | Bellevue | 2013-09-05 / 20130232123 - DRIFT DETECTION AND NOTIFICATION | 4 |
James Harvey Laflen | US | Loveland | 2008-08-28 / 20080206042 - METHODS AND SYSTEM FOR RECUPERATED CIRCUMFERENTIAL COOLING OF INTEGRAL TURBINE NOZZLE AND SHROUD ASSEMBLIES | 1 |
John Brandon Laflen | US | Niskayuna | 2016-03-24 / 20160084644 - SYSTEM AND METHOD OF MEASURING GEOMETRIC CHARACTERISTICS OF OBJECT | 7 |
James Harvey Laflen | US | Cincinnati | 2010-05-06 / 20100111682 - CRENELATED TURBINE NOZZLE | 2 |
Jamie D. Laflen | US | Bellevue | 2013-04-04 / 20130085991 - Reverting a Current State Model of a Database to a Pre-refactored State | 1 |
Jamie Laflen | US | Bellevue | 2012-08-30 / 20120221507 - DECLARATIVE UPDATE TO A LIVE SYSTEM | 1 |
Jason Michael Lafler | US | Woodridge | 2013-05-02 / 20130105349 - Mailing Tube With Removeable Decoration | 1 |
Scott H. Lafler | US | Rio Rancho | 2014-01-16 / 20140018979 - AUTONOMOUS AIRSPACE FLIGHT PLANNING AND VIRTUAL AIRSPACE CONTAINMENT SYSTEM | 1 |
Renata Regina Lafler | US | Woodridge | 2013-05-02 / 20130105349 - Mailing Tube With Removeable Decoration | 1 |
Richard C. Laflesh | US | Suffield | 2014-12-11 / 20140361105 - STEAM MILL SYSTEM USEFUL FOR MERCURY CONTROL | 3 |
Louis F. Lafleur | US | Cypress | 2015-01-08 / 20150008003 - SELECTIVE PLUGGING ELEMENT AND METHOD OF SELECTIVELY PLUGGING A CHANNEL THEREWITH | 4 |
Michael David Lafleur | US | Braintree | 2012-10-04 / 20120252820 - METHODS OF TREATING FUNGAL INFECTIONS | 2 |
Austin Charles Lafleur | US | Hudson | 2011-08-18 / 20110198845 - BOX CULVERT GASKET SEAL | 1 |
Robert Lafleur | US | Louisville | 2013-09-26 / 20130253708 - ADAPTIVE ENERGY MANAGEMENT SYSTEM FOR AN APPLIANCE | 6 |
Phil Lafleur | CA | Gloucester | 2012-05-31 / 20120133568 - QUADRIFILAR HELIX ANTENNA SYSTEM WITH GROUND PLANE | 1 |
Louis Lafleur | US | Cypress | 2012-12-27 / 20120325493 - INJECTION LINE VALVE MECHANISM | 2 |
Philip A. Lafleur | US | Loveland | 2015-11-12 / 20150323085 - HIGH-FLOW ELECTRO-HYDRAULIC ACTUATOR | 2 |
David Lafleur | US | Washington | 2016-02-18 / 20160046678 - MULTIVALENT AND MONOVALENT MULTISPECIFIC COMPLEXES AND THEIR USES | 8 |
Timothy J. Lafleur | US | Manitowoc | 2014-03-13 / 20140069974 - MOTORCYCLE SADDLEBAG | 5 |
David W. Lafleur | US | Washington | 2013-05-02 / 20130108606 - Albumin Fusion Proteins | 11 |
Adam S. Lafleur | US | Norwood | 2016-05-05 / 20160120904 - Reactive Oxidative Species Generating Materials and Methods of Use | 3 |
Cedrick James Lafleur | US | Cypress | 2009-01-22 / 20090020662 - CONTAINER SEGMENT ASSEMBLY | 1 |
Michael E. Lafleur | US | Brighton | 2014-04-03 / 20140091600 - OVERSLAM BUMPER | 1 |
Ronald S. Lafleur | US | Potsdam | 2016-05-12 / 20160132462 - Methods And Systems For Calculating Uncertainty | 1 |
Mark E. Lafleur | US | Troy | 2014-10-16 / 20140304968 - TREE STAND TOOLS | 1 |
Jean-François Lafleur | FR | Chassieu | 2014-10-30 / 20140319106 - INDUCTIVE PLASMA TORCH | 1 |
Edward E. Lafleur | US | Holland | 2014-08-07 / 20140221540 - IR-REFLECTING COMPOSITIONS | 8 |
Lisa Kathryn Lafleur | US | Seattle | 2016-02-18 / 20160045660 - DEVICE, METHOD, AND SYSTEM FOR MONITORING THE DELIVERY OF FLUIDS THROUGH A DRIP CHAMBER | 2 |
David W. Lafleur | US | 2010-11-18 / 20100291033 - Albumin Fusion Proteins | 1 | |
Hal Arthur Lafleur | US | Maplewood | 2008-11-06 / 20080272332 - MICROENCAPSULATED HEAT DELIVERY VEHICLES | 1 |
Tom Lafleur | US | Rancho Santa Fe | 2012-04-26 / 20120100509 - SYSTEM AND METHOD FOR REDUCING DRIVING RISK WITH FORESIGHT | 1 |
Blaine Stephen Lafleur | US | Sunset | 2015-06-04 / 20150152699 - TUBULAR GUIDING AND GRIPPING APPARATUS AND METHOD | 3 |
Timothy J. Lafleur | US | Menasha | 2014-12-04 / 20140352230 - SLIDING DOOR AND PIVOTING DOOR FOR DEMOUNTABLE WALL SYSTEM | 2 |
Lisa Lafleur | US | Seattle | 2015-12-17 / 20150361487 - SEQUENTIAL DELIVERY OF FLUID VOLUMES AND ASSOCIATED DEVICES, SYSTEMS AND METHODS | 1 |
Francois Lafleur | CA | Laprairie | 2010-05-27 / 20100131236 - Apparatus and Method for Measuring Deflection of a Printed Circuit Board | 1 |
Bernard Lafleur | FR | Saint-Medard-En-Forez | 2014-11-27 / 20140346180 - REMOVABLE GRIPPING DEVICE FOR A COOKING VESSEL WITHOUT INDEXING | 1 |
Edward Lafleur | US | Holland | 2016-01-28 / 20160024263 - ANTI-REFLECTIVE FILM FOR PHOTOVOLTAIC ARRAYS | 1 |
Edward E. Lafleur | US | Holland | 2014-08-07 / 20140221540 - IR-REFLECTING COMPOSITIONS | 8 |
Karine Lafleur | CH | Zuerich | 2011-03-31 / 20110077401 - ANTI-ANGIOGENIC COMPOUNDS | 1 |
Louis Lafleur | CA | Quebec | 2010-08-26 / 20100217358 - ARTIFICIAL LIGHT APPARATUS AND ITS USE FOR INFLUENCING A CONDITION IN A SUBJECT | 1 |
Philippe Jonathan Gabriel Lafleur | CA | Ottawa | 2010-07-01 / 20100169441 - TEXT MESSAGING SYSTEM AND METHOD EMPLOYING PREDICTIVE TEXT ENTRY AND TEXT COMPRESSION AND APPARATUS FOR USE THEREIN | 1 |
Patricia Alison Lafleur | US | Shewsbury | 2016-02-04 / 20160037159 - SYSTEMS, DEVICES, AND METHODS FOR PROVIDING PRODUCTS AND CONSULTATIONS | 5 |
Julie Josée Lafleur | CA | Ottawa | 2010-07-01 / 20100169441 - TEXT MESSAGING SYSTEM AND METHOD EMPLOYING PREDICTIVE TEXT ENTRY AND TEXT COMPRESSION AND APPARATUS FOR USE THEREIN | 1 |
Donald Lafleur | CA | Kindersley | 2009-12-17 / 20090308140 - PIPELINE LEAK DETECTION SYSTEM | 1 |
Andre Lafleur | CA | Boucherville | 2013-08-15 / 20130205666 - Flow control gate and method | 3 |
David Lafleur | US | Washington | 2016-02-18 / 20160046678 - MULTIVALENT AND MONOVALENT MULTISPECIFIC COMPLEXES AND THEIR USES | 8 |
Louis F. Lafleur | US | Maurice | 2010-11-18 / 20100289225 - PRESSURE TESTABLE TUBING CONNECTION | 1 |
AndrÉ Lafleur | CA | Boucherville | 2013-08-15 / 20130205666 - Flow control gate and method | 2 |
Christopher Lafleur | US | Danville | 2014-11-13 / 20140333763 - METHOD AND SYSTEM FOR CONTROLLING ACCESS USING A SMART OPTICAL SENSOR | 1 |
Charisma J. Lafleur | US | Niceville | 2015-02-26 / 20150058455 - APPARATUS AND METHOD FOR SERVING COMPRESSED CONTENT IN A CONTENT DELIVERY NETWORK | 2 |
Robert Jules Lafleur | US | Louisville | 2016-03-03 / 20160061467 - AIR CONDITIONER UNIT AND METHOD FOR OPERATING SAME | 4 |
Timothy John Lafleur | US | Menasha | 2013-08-01 / 20130192141 - DEMOUNTABLE WALL SYSTEM | 1 |
Julie Josée Lafleur | CA | Ottawa | 2010-07-01 / 20100169441 - TEXT MESSAGING SYSTEM AND METHOD EMPLOYING PREDICTIVE TEXT ENTRY AND TEXT COMPRESSION AND APPARATUS FOR USE THEREIN | 1 |
James M. Lafleur | US | Madison | 2009-08-20 / 20090207449 - SYSTEM AND METHOD FOR REMOTE MONITORING OF PRINT SYSTEMS | 1 |
Daniel Lafleur | CA | Kanata | 2014-04-24 / 20140112159 - CIRCUIT EMULATION SERVICE FOR CARRYING TIME DIVISION MULTIPLEXED SCADA TRAFFIC | 5 |
Jean Lafleur | FR | Paris | 2013-03-21 / 20130074131 - SYSTEM AND METHOD FOR INTEGRATING AND CONTROLLING WEB-BASED HTML PLAYERS IN A NATIVE CONTEXT | 3 |
Matthew Murphy Lafleur | US | Salado | 2011-12-29 / 20110315054 - Student Desk With Book Box | 1 |
Bonnie J. Lafleur | US | Salt Lake City | 2009-09-17 / 20090232813 - Four-Jointed Box (FJX1) In Cancer Diagnosis and Treatment | 1 |
Michael B. Lafleur | US | East Hampstead | 2015-06-25 / 20150181727 - PANEL-MOLDED ELECTRONIC ASSEMBLIES | 4 |
Lisa K. Lafleur | US | Kirkland | 2011-06-23 / 20110151479 - MICROFLUIDIC SYSTEMS INCORPORATING FLOW-THROUGH MEMBRANES | 1 |
Jacques Lafleur | US | Jupiter | 2012-06-28 / 20120166000 - REMOTE WIND TURBINE RESET SYSTEM AND METHOD | 1 |
Bonnie Lafleur | US | Tucson | 2015-10-22 / 20150301060 - PREDICTIVE MARKERS FOR POLYAMINE INHIBITOR CANCER THERAPIES | 1 |
Jacob Wingrove Lafleur | US | San Jose | 2015-10-22 / 20150296935 - USER CONFIGURABLE WEARABLE DEVICE | 1 |
Claude A. Lafleur | US | Baton Rouge | 2010-03-11 / 20100059357 - Coking drum support system | 2 |
Rhonda Lafleur | US | Elkhorn | 2012-06-07 / 20120141530 - CANINE LYME DISEASE VACCINE | 1 |
Rhonda Lafleur | US | Omaha | 2013-10-17 / 20130273100 - VACCINES WITH LIVE BACTERIAL ISOLATES FOR SYSTEMIC ADMINISTRATION | 1 |
Edward La Fleur | US | Holland | 2015-07-16 / 20150197659 - AQUEOUS COATING COMPOSITION AND ANTI-GLARE COATING FORMED THEREFORM | 4 |
Terry Elkin La Fleur | US | Mckinney | 2015-10-15 / 20150294449 - DETECT EDGE CHIP | 1 |
James P. La Fleur | US | Mill Valley | 2012-01-12 / 20120009911 - METHOD AND APPARATUS FOR ENHANCING DISCOVERABILITY AND USABILITY OF DATA NETWORK CAPABILITY OF A MOBILE DEVICE | 2 |
Hal A. Lafleur, Iii | US | Woodbury | 2015-10-22 / 20150299418 - SELF PRIMING SPACKLING COMPOUND | 4 |
Walter J. Laflin | US | Houston | 2008-11-27 / 20080290603 - SWELLABLE MATERIAL AND METHOD | 1 |
Anne-Laure Lafly | FR | Toulouse | 2011-08-25 / 20110203343 - Method To Achieve A Stiffened Curved Metallic Structure And Structure Obtained Accordingly | 2 |
William R. Lafollette | US | Midland | 2011-05-26 / 20110124780 - PRINTABLE MONOLAYER POLYVINYLIDENE CHLORIDE STRUCTURES | 1 |
Bryn Lafollette | US | Glendale | 2015-11-12 / 20150325027 - METHOD AND SYSTEM FOR REDUCING MOTION SICKNESS IN VIRTUAL REALITY RIDE SYSTEMS | 1 |
Dale C. Lafollette | US | Erie | 2012-05-10 / 20120117040 - Method and System for Verifying Data Stored on a Medium | 1 |
Scott Lafollette | US | Florence | 2015-02-05 / 20150035207 - COMPOSITION AND METHOD FOR PRODUCING A TEXTURED ACRYLIC SURFACE | 2 |
Randal F. Lafollette | US | Conroe | 2016-02-25 / 20160053164 - HYDRAULIC FRACTURING APPLICATIONS EMPLOYING MICROENERGETIC PARTICLES | 2 |
Rodney Mortensen Lafollette | US | South Jordan | 2013-11-21 / 20130309414 - SYSTEM AND METHOD OF FABRICATING AN ELECTROCHEMICAL DEVICE | 1 |
Philippe Lafon | FR | Cagnes Sur Mer | 2008-10-16 / 20080252655 - TECHNIQUES FOR EFFICIENT DITHERING | 1 |
Jean Marc Lafon | FR | Meylan | 2008-12-18 / 20080311834 - System and Method for Cleaning a Conditioning Device | 1 |
Jean-Christophe Lafon | FR | Nogentel | 2009-06-11 / 20090145063 - LINKING ELEMENTS DESIGNED TO EQUIP PANELS, IN PARTICULAR GLASS PANELS, FOR FIXING AND PANELS EQUIPPED WITH SAME | 1 |
Cyril Lafon | FR | Toussieu | 2015-05-21 / 20150141734 - METHOD FOR DETERMINING OPTIMIZED PARAMETERS OF A DEVICE GENERATING A PLURALITY OF ULTRASOUND BEAMS FOCUSED IN A REGION OF INTEREST | 3 |
Christophe Lafon | FR | Veigne | 2009-08-20 / 20090206701 - CLIP | 1 |
Jean-Pierre Lafon | FR | Chatillon | 2010-06-10 / 20100141547 - SHIELDED CONTACTLESS ELECTRONIC DOCUMENT | 1 |
Paul Lafon | FR | Castelnau D'Estretefonds | 2010-10-14 / 20100257719 - WING MOUNTING | 1 |
Rémi Lafon | FR | Evenos | 2010-12-16 / 20100313914 - Pipe Clearing Systems | 2 |
Cyril Lafon | FR | Lyon Cedex | 2012-05-31 / 20120136281 - PARAMETERS FOR AN ULTRASOUND DEVICE COMPRISING MEANS TO GENERATE HIGH INTENSITY ULTRASOUND BEAM | 2 |
Stéphanie Lafon | FR | Merignac | 2016-04-07 / 20160098183 - METHOD FOR DISPLAYING AND MANAGING COMMUNICATION-TYPE INTERACTION SYMBOLS AND ASSOCIATED VIEWING DEVICE WITH A TOUCH SURFACE | 8 |
Stephane Lafon | FR | Rouvray | 2015-05-28 / 20150145356 - VERSATILE COOLING HOUSING FOR AN ELECTRICAL MOTOR | 1 |
Yoann Lafon | FR | Villeurbanne | 2013-12-19 / 20130338712 - DEVICE FOR CORRECTING SCOLIOSIS AND CONTROLLING VERTEBRAL ARTHRODESIS | 1 |
Martin Lafon | FR | Orsay | 2011-05-12 / 20110112980 - METHOD AND DEVICE FOR PROCESSING INFORMATION | 2 |
Yoann Lafon | FR | Albi | 2010-06-10 / 20100145663 - METHOD FOR SIMULATING THE BEHAVIOR OF AN ARTICULATED SET OF BONES | 1 |
Stephane Lafon | US | Sunnyvale | 2015-06-11 / 20150161820 - THREE-DIMENSIONAL OVERLAYS WITHIN NAVIGABLE PANORAMIC IMAGES, AND APPLICATIONS THEREOF | 9 |
Stephane S. Lafon | US | Sunnyvale | 2014-04-24 / 20140114977 - SYSTEM AND METHOD FOR DOCUMENT ANALYSIS, PROCESSING AND INFORMATION EXTRACTION | 1 |
Stephane S. Lafon | US | New Haven | 2013-08-15 / 20130212104 - SYSTEM AND METHOD FOR DOCUMENT ANALYSIS, PROCESSING AND INFORMATION EXTRACTION | 3 |
Fabien Lafon | FR | Charenton Le Pont | 2013-03-14 / 20130063697 - Method for Determining an Edge of an Uncut Spectacle Lens | 1 |
Stephane Lafon | US | Mountain View | 2008-11-27 / 20080291217 - VIEWING AND NAVIGATING WITHIN PANORAMIC IMAGES, AND APPLICATIONS THEREOF | 2 |
Brian D. Lafon | US | Johnson City | 2016-05-12 / 20160130007 - WINDOW MOUNTED RAFT SYSTEM | 2 |
Pascal Lafon | FR | Aydat | 2014-01-16 / 20140017778 - SOLAR PHOTOBIOREACTOR WITH CONTROLLED VOLUME FLOW DILUTION | 1 |
Stéphane Lafon | US | Mountain View | 2011-10-20 / 20110254915 - Three-Dimensional Overlays Within Navigable Panoramic Images, and Applications Thereof | 1 |
Stéphanie Lafon | FR | Merignac | 2012-12-13 / 20120316766 - METHOD FOR CREATION OF A TAXIING ROUTE OVER AN AIRPORT AREA AND ASSOCIATED DEVICE | 3 |
Stephane Lafon | US | Sunnyvale | 2015-06-11 / 20150161820 - THREE-DIMENSIONAL OVERLAYS WITHIN NAVIGABLE PANORAMIC IMAGES, AND APPLICATIONS THEREOF | 9 |
Rémi Lafon | FR | Evenos | 2010-12-16 / 20100313914 - Pipe Clearing Systems | 1 |
Christophe Lafon | FR | Saint Marcel D'Ardeche | 2013-05-30 / 20130133373 - SILICON REFINING EQUIPMENT | 2 |
Mathieu Lafon | FR | Lyon | 2012-03-22 / 20120069401 - METHOD FOR BROWSING, RECORDING MEDIUM, ACCESS SERVER AND USER STATION FOR IMPLEMENTING SAID METHOD | 1 |
Brian Lafon | US | Johnson City | 2015-09-17 / 20150259065 - RETENTION SYSTEMS FOR ROTORCRAFT PEDAL ASSEMBLIES | 1 |
Cyril Lafon | FR | Lyon Cedex 03 | 2014-01-23 / 20140024923 - TRANSOESOPHAGEAL DEVICE USING HIGH INTENSITY FOCUSED ULTRASOUNDS FOR CARDIAC THERMAL ABLATION | 2 |
Cyril Lafon | FR | Tossieu | 2014-09-11 / 20140257262 - INTERSTITIAL ULTRASONIC DISPOSABLE APPLICATOR AND METHOD FOR TISSUE THERMAL CONFORMAL VOLUME ABLATION AND MONITORING THE SAME | 1 |
Monique Lafon | FR | Paris | 2014-11-20 / 20140341858 - NEURON GENERATION, REGENERATION AND PROTECTION | 4 |
Cyril Lafon | FR | Lyon | 2016-05-19 / 20160139123 - Shear Wave Imaging Method and Installation for Collecting Information on a Soft Solid | 1 |
Stefan Lafon | US | Sunnyvale | 2016-03-31 / 20160094654 - MOBILE APPLICATION STATE IDENTIFIER FRAMEWORK | 1 |
Davin E. Lafon | US | Pittsburgh | 2014-02-20 / 20140052776 - SYSTEM FOR BUILDING CUSTOMER RELATIONSHIPS THROUGH SOCIAL MEDIA | 1 |
Stéphanie Lafon | FR | Merignac | 2016-04-07 / 20160098183 - METHOD FOR DISPLAYING AND MANAGING COMMUNICATION-TYPE INTERACTION SYMBOLS AND ASSOCIATED VIEWING DEVICE WITH A TOUCH SURFACE | 8 |
Pierre Lafon | FR | Saint Maixant | 2013-10-03 / 20130256393 - PACKAGING FOR CONSERVING AND TRANSPORTING FRESH PRODUCTS | 1 |
Guy Lafond | US | Portsmouth | 2014-09-18 / 20140266154 - SYSTEMS AND METHODS FOR STORING ENERGY USAGE DATA BASED ON TEMPERATURE | 1 |
Renee Loretta Lafond | US | Bozeman | 2015-05-21 / 20150136694 - POINT OF GENERATION SMALL VOLUME BIOREACTOR FOR HORMONE AND ENDOCRINE DISRUPTING CHEMICAL REMEDIATION | 1 |
André Lafond | CA | St-Hilaire | 2011-10-13 / 20110250106 - Biopsy Dish and Closure Element Therefor | 1 |
Jean-Marie Lafond | FR | Ibos | 2013-10-10 / 20130263716 - Storage Mechanism for Nesting Cutting Tools and a Household Electrical Appliance Containing Such a Mechanism | 2 |
Gregory G. Lafond | US | Boylston | 2014-11-06 / 20140325917 - SHAPED ABRASIVE PARTICLE AND METHOD OF FORMING SAME | 2 |
Roch Lafond | CA | Burritts Rapids | 2014-10-30 / 20140319038 - CATCH BASIN TRAP AND MOUNTING SYSTEM | 2 |
Olivier Lafond | FR | Gosne | 2014-06-26 / 20140176377 - ANTENNA SYSTEM | 3 |
Luc Lafond | CA | Etobicoke | 2010-06-17 / 20100147415 - CENTRIPETAL CONTAINER PROCESSING APPARATUS | 1 |
John J. Lafond | US | Appleton | 2012-10-25 / 20120267063 - STRUCTURING BELT, PRESS SECTION AND TISSUE PAPERMAKING MACHINE FOR MANUFACTURING A HIGH BULK CREPED TISSUE PAPER WEB AND METHOD THEREFOR | 7 |
Peter H. Lafond | US | Morristown | 2011-04-21 / 20110092018 - WAFER LEVEL PACKAGED MEMS DEVICE | 2 |
Kenneth G. Lafond | US | Brier | 2011-06-23 / 20110148567 - Facilitating User Sensor Self-Installation | 2 |
Peter H. Lafond | US | Redmond | 2010-08-05 / 20100198568 - LOW VIBRATION RECTIFICATION INA CLOSED-LOOP, IN-PLANE MEMS DEVICE | 1 |
Luc Marcel Lafond | CA | Etobicoke | 2009-08-13 / 20090200303 - DISPOSABLE FLUID CONTAINER | 2 |
Stephen M. Lafond | CA | Millet | 2014-02-06 / 20140034765 - Replaceable Wear Parts For An Earth-Working Roll | 1 |
Christophe Lafond | CA | Quebec | 2013-03-21 / 20130071082 - HIGH BIREFRINGENCE POLARIZATION-MAINTAINING OPTICAL FIBER BASED ON MULTI COMPONENT SILICA GLASS | 1 |
Nicolas Lafond | FR | Moissy-Cramayel Cedex | 2014-10-09 / 20140301841 - TURBOMACHINE COMPRESSOR GUIDE VANES ASSEMBLY | 1 |
Michael Paul Lafond | US | Wallingford | 2014-07-10 / 20140190801 - SHUTTER SYSTEM FOR A SWITCHGEAR COMPARTMENT AND METHOD OF MANIPULATING A SHUTTER SYSTEM | 2 |
Patrick Lafond | CA | Sherbrooke | 2016-04-28 / 20160113359 - CLASP DEVICE FOR NECKLACE | 1 |
Danielle Lafond | CA | Mont Saint-Hilaire | 2015-12-10 / 20150353312 - STERILE SAMPLING BAG, ROLL OF BAGS, AND BAG DISPENSER | 4 |
Emmanuel Lafond | US | Tewksbury | 2013-01-17 / 20130016338 - SCANNER WITH PHASE AND PITCH ADJUSTMENT | 2 |
Widemonde Lafond | US | Framingham | 2014-09-11 / 20140250559 - Hair Treatment Accessory | 1 |
Alain Lafond | FR | Saint Herblain | 2015-07-16 / 20150196898 - CHALCOGENIDE GLASS-CERAMICS WITH PHOTOELECTRIC PROPERTIES AND METHOD FOR THE MANUFACTURE THEREOF | 1 |
Gregory Lafond | US | Boylston | 2014-04-17 / 20140106126 - ABRASIVE PARTICLES HAVING PARTICULAR SHAPES AND METHODS OF FORMING SUCH PARTICLES | 1 |
Guy P. Lafond | US | Portsmouth | 2015-08-13 / 20150227358 - UTILITY METER INTELLIGENT FIRMWARE UPDATE SYSTEM AND METHOD | 2 |
Michael Lafond | US | Plainville | 2012-07-05 / 20120168406 - SYSTEM AND APPARATUS FOR CIRCUIT PROTECTION WITHIN AN EQUIPMENT ENCLOSURE | 1 |
John Raymond Lafond | US | Norfolk | 2015-04-30 / 20150121540 - Software and Inventory Licensing System and Method | 1 |
Antoine Lafont | FR | Paris | 2015-09-17 / 20150258146 - PHARMACEUTICAL COMPOSITION FOR THE TREATMENT OF ORTHOPEDIC PATHOLOGIES | 8 |
Ugo Lafont | NL | Den Haag | 2015-05-07 / 20150125646 - Self-Healing Thermally Conductive Polymer Materials | 1 |
Jean-Bernard Lucien Jules Lafont | FR | Camaret/aigues | 2012-09-13 / 20120230764 - ACCESS CONTROL DEVICE INCLUDING A RETRACTABLE OBSTACLE | 1 |
Karine Lafont | FR | Grasse | 2014-02-20 / 20140052482 - PASSENGER ORIENTED SEATING SYSTEM AND METHOD | 1 |
Patrice Lafont | FR | Mons | 2014-04-03 / 20140091188 - CONNECTION SYSTEM FOR CONNECTING AN ELECTRONIC DEVICE, IN PARTICULAR FOR AN AIRCRAFT, TO A TEST UNIT | 3 |
Jean-Christophe Lafont | FR | Meylan | 2013-08-08 / 20130201771 - Volatile Memory with a Decreased Consumption | 2 |
Patrice Lafont | US | 2013-09-05 / 20130228538 - DEVICE FOR INSERTING, EXTRACTING AND LOCKING AN ELECTRONIC CARD IN A SLOT | 1 | |
Céline Lafont | FR | Saint Symphorien Sur Coise | 2010-12-30 / 20100330656 - Method for the Production of Overproducing Staphylococcus Aureus Strains | 1 |
Sylvaine Lafont | FR | Paris | 2011-10-06 / 20110245486 - METHOD FOR THE PRODUCTION OF 1,4-BENZOTHIEPIN-1,1-DIOXIDE DERIVATIVES | 1 |
Caroline Lafont | FR | Antibes | 2013-02-07 / 20130036092 - Method and System to Maintain Strong Consistency of Distributed Replicated Contents in a Client/Server System | 1 |
Jason Lafont | FR | Voreppe | 2015-10-22 / 20150299979 - BOOM ASSEMBLY FOR CONSTRUCTION MACHINES | 1 |
Charles-Dominique Lafont | FR | Cusset | 2014-03-20 / 20140076327 - METHOD FOR MAKING A RESERVOIR CONTAINING AN ACTIVE SUBSTANCE DIFFUSED THROUGH THE RESERVOIR AND INSTALLATION THEREFOR | 1 |
René Lafont | FR | Paris | 2012-06-14 / 20120149776 - PREPARATION FOR SUN PROTECTION | 1 |
Benjamin Lafont | FR | Toulouse | 2014-01-23 / 20140021310 - WIRING SUPPORT FOR AIRCRAFT | 1 |
Thomas Lafont | FR | Lasalle | 2015-10-15 / 20150295519 - ELECTRICITY GENERATOR | 1 |
Antoine Lafont | FR | Paris | 2015-09-17 / 20150258146 - PHARMACEUTICAL COMPOSITION FOR THE TREATMENT OF ORTHOPEDIC PATHOLOGIES | 8 |
Céline Lafont | FR | Saint Symphorien Sur Coise | 2010-12-30 / 20100330656 - Method for the Production of Overproducing Staphylococcus Aureus Strains | 1 |
Laurent Lafont | FR | Pechbusque | 2012-07-12 / 20120175462 - AIRCRAFT ENGINE MOUNTING STRUCTURE, ASSEMBLY COMPRISING THIS STRUCTURE AND ASSOCIATED AIRCRAFT | 29 |
Isabelle A. Lafont | FR | Grenoble | 2015-05-14 / 20150129339 - SERVICE COMPARTMENT FOR MACHINES | 1 |
Don Lafont | CA | Calgary | 2010-08-19 / 20100208830 - Video Decoder | 1 |
Laurent Lafont | FR | Toulouse | 2011-03-24 / 20110067501 - DEVICE FOR MEASURING A PATTERN OF FORCES AND MOMENTS GENERATED BY AN AIRCRAFT PROPULSION SYSTEM | 1 |
Renaud Lafont | FR | Bourges | 2012-08-02 / 20120192747 - TIME CONTROL DEVICE FOR THE MOVEMENT OF A MICRO-MACHINED AND SAFETY AND ARMING DEVICE COMPRISING SUCH A TIME CONTROL DEVICE | 7 |
Donald Douglas Lafont | CA | Calgary | 2015-10-01 / 20150282061 - SYSTEMS AND METHODS FOR COMMUNICATION ACROSS MULTIPLE COMMUNICATIONS NETWORKS | 2 |
Rene Lafont | FR | Paris | 2015-04-09 / 20150099022 - PHYTOECDYSONES FOR USE IN IMPROVING THE MUSCLE QUALITY OF OBESE AND/OR SARCOPENIC MAMMALS | 4 |
Dan Lafontaine | US | Plymouth | 2014-09-11 / 20140257019 - Methods, Systems, and Devices Relating to a Fail-Safe Pump for a Medical Device | 1 |
Daniel M. Lafontaine | US | Plymouth | 2015-08-27 / 20150238244 - CRYOTREATMENT DEVICES AND METHODS OF FORMING CONDUCTION BLOCKS | 30 |
Charles Y. Lafontaine | US | Berthoud | 2012-10-18 / 20120262021 - COMPACT HIGH POWER ALTERNATOR | 7 |
Eric M. Lafontaine | US | Atlanta | 2013-04-11 / 20130087045 - SYSTEM AND METHOD FOR CONDITIONING AIR FLOW TO A GAS TURBINE | 1 |
Jennifer Lafontaine | US | San Diego | 2015-09-17 / 20150258102 - THERAPEUTIC NANOPARTICLES COMPRISING A THERAPEUTIC AGENT AND METHODS OF MAKING AND USING SAME | 1 |
Patrice Lafontaine | CA | Beloeil | 2012-01-05 / 20120000231 - EXTRUDED EVAPORATOR DRAIN PAN | 1 |
Serge Lafontaine | US | Lincoln | 2016-04-21 / 20160111987 - MINIMIZATION OF TORQUE RIPPLE | 4 |
Grant Bernard Lafontaine | US | Kennebunk | 2011-01-20 / 20110011390 - CONTINUOUS LAMINATION OF POLYMETHYLEMETHACRYLATE (PMMA) FILM IN THE MANUFACTURE OF A FRESNEL LENS | 1 |
Johnny Lafontaine | US | North Troy | 2012-02-02 / 20120024927 - Utility Belt for Carrying Tools | 1 |
Charles Y. Lafontaine | US | Lafayette | 2010-10-07 / 20100253163 - COMPACT HIGH POWER ALTERNATOR | 1 |
Gregg Lafontaine | US | Lebanon | 2010-09-09 / 20100224737 - Adjustable Cable Management Bar And System | 1 |
Semer Lafontaine | GB | Worcester | 2010-07-22 / 20100185706 - REPRESENTATION OF MULTIPLE MARKUP LANGUAGE FILES IN ONE FILE FOR THE PRODUCTION OF NEW MARKUP LANGUAGE FILES | 1 |
Marvin R. Lafontaine | US | Kingston | 2010-07-29 / 20100187447 - NON-CONDENSING THERMOS CHUCK | 6 |
Gregg J. Lafontaine | US | Lebanon | 2015-10-01 / 20150280363 - Cable Assemblies and Associated Systems and Methods | 5 |
Jennifer Anne Lafontaine | US | San Diego | 2010-04-29 / 20100105905 - EP2 Agonists | 1 |
Matthew R. Lafontaine | US | Fort Wayne | 2011-07-14 / 20110169608 - METHOD OF OPERATING AN RFID READER IN AN RFID SYSTEM | 3 |
Marvin Raymond Lafontaine | US | Kingston | 2009-11-05 / 20090273878 - GAS BEARING ELECTROSTATIC CHUCK | 1 |
Bruno M. Lafontaine | US | Pleasanton | 2009-01-08 / 20090011524 - Method for determining suitability of a resist in semiconductor wafer fabrication | 4 |
Ryan Ayroso Lafontaine | US | Seattle | 2013-12-19 / 20130339831 - DYNAMIC REPORTS CONNECTED TO PROJECT DATA | 1 |
Daniel Lafontaine | CA | Sherbrooke | 2015-06-04 / 20150150890 - GUANINE RIBOSWITCH BINDING COMPOUNDS AND THEIR USE AS ANTIBIOTICS | 2 |
Charles Yvon Lafontaine | US | Berthoud | 2013-08-08 / 20130203533 - Compact Torque Converter | 1 |
Grant B. Lafontaine | US | Kennebunk | 2008-09-18 / 20080226872 - Plastic sheet product offering matte appearance and method of preparation | 1 |
Daniel Lafontaine | US | Plymouth | 2014-04-03 / 20140094645 - Methods, Systems, and Devices Relating to a Removable Percutaneous Interface Line | 3 |
Grant B. Lafontaine | US | Lafayette | 2013-11-21 / 20130306127 - NEW SOLAR CONCENTRATION DEVICES | 1 |
Marvin Lafontaine | US | Kingston | 2013-06-27 / 20130160704 - CRUCIBLE SUPPORT STRUCTURE | 1 |
Serge R. Lafontaine | US | Lincoln | 2014-06-19 / 20140172338 - NONLINEAR SYSTEM IDENTIFICATION FOR OPTIMIZATION OF WIRELESS POWER TRANSFER | 3 |
Daniel Lafontaine | CA | Calgary | 2015-01-15 / 20150013567 - Phase Change Ink Pigment Dispersion Process | 1 |
Yanick Lafontaine | CA | Montreal | 2011-10-27 / 20110263534 - PHOSPHONATED RIFAMYCINS AND USES THEREOF FOR THE PREVENTION AND TREATMENT OF BONE AND JOINT INFECTIONS | 2 |
Yanick Lafontaine | CA | St. Laurent | 2011-07-21 / 20110178001 - PHOSPHONATED RIFAMYCINS AND USES THEREOF FOR THE PREVENTION AND TREATMENT OF BONE AND JOINT INFECTIONS | 1 |
Hans Jorg Lafontaine | FR | Sarreguemines | 2009-02-05 / 20090035211 - DEVICE FOR ENERGETICALLY UTILIZING SOLID WASTE | 1 |
Daniel R. Lafontaine | CA | Vaudreuil-Dorion | 2014-01-16 / 20140014467 - AUTOMATED CASE ORDER SEQUENCING METHOD AND SYSTEM | 2 |
Jean Pierre Lafontaine | CA | Ladner | 2011-01-27 / 20110021622 - Stabilized Synthetic Brood Pheromone and Race-Specific Ratios of Components for Manipulating the Behavior and Physiology of Honey Bees | 1 |
Robert Lafontaine | CA | Boucherville | 2014-12-04 / 20140353084 - SWIMMING POOL DESCENDING STRUCTURE WITH OVERMOLDED ANTI-SLIP COATING | 2 |
Anais Lafontaine | FR | Rouen | 2014-07-03 / 20140185768 - METHOD OF MEASURING SCATTERING OF X-RAYS, ITS APPLICATIONS AND IMPLEMENTATION DEVICE | 1 |
Eric Milton Lafontaine | US | Atlanta | 2013-04-25 / 20130098767 - OIL DEGRADATION BYPRODUCTS REMOVAL SYSTEM | 4 |
Bruno M. La Fontaine | US | San Diego | 2015-10-01 / 20150282287 - TRANSPORT SYSTEM FOR AN EXTREME ULTRAVIOLET LIGHT SOURCE | 3 |
Helmut La Fontaine | ES | Marbella | 2015-01-22 / 20150025561 - NEEDLE MODULE AND DEVICE FOR PIERCING THE SKIN | 1 |
Helen La Fontaine | AU | Victoria | 2010-01-28 / 20100017935 - Attached Capelet | 1 |
Rene La Fontaine | DE | Herxheim | 2015-01-22 / 20150025561 - NEEDLE MODULE AND DEVICE FOR PIERCING THE SKIN | 1 |
Semer Geoffrey Thomas La Fontaine | GB | Worcestershire | 2015-03-05 / 20150067479 - Representation of Multiple Markup Language Files that Differ in Structure and Content in One File for the Production of New Markup Language Files | 1 |
Bruno La Fontaine | US | Pleasanton | 2009-05-28 / 20090135390 - LITHOGRAPHIC ALIGNMENT MARKS | 3 |
Gary Lafontant | US | Elmont | 2014-05-08 / 20140127904 - ENHANCED CAPTURE PADS FOR THROUGH SEMICONDUCTOR VIAS | 9 |
Frederic Laforce | CA | Coteau-Du-Lac | 2014-10-02 / 20140291488 - Optical Receiver with Fast Recovery Time | 2 |
Emily Laforce | US | Charlotte | 2016-02-11 / 20160042304 - RISK-BASED EXECUTION FOR PROJECTS | 1 |
Tina Laforce | US | Oklahoma City | 2014-05-29 / 20140144826 - Magnetohydrodynamic Fluid Conditioner | 2 |
Craig Laforce | US | Missouri City | 2013-09-05 / 20130227961 - OXY-COMBUSTION TURBOEXPANDER SYSTEM | 1 |
Craig S. Laforce | US | Missouri City | 2012-07-19 / 20120180988 - MOVING THERMAL BED TO TIME SHIFT LIQUIFACTION AND VAPORIZATION | 1 |
David Lafore | US | Littleton | 2009-12-03 / 20090294524 - High Security Transaction Card System and Method | 1 |
Michael Richard Lafore | US | Freeland | 2009-04-30 / 20090111963 - LYOTROPIC LIQUID CRYSTALS AND VESICLES | 1 |
Curtis Lafore | US | Wales | 2011-07-21 / 20110174128 - PROGRAMMABLE ROLL FORMER AND ANGLE CUTTER | 2 |
Martin Laforest | CA | St.-Jean-Sur-Richelieu | 2015-11-12 / 20150322453 - HERBICIDE-METABOLIZING CYTOCHROME P450 MONOOXYGENASES | 2 |
Timothé Laforest | FR | Sivignon | 2015-11-19 / 20150332081 - Hyperspectral image acquisition system and method | 1 |
Mark Laforest | US | Acton | 2014-02-20 / 20140051984 - ULTRASOUND PROBE WITH INTEGRATED ELECTRONICS | 1 |
Jacob J. Laforest | US | Milan | 2014-12-25 / 20140377475 - CROSSLINKABLE ULTRAVIOLET (UV) CURED COATING OVER FLOCK FIBERS FOR IMPROVED PERFORMANCE | 2 |
Andre Laforest | CA | St-Amable | 2013-11-07 / 20130296548 - SUPERABSORBENT SURFACE-TREATED CARBOXYLATED POLYSACCHARIDES AND PROCESS FOR PRODUCING SAME | 1 |
Luc Laforest | CA | Quebec | 2014-09-18 / 20140272733 - LIQUEFIED FUEL COMBUSTOR WITH INTEGRATED EVAPORATOR DEVICE AND ASSOCIATED METHOD | 1 |
Earl Laforest | CA | Mirabel | 2012-06-21 / 20120151732 - METHOD AND SYSTEM FOR TREATING FLOORBOARDS | 1 |
Luc Laforest | CA | Roberval | 2012-05-03 / 20120107754 - COMBUSTOR CONFIGURATIONS | 3 |
Alexandre Laforest | CA | Laval | 2015-10-29 / 20150307013 - Tank Trailer Operating System | 1 |
Michael F. Laforest | US | Sturbridge | 2008-10-16 / 20080253725 - MULTI-LENGTH FLEXIBLE IMAGE BUNDLE | 1 |
Bradley J. Laforest | US | Yorkville | 2008-12-04 / 20080298977 - Machine retarder | 1 |
Pierre Laforest | FR | Toulouse | 2009-02-12 / 20090039871 - PROCEDURE FOR LOCATION OF MOBILE MAGNETIC TARGETS | 1 |
Omer Laforest | CA | Alma | 2011-09-22 / 20110226884 - CABLE HANDLING SYSTEM | 1 |
André Laforest | CA | Longueuil | 2010-02-18 / 20100042063 - ABSORBENT HYDROPHOBIC BORONATE GALACTOMANNAN COMPLEXES AND PROCESS FOR PRODUCING SAME | 2 |
Mark L. Laforest | US | Granger | 2012-06-21 / 20120153528 - APPARATUS FOR CARBON FIBER PROCESSING AND PITCH DENSIFICATION | 4 |
Mark Laforest | US | Austin | 2016-05-12 / 20160132362 - AUTOMATIC ADMINISTRATION OF UNIX COMMANDS | 3 |
Pierre Laforest | CA | Montreal | 2011-09-08 / 20110215621 - REMOVABLE SEATS SYSTEM | 1 |
André Laforest | CA | Longueuil | 2010-02-18 / 20100042063 - ABSORBENT HYDROPHOBIC BORONATE GALACTOMANNAN COMPLEXES AND PROCESS FOR PRODUCING SAME | 1 |
Mark L. La Forest | US | Granger | 2016-04-14 / 20160102023 - FACILITATING PITCH STABILIZATION IN DENSIFIED CARBON FIBER PREFORMS | 41 |
Jean-Jacques Laforet | FR | Bondoufle | 2011-12-08 / 20110298920 - Removable Storage Cartridge for Harsh Electromagnetic Environment | 1 |
Rosa Laforga Gallo | ES | Zamudio(bizkaia) | 2012-03-15 / 20120063182 - CONVERTER CONTROL SYSTEM | 1 |
Seth Laforge | US | San Francisco | 2015-12-31 / 20150379786 - REMOTELY MONITORING A PLURALITY OF VEHICLES | 1 |
Seth Michael Laforge | US | Seattle | 2010-08-12 / 20100201707 - Digital Mapping System | 3 |
Stephane G. Laforge | FR | Neuilly-Sur-Seine | 2013-02-07 / 20130032506 - MACHINERY PACKAGING SYSTEM | 1 |
Perry Michael Laforge | US | San Clemente | 2015-10-01 / 20150277686 - Systems and Methods for the Real-Time Modification of Videos and Images Within a Social Network Format | 1 |
Andrew Michael Laforge | US | San Clemente | 2015-10-01 / 20150277686 - Systems and Methods for the Real-Time Modification of Videos and Images Within a Social Network Format | 1 |
Seth Michael Laforge | US | Mountain View | 2012-03-29 / 20120075337 - Digital Mapping System | 2 |
Joshua Michael Laforge | CA | Edmonton | 2014-08-07 / 20140220340 - Branched Nanowires and Method of Fabrication | 1 |
Andrew Laforge | US | Poway | 2016-01-07 / 20160007434 - EXTREME ULTRAVIOLET LIGHT SOURCE | 1 |
Jeffrey W. Laforge | US | Oakdale | 2014-09-11 / 20140251889 - DEPTH COALESCING FILTER WITH BARRIER MEDIA PATCH | 1 |
Seth Laforge | US | Mountain View | 2011-10-27 / 20110264318 - REMOTE MONITORING OF A PLURALITY OF VEHICLES | 1 |
Stephan G. Laforge | FR | Seine | 2012-05-03 / 20120103864 - INTEGRATED PACKING AND SHIPPING MATERIALS WITHIN SERVERS AND DATA STORAGE MACHINES | 1 |
D'Arcy J. Laforge | US | San Jose | 2016-03-31 / 20160092862 - SYSTEM FOR CONDUCTING TRANSACTIONS INDEPENDENT OF POINT OF SALE SYSTEM | 1 |
Lori A. Laforge | US | Ellington | 2016-03-03 / 20160063634 - SYSTEMS AND METHODS FOR DISPLAY AND INTERACTION ON A GRAPHICAL USER INTERFACE | 3 |
Benjamin Laforge | FR | Grenoble | 2008-12-18 / 20080311477 - Process for Manufacturing a Lithiated Electrode, Lithiated Electrode That Can Be Obtained by This Process, and Its Uses | 1 |
Jeffrey W. Laforge | US | Modesto | 2015-07-09 / 20150190742 - KEYED SPIN-ON FILTER ELEMENT | 1 |
Stuart Park Laforge | US | Reno | 2009-12-03 / 20090294576 - Method and apparatus for a mobile aerial sustained solar power-plant | 1 |
Mireille Laforge | FR | Maisons Alfort | 2015-09-03 / 20150246091 - COMPOUNDS AND METHODS FOR PREVENTING OR TREATING A VIRAL INFECTION | 3 |
Joseph Roy Laforge | CA | St. Thomas | 2013-02-28 / 20130051037 - LIGHT STANDARD BARRIER DEVICE | 2 |
Domenico Laforgia | IT | Torino | 2011-10-20 / 20110255440 - Measurement of Data Loss in a Communication Network | 1 |
Philip J. Lafornara | US | Kirkland | 2009-12-10 / 20090307612 - INITIATING A SUPPORT CHAT SESSION IN RESPONSE TO THE OCCURRENCE OF A SUPPORT EVENT WITH TRANSMISSION OF DETAILED EVENT INFORMATION | 2 |
Jürgen Laforsch | DE | Buhl | 2015-04-30 / 20150120013 - METHOD FOR REPRODUCING THE SEQUENCE OF A PROGRAM IN AN AUTOMATION DEVICE | 2 |
Juergen Laforsch | DE | Bugl | 2014-02-27 / 20140058533 - Method for Operating a Redundant Automation System | 1 |
Jürgen Laforsch | DE | Buehl | 2015-06-04 / 20150154130 - METHOD FOR OPERATING AN AUTOMATION DEVICE | 2 |
Oliver Laforsch | DE | Stuttgart | 2016-04-07 / 20160097388 - PUMP UNIT | 4 |
Jürgen Laforsch | DE | Karlsruhe | 2013-11-28 / 20130318041 - Method for Operating a Redundant Automation System | 3 |
Jürgen Laforsch | DE | Karlsruhe | 2013-11-28 / 20130318041 - Method for Operating a Redundant Automation System | 2 |
Adrianus M. Lafort | NL | Delft | 2011-12-22 / 20110311069 - RECEIVER ASSEMBLY FOR AN INFLATABLE EAR DEVICE | 2 |
Adrianus Maria Lafort | NL | Delft | 2016-05-12 / 20160134978 - Transducer, A Hearing Aid Comprising The Transducer And A Method Of Operating The Transducer | 10 |
Adrianus Maria Lafort | NL | Delft | 2016-05-12 / 20160134978 - Transducer, A Hearing Aid Comprising The Transducer And A Method Of Operating The Transducer | 10 |
Guillaume Laforte | CA | Brossard | 2013-01-24 / 20130021445 - Camera Projection Meshes | 2 |
Christian Laforte | CA | Montreal | 2013-01-24 / 20130021445 - Camera Projection Meshes | 2 |
Stephane Laforte | CA | Granby | 2009-03-19 / 20090075402 - Manipulation of focused heating source based on in situ optical measurements | 1 |
Kai N. Lafortune | US | Livermore | 2010-04-22 / 20100097602 - DICHROIC BEAMSPLITTER FOR HIGH ENERGY LASER DIAGNOSTICS | 1 |
Mario Lafortune | US | Beaverton | 2014-12-04 / 20140357392 - Dynamic Sampling in Sports Equipment | 1 |
Mario A. Lafortune | US | Tigard | 2016-04-21 / 20160106181 - Article Of Footwear Incorporating A Sole Structure With Elements Having Different Compressibilities | 19 |
Eric Lafortune | CA | Terrebonne | 2012-08-02 / 20120192751 - Reduced Energy Training Cartridge for Straight Blow Back Operated Firearms | 1 |
Mario A. Lafortune | US | Tigard | 2016-04-21 / 20160106181 - Article Of Footwear Incorporating A Sole Structure With Elements Having Different Compressibilities | 19 |
James A. Lafortune | US | Elkhart | 2009-06-11 / 20090146418 - CONNECTION WITH TAIL PIECE FOR A PRESS-FITTING | 1 |
Mario Angelbert Lafortune | US | Tigard | 2011-06-23 / 20110146104 - Article Of Footwear With A Removable Foot-Supporting Insert | 2 |
James Lafortune | US | Elkhart | 2015-08-27 / 20150240980 - CLAM SHELL PUSH-TO-CONNECT ASSEMBLY | 3 |
Laurent Lafosse | FR | Annecy-Le-Vieux | 2014-04-24 / 20140114317 - ARTHROSCOPIC BONE TRANSPLANTING PROCEDURE, AND MEDICAL INSTRUMENTS USEFUL THEREIN | 8 |
Dennis Lafosse | US | Boston | 2011-12-22 / 20110313569 - Transferring Storage Devices within Storage Device Testing Systems | 1 |
Frederic Lafosse | FR | Reims | 2012-01-19 / 20120015012 - COSMETIC COMPOSITION CONTAINING KETOGLUCONIC ACID DERIVATIVES | 1 |
Laurent Lafosse | FR | Servrier | 2009-09-03 / 20090222010 - Instrument for use in a joint replacement procedure | 1 |
Frédérique Lafosse | FR | Reims | 2015-06-04 / 20150150251 - BIOSOLUBILIZER | 1 |
Laurent Lafosse | FR | Sevrier | 2016-03-03 / 20160058456 - ARTHROSCOPIC BONE TRANSPLANTING PROCEDURE, AND MEDICAL INSTRUMENTS USEFUL THEREIN | 4 |
Andrew Joseph Lafosse | US | Gardner | 2013-09-05 / 20130229074 - Rotor Assembly Having Ball Nut Cartridge | 1 |
Xavier Gerard Lafosse | FR | Gif-Sur-Yvette | 2010-02-04 / 20100028532 - LIGHT POLARIZING PRODUCTS AND METHOD OF MAKING SAME | 1 |
Alain Lafosse | FR | Louversey | 2013-01-10 / 20130008924 - FLUID DISPENSER | 1 |
Mark Lafosse | US | San Diego | 2016-04-21 / 20160109273 - Powder Dosing System | 1 |
Laurent Lafosse | FR | Annecy-Le-Vieux | 2014-04-24 / 20140114317 - ARTHROSCOPIC BONE TRANSPLANTING PROCEDURE, AND MEDICAL INSTRUMENTS USEFUL THEREIN | 8 |
Laurence Lafosse | FR | Carrieres Sous Poissy | 2010-03-11 / 20100059450 - METHOD FOR PROCESSING FATTY WASTES | 1 |
Dennis R. Lafosse | US | Littleton | 2009-09-03 / 20090218480 - METHOD OF AND SYSTEM FOR CALIBRATION OF INSPECTION SYSTEMS PRODUCING X-RAY IMAGES | 1 |
Lisa Yvette Lafosse | US | Crowley | 2010-02-25 / 20100044058 - NON-COLLAPSIBLE FOAM EXTENSION HOSE | 1 |
Isabella La Fosse-Marin | US | Cincinnati | 2015-10-01 / 20150272826 - Blister Cards Promoting Intuitive Dosing | 1 |
Robert L. Lafountain | US | Charlestown | 2014-03-06 / 20140062579 - APPARATUS FOR FLUID CONTROL DEVICE MONITORING | 6 |
Robert Lynn Lafountain | US | Charlestown | 2015-02-19 / 20150048910 - DOUBLE POLE-DOUBLE THROW PROXIMITY SWITCH | 9 |
Robert Lynn Lafountain | US | Charlestown | 2015-02-19 / 20150048910 - DOUBLE POLE-DOUBLE THROW PROXIMITY SWITCH | 9 |
Samuel John Lafountain | US | Williamsburg | 2011-09-08 / 20110216868 - IRRADIATION TARGET POSITIONING DEVICES AND METHODS OF USING THE SAME | 1 |
Justin S. Lafountaine | US | Chicago | 2014-06-19 / 20140171481 - SOLID COMPOSITIONS | 4 |
Jean-Yves Lafourcade | MX | Tecamachalco | 2009-01-01 / 20090004324 - DEVICE FOR INJECTION MOLDING A TUBULAR ELEMENT FROM SYNTHETIC MATERIAL | 1 |
Benjamin Lafoux | FR | Saint Gervais Les Bains | 2013-09-19 / 20130239325 - SLEEPING BAG ABLE TO RECEIVE A MATTRESS, AND SLEEPING KIT INCLUDING A SLEEPING BAG AND A MATTRESS | 1 |
Benjamin Lafoux | FR | Saint Gervais | 2011-05-19 / 20110113550 - SLEEPING BAG DESIGNED TO BE FASTENED TO A MATTRESS | 1 |
Antoine Lafoux | FR | Magland | 2011-08-18 / 20110198377 - BACKPACK WITH SUSPENSION | 2 |
Abderrahim Lafram | FR | Massy | 2013-06-06 / 20130144535 - METHOD FOR DETERMINING TIME CORRECTION FOR A DETECTOR PLACED ON THE SEABED | 1 |
William A. Laframboise | US | Cheswick | 2014-11-20 / 20140342923 - BIOMARKER TEST FOR ACUTE CORONARY SYNDROME | 1 |
Greg Laframboise | US | Richmond | 2014-04-24 / 20140111136 - Pervasive Power Generation System | 1 |
Gregg R. Laframboise | CA | Windsor | 2012-07-19 / 20120181839 - VEHICLE SEAT BACK REST STRUCTURE | 2 |
Gregory William Laframboise | US | Concord | 2014-12-25 / 20140373603 - Reducing False Alarms with Multi-modal Sensing for Pipeline Blockage | 1 |
Gregg Laframboise | CA | Windsor, Ontario | 2011-10-27 / 20110260508 - Vehicular Trim Applications Using Film Adhesive In Lieu Of Stitching | 1 |
Gregg Ronald Laframboise | CA | Windsor | 2011-09-29 / 20110233951 - VEHICLE SEATING SYSTEM AND CABIN | 3 |
Gregg Laframboise | CA | Windsor | 2011-02-17 / 20110037243 - VEHICULAR TRIM APPLICATIONS USING FILM ADHESIVE IN LIEU OF STITCHING | 2 |
Norman Lafrance | US | Cambridge | 2011-02-03 / 20110028775 - THERAPEUTIC INFUSION AND TRANSFER SYSTEM FOR USE WITH RADIOACTIVE AGENTS | 1 |
Linda P. Lafrance | US | Pittsford | 2010-12-23 / 20100322660 - METHOD AND APPARATUS FOR SAVING POWER IN AN IMAGE PRODUCTION DEVICE | 1 |
Daniel Lafrance | US | Worcester | 2013-09-19 / 20130239519 - EASILY REMOVABLE SELVAGE DEVICE | 1 |
Hugues Lafrance | US | Mission Viejo | 2014-08-07 / 20140222141 - PROSTHETIC MITRAL VALVE | 2 |
Timothy F. Lafrance | US | Newport Beach | 2012-06-28 / 20120159831 - MACHINE GUN ACCESSORY MOUNT | 2 |
Leon Lafrance | US | Bolton | 2013-08-29 / 20130227510 - DATABASE BASED TIMING VARIATION ANALYSIS | 1 |
Jennifer L. Lafrance | US | Biddeford | 2010-10-07 / 20100254926 - Propylene Glycol/Glycerin-Based Deodorant | 2 |
Marc Lafrance | CH | Basel | 2014-10-30 / 20140322247 - CELL PROLIFERATION INHIBITORS AND CONJUGATES THEREOF | 1 |
Ryan Marc Lafrance | US | Marietta | 2013-08-08 / 20130201839 - SEGMENT SIZE DETERMINATION | 14 |
Ryan Marc Lafrance | US | Atlanta | 2013-04-11 / 20130088353 - Systems and Methods for Detecting Tampering Associated with a Utility Meter | 5 |
Ryan Marc Lafrance | US | Marietta | 2013-08-08 / 20130201839 - SEGMENT SIZE DETERMINATION | 14 |
Joseph F. Lafrance | US | Holley | 2013-08-22 / 20130217454 - EDUCATIONAL MATCHING CARD GAME | 1 |
Diane M. Lafrance | US | Holley | 2013-08-22 / 20130217454 - EDUCATIONAL MATCHING CARD GAME | 1 |
Louis Lafrance | US | Collegeville | 2014-11-27 / 20140350013 - INDAZOLES | 5 |
Jacques Lafrance | CA | St-Hyacinthe | 2014-05-08 / 20140130177 - SYSTEM AND METHOD FOR CREATING A CERTIFIED ELECTRONIC RECORD | 1 |
Tania M. Lafrance | US | Lago Vista | 2010-06-17 / 20100152341 - COMPACTED PELLETIZED ADDITIVE BLENDS FOR POLYMERS | 1 |
David E. La France | US | Santa Clara | 2008-08-28 / 20080208926 - Data management in a data storage system using data sets | 1 |
Timothy F. La France | US | Newport Beach | 2011-07-21 / 20110173866 - RAIL CLAMP MOUNT | 2 |
Robert La France | US | Colorado Springs | 2010-01-07 / 20100004803 - Smart recovery system | 1 |
Louis V. Lafrance, Iii | US | Collegeville | 2016-04-14 / 20160102083 - ENHANCER OF ZESTE HOMOLOG 2 INHIBITORS | 1 |
Saverio Lafrancesca | US | Houston | 2014-10-16 / 20140308252 - Organs for Transplantation | 1 |
Richard W. Lafranchise | US | Sturbridge | 2011-03-03 / 20110047777 - ABRASIVE FINISH MASK AND METHOD OF POLISHING A COMPONENT | 1 |
Jeffrey R. Lafranchise | US | Newburyport | 2010-02-25 / 20100045269 - METHODS AND APPARATUS TO DETECT LOCATION AND ORIENTATION IN AN INDUCTIVE SYSTEM | 1 |
Christopher J. Lafrancois | US | Bartlesville | 2014-07-24 / 20140205536 - SOAK AND COKE | 9 |
Andrew L. Lafrate | US | Boulder | 2015-05-07 / 20150125372 - COMPOSITIONS AND METHODS FOR GAS CAPTURE PROCESSES | 3 |
Christopher N. Lafratta | US | Brighton | 2014-07-10 / 20140191438 - Microfluidic Devices and Methods of Fabrication | 1 |
Patrick A. La Fratta | US | Boise | 2016-03-03 / 20160064045 - APPARATUSES AND METHODS FOR STORING A DATA VALUE IN MULTIPLE COLUMNS | 1 |
Sebastien Lafreniere | CA | Carignan | 2014-08-21 / 20140233246 - PIVOTING THERMAL TRANSFER JOINT | 1 |
Gary W. Lafreniere | US | Tucson | 2016-03-03 / 20160066043 - System and Method for Set-Top Box Base Station Integration | 3 |
Denis Lafreniere | CA | Winnipeg | 2011-04-14 / 20110084848 - Illuminated Level | 1 |
Julie Lafreniere | CA | Saint-Bruno | 2009-01-08 / 20090012240 - Process for the preparation of amphiphilic poly(N-vinyl-2-pyrrolidone) block copolymers | 1 |
Eric Lafreniere | CA | Saint-Nicephore | 2012-07-12 / 20120178562 - Vehicle Powertrain Having a Hydraulic Continuously Variable Transmission | 2 |
Sandi Lafreniere | US | Rock Hill | 2008-08-28 / 20080203802 - LOUNGE CHAIR WITH ADJUSTABLE ARM RESTS | 1 |
Nora E. Lafreniere | US | Glastonbury | 2008-09-18 / 20080223667 - Elevator System Control Responsive to Hoistway Access Detection | 1 |
Louis Lafreniere | US | Seattle | 2016-03-31 / 20160092174 - EXECUTION GUARDS IN DYNAMIC PROGRAMMING | 12 |
Randy A. Lafreniere | US | Panora | 2010-11-11 / 20100283020 - CORDLESS HOIST | 1 |
Gary W. Lafreniere | US | Olathe | 2014-05-08 / 20140130093 - METHOD AND SYSTEM FOR PROVIDING VIEWING NOTIFICATIONS FOR A SET-TOP BOX | 31 |
Louis Lafreniere | US | Seattle | 2016-03-31 / 20160092174 - EXECUTION GUARDS IN DYNAMIC PROGRAMMING | 12 |
Gary Lafreniere | US | Olathe | 2011-07-14 / 20110173668 - SYSTEM AND METHOD FOR CONTROLLING HOME DEVICES VIA A SET TOP BOX | 4 |
Donald R.t. Lafreniere | US | Sarasota | 2012-05-03 / 20120102833 - Fluid Nutrient Delivery System and Associated Methods | 4 |
Debra Lafreniere | US | Dighton | 2011-02-10 / 20110033551 - NUCLEIC ACID MICROSPHERES, PRODUCTION AND DELIVERY THEREOF | 2 |
Douglas Kent Lafreniere | US | Simi Valley | 2011-03-10 / 20110057838 - SYSTEMS AND METHODS FOR ALIGNMENT WITH A REMOTE SOURCE | 1 |
Sandi Lafreniere | US | Rocky Hill | 2011-02-24 / 20110043023 - LOUNGE CHAIR WITH ADJUSTABLE ARM RESTS | 1 |
Gary Lafreniere | US | Tucson | 2016-01-28 / 20160029062 - System and Method for Set-Top Box Recording | 1 |
Benjamin Lafreniere | CA | Kitchener | 2015-06-11 / 20150160836 - EXTRACTING DEMONSTRATIONS FROM IN-SITU VIDEO CONTENT | 2 |
Ronald G. Lafreniére | CA | Verdun | 2010-04-15 / 20100092990 - NUCLEIC ACIDS ENCODING SODIUM CHANNEL SCN1A ALPHA SUBUNIT PROTEINS AND MUTATIONS ASSOCIATED WITH EPILEPSY | 1 |
Ronald G. Lafreniére | CA | Verdun | 2010-04-15 / 20100092990 - NUCLEIC ACIDS ENCODING SODIUM CHANNEL SCN1A ALPHA SUBUNIT PROTEINS AND MUTATIONS ASSOCIATED WITH EPILEPSY | 2 |
Benjamin R. Lafrentz | US | Auburn | 2008-12-25 / 20080317781 - Vaccines for diseases of fish | 1 |
Torsten Lafrenz | DE | Hamburg | 2010-05-13 / 20100116775 - MULTI-COLOR PRINTED AND EMBOSSED LID FOR CREAM JARS AND METHOD FOR PRODUCING SUCH LIDS | 1 |
Christopher J. Lafrenz | US | Shelby Twp. | 2013-04-11 / 20130087980 - MULTILAYERGASKET WITH SEGMENTED INTEGRAL STOPPER FEATURE | 1 |
Cliff Lafrenz | US | Donahue | 2015-06-04 / 20150152667 - PRESSURE VESSEL SAFETY LOCK APPARATUS | 2 |
Christopher John Lafrenz | US | Shelby Twp | 2014-12-25 / 20140374945 - GASKET ASSEMBLY WITH IMPROVED LOCATING AND RETENTION PIN AND METHOD OF CONSTRUCTION THEREOF | 2 |
Christopher Lafrenz | US | Shelby Twp | 2012-09-06 / 20120223486 - CYLINDER HEAD GASKET | 1 |
Shawn D. Lafrenz | US | Murfreesboro | 2015-05-14 / 20150128787 - SUPPORT SYSTEM AND METHOD FOR A PERCUSSION INSTRUMENT | 1 |
Chris Lafrenz | US | Shelby Twp | 2013-11-21 / 20130307224 - GASKET WITH A COMPRESSION LIMITER | 1 |
Lee C. Lafrese | US | Tucson | 2016-02-25 / 20160055092 - ADAPTIVE RECORD CACHING FOR SOLID STATE DISKS | 9 |
Lee Charles Lafrese | US | Tucson | 2010-08-05 / 20100199050 - PROACTIVE TECHNIQUE FOR REDUCING OCCURRENCE OF LONG WRITE SERVICE TIME FOR A STORAGE DEVICE WITH A WRITE CACHE | 5 |
Lee C. Lafrese | US | Tucson | 2016-02-25 / 20160055092 - ADAPTIVE RECORD CACHING FOR SOLID STATE DISKS | 9 |
Lee Charles La Frese | US | Tucson | 2010-02-04 / 20100030956 - APPARATUS AND METHOD TO STORE ORIGINAL POINT-IN-TIME DATA | 3 |
Taylor Lafrinere | US | Cary | 2014-09-18 / 20140279903 - VERSION CONTROL SYSTEM USING COMMIT MANIFEST DATABASE TABLES | 1 |
Gauthier Lafruit | BE | St. Lambrechts Woluwe | 2013-11-21 / 20130308860 - Feature Detection in Numeric Data | 1 |
Ioannis Laftsidis | DE | Wuppertal | 2014-06-19 / 20140169002 - LAMP REFLECTOR | 2 |
Romain Lafuente | FR | Frouzins | 2014-01-23 / 20140025870 - COMPUTER REPROGRAMMING METHOD, DATA STORAGE MEDIUM AND MOTOR VEHICLE COMPUTER | 2 |
Javiar Lafuente | ES | Madrid | 2009-12-31 / 20090327713 - SYSTEM AND METHOD FOR ESTABLISHING BEARER-INDEPENDENT AND SECURE CONNECTIONS | 1 |
Mirentxu Santos Lafuente | ES | Madrid | 2011-06-23 / 20110152113 - GENOMIC FINGERPRINT OF BREAST CANCER | 1 |
Marta Lafuente | US | Houston | 2014-07-31 / 20140209394 - SHALE DRILL PIPE | 1 |
Maria G. La Fuente | US | Fullerton | 2010-11-11 / 20100284630 - MULTIPLE POCKET RECLOSABLE DISPOSABLE PLASTIC BAGS AND METHODS FOR MAKING THEM | 1 |
Brian H. La Fuente | US | Fullerton | 2010-11-11 / 20100284630 - MULTIPLE POCKET RECLOSABLE DISPOSABLE PLASTIC BAGS AND METHODS FOR MAKING THEM | 1 |
Luis Maria Lafuente Alvarez | ES | Madrid | 2016-01-07 / 20160004751 - OPTIMIZED QUERY EXECUTION IN A DISTRIBUTED DATA STREAM PROCESSING ENVIRONMENT | 9 |
Luis Maria Lafuente Alvarez | ES | Madrid | 2016-01-07 / 20160004751 - OPTIMIZED QUERY EXECUTION IN A DISTRIBUTED DATA STREAM PROCESSING ENVIRONMENT | 9 |
Celia Lafuente Blanco | ES | Madrid | 2011-05-19 / 20110118251 - SPIROPIPERIDINE COMPOUNDS AS ORL-1 RECEPTOR ANTAGONISTS | 1 |
Celia Lafuente Blanco | ES | Alcobendas | 2012-08-23 / 20120214784 - SPIROPIPERIDINE COMPOUNDS AS ORL-1 RECEPTOR ANTAGONISTS | 1 |
Oscar Lafuente Cerda | DE | Mannheim | 2012-06-21 / 20120157316 - ACTIVES-COMPRISING POLYMER NETWORKS, PROCESSES FOR PRODUCING THEM, AND THEIR USE | 2 |
Amalia Lafuente Flo | ES | Barcelona | 2015-12-10 / 20150354005 - METHOD FOR PREDICTING THE ONSET OF EXTRAPYRAMIDAL SYMPTOMS (EPS) INDUCED BY AN ANTIPSYCHOTIC-BASED TREATMENT | 1 |
Raquel Lafuente Serra | IT | Mira | 2014-05-08 / 20140123401 - Composition | 1 |
Aurélie Lafuma | FR | Paris | 2014-11-20 / 20140341981 - EMULSION STABILIZATION VIA SILICILIC ACID COMPLEXATION | 5 |
Aurélie Lafuma | FR | Paris | 2014-11-20 / 20140341981 - EMULSION STABILIZATION VIA SILICILIC ACID COMPLEXATION | 5 |
Aurélie Lafuma | FR | Paris | 2010-07-15 / 20100175202 - COMPOSITION COMPRISING AT LEAST ONE FATTY SUBSTANCE AND AT LEAST ONE SILICATE, DYEING OR LIGHTENING PROCESS USING IT AND DEVICES OR KITS THEREFOR | 1 |
Aurélie Lafuma | FR | Paris | 2010-07-15 / 20100175202 - COMPOSITION COMPRISING AT LEAST ONE FATTY SUBSTANCE AND AT LEAST ONE SILICATE, DYEING OR LIGHTENING PROCESS USING IT AND DEVICES OR KITS THEREFOR | 1 |
Bradley A. Lafuse | US | Willowick | 2015-11-12 / 20150323914 - Method and Apparatus to Track Changes in an Industrial Controller | 2 |
David S. Lafyatis | US | Schaumburg | 2014-04-10 / 20140100403 - LOW PRESSURE TRANSALKYLATION PROCESS | 2 |
Robert Alan Lafyatis | US | Arlington | 2016-05-05 / 20160120865 - TOPICAL TREATMENT OF LOCALIZED SCLERODERMA | 1 |
Robert A. Lafyatis | US | Arlington | 2011-08-04 / 20110189682 - TOOLS FOR OBJECTIVELY DETERMINING SEVERITY OF SYSTEMIC SCLEROSIS | 1 |
Orjan Lag | NO | Oslo | 2012-12-20 / 20120319866 - Wireless Sensor Device And Method For Wirelessly Communicating A Sensed Physical Parameter | 1 |
Glenn Laga | US | Park Ridge | 2008-09-04 / 20080209612 - GARMET SYSTEM AND METHOD OF CHEERING | 1 |
Benjamin Laga | BE | Wingene | 2016-02-11 / 20160040180 - BRASSICA PLANTS COMPRISING MUTANT DA1 ALLELES | 10 |
Nassim Laga | FR | Caen | 2010-04-01 / 20100082769 - METHOD AND A SYSTEM FOR COMMUNICATION BETWEEN SEPARATE WEB APPLICATIONS | 1 |
Nassim Laga | FR | Choisy Le Roi | 2015-12-24 / 20150373081 - METHOD OF SHARING BROWSING ON A WEB PAGE DISPLAYED BY A WEB BROWSER | 1 |
William L. Laga | US | Fenton | 2013-05-23 / 20130125533 - SAMPLING TUBE FOR IMPROVED EXHAUST GAS FLOW TO EXHAUST SENSOR | 2 |
Benjamin Laga | BE | Wingene | 2016-02-11 / 20160040180 - BRASSICA PLANTS COMPRISING MUTANT DA1 ALLELES | 10 |
Gregoire Lagabe | FR | Paris | 2015-10-22 / 20150301113 - VEHICLE BATTERY MONITORING SYSTEM | 2 |
Chad E. Lagace | US | Cohoes | 2015-12-24 / 20150369645 - METERING MATERIAL DISPENSER | 9 |
Robert Lagace | US | Oakland | 2015-11-12 / 20150322522 - NOVEL HUMAN SINGLE NUCLEOTIDE POLYMORPHISMS | 7 |
Jean-Francois Lagace | CA | Quebec | 2011-06-23 / 20110153343 - ADAPTABLE MEDICAL WORKFLOW SYSTEM | 1 |
Chad Lagace | US | Choes | 2012-01-05 / 20120000929 - PACKAGING FOR POWDERED BEVERAGE AND DISPENSER THEREFOR | 1 |
Chad Lagace | US | Cohoes | 2014-04-17 / 20140103067 - STAND-UP CAULK DISPENSER | 2 |
Michael Lagace | US | Higganum | 2014-11-20 / 20140339411 - OPTICAL COUPLERS USED IN A DOWNHOLE SPLITTER ASSEMBLY | 1 |
Denis Lagace | CA | Saint-Germain-De-Grantham | 2014-06-12 / 20140159333 - SUSPENSION ELEMENT HAVING A HYDRAULIC STRUT CONNECTED TO A PRESSURE ACCUMULATOR AND INDEPENDENT SUSPENSION USING THE SAME | 1 |
Remi Lagace | CA | Drummondville | 2015-04-23 / 20150112554 - METHOD AND SYSTEM FOR CONTROLLING AN INCLINATION OF A BOOM CARRIED BY A VEHICLE | 1 |
Sebastien Lagace | CA | Sherbrooke | 2016-03-17 / 20160074683 - RESPIRATOR MASK FOR CBRN OR OTHER PROTECTION | 1 |
Lisette Lagace | CA | Lorraine | 2009-12-24 / 20090317830 - HEPATITIS C VIRUS NS2/3 ASSAY | 1 |
Arthur P. Lagace | US | Newtonville | 2010-07-01 / 20100163192 - COMPOSITIONS AND COMPOSITES OF CELLULOSIC AND LIGNOCELLULOSIC MATERIALS AND RESINS, AND METHODS OF MAKING THE SAME | 2 |
Marin Lagace | CA | Saint-Bruno-De-Montarville | 2010-11-11 / 20100285388 - CATALYST-COATED PROTON EXCHANGE MEMBRANE AND PROCESS OF PRODUCING SAME | 1 |
Chad E. Lagace | US | Cohoes | 2015-12-24 / 20150369645 - METERING MATERIAL DISPENSER | 9 |
Sylvain Lagace | CA | Laval | 2009-01-29 / 20090028379 - METHOD AND SYSTEM FOR IDENTIFICATION OF FIREARMS | 1 |
Danielle Lagace | US | Shawnee | 2013-03-14 / 20130063696 - EYEWEAR WITH EXTENDABLE PROTECTIVE ELEMENT | 2 |
Sylvie Lagache | FR | Marcq En Baroeul | 2015-10-08 / 20150282499 - JELLY CONFECTION AND METHOD FOR PRODUCING SUCH A CONFECTIONERY PRODUCT | 4 |
Aurelien Lagache | FR | Issy Les Moulineaux | 2015-05-21 / 20150142396 - Method and Apparatus for Design of Pipeline Components | 1 |
Sreedhar Lagadapati | US | San Ramon | 2016-03-03 / 20160065526 - CONVEY MESSAGE ANONYMOUSLY AND DISCREETLY | 1 |
Pierre Lagadec | GB | Middlesbrough | 2015-12-31 / 20150374290 - METHOD AND APPARATUS FOR MEASURING EXPENDED ENERGY | 2 |
Roger Lagadec | CH | Regensdorf | 2015-05-07 / 20150127487 - Method for Transmitting an Anonymous Request from a Consumer to a Content or Service Provider Through a Telecommunication Network | 10 |
Veronique Lagadec | CH | Grandson | 2009-01-01 / 20090004344 - Spreadable Dairy Product | 1 |
Owen Nicolas Marie Lagadec | FR | Anglet | 2011-08-04 / 20110190914 - METHOD FOR MANAGING DIGITAL AUDIO FLOWS | 2 |
Roger Lagadec | CH | Regensdorf | 2015-05-07 / 20150127487 - Method for Transmitting an Anonymous Request from a Consumer to a Content or Service Provider Through a Telecommunication Network | 10 |
Kristen Lagadec | FR | Centres | 2015-03-26 / 20150088453 - DEVICE AND METHOD FOR DETERMINING THE ATTITUDE OF A SATELLITE, AND SATELLITE CARRYING SUCH A DEVICE | 4 |
Clarisse Lagadeuc | FR | Pleneuf Val Andre | 2016-01-07 / 20160000594 - ERGONOMIC PROTECTIVE SHELL DEVICE OF THE PERINEAL ORTHOSIS TYPE | 1 |
Nicholas J. Lagadinos | US | Billerica | 2009-11-12 / 20090278929 - Video camera with interchangable optical sensors | 1 |
Chris J. Lagadinos | US | Canton | 2011-07-14 / 20110169507 - METHODS AND APPARATUS FOR THE DETERMINATION OF MOISTURE CONTENT | 1 |
Liesbet Lagae | BE | Leuven | 2015-12-10 / 20150355070 - ACTIVE MICRO SIEVE AND METHODS FOR BIOLOGICAL APPLICATIONS | 18 |
Lieven Lagae | BE | Edgem | 2016-05-19 / 20160136114 - METHOD FOR THE TREATMENT OF DRAVET SYNDROME | 1 |
Liesbet Lagae | BE | Herent | 2013-02-21 / 20130043132 - MANIPULATION OF MAGNETIC OR MAGNETIZABLE OBJECTS USING COMBINED MAGNETOPHORESIS AND DIELECTROPHORESIS | 5 |
Lieven Lagae | BE | Edegem | 2014-11-20 / 20140343162 - METHOD FOR THE TREATMENT OF DRAVET SYNDROME | 4 |
Liesbet Lagae | BE | Leuven | 2015-12-10 / 20150355070 - ACTIVE MICRO SIEVE AND METHODS FOR BIOLOGICAL APPLICATIONS | 18 |
Chrystelle Lagahe | FR | Crolles | 2013-12-05 / 20130323861 - PROCESS OF TREATING DEFECTS DURING THE BONDING OF WAFERS | 2 |
Christelle Lagahe | FR | Saint Joseph De Riviere | 2010-07-01 / 20100167499 - METHOD FOR MAKING A STRESSED STRUCTURE DESIGNED TO BE DISSOCIATED | 1 |
Chrystelle Lagahe-Blanchard | FR | Saint Joseph De Riviere | 2010-07-15 / 20100176397 - METHOD FOR PRODUCING PARTIAL SOI STRUCTURES COMPRISING ZONES CONNECTING A SUPERFICIAL LAYER AND A SUBSTRATE | 1 |
Chrystelle Lagahe-Blanchard | FR | St. Joseph De Riviere | 2013-03-28 / 20130078785 - METHOD FOR TRIMMING A STRUCTURE OBTAINED BY THE ASSEMBLY OF TWO PLATES | 4 |
Pamela Lagali | CH | Basel | 2016-05-19 / 20160138043 - RETINAL ON BIPOLAR CELLS-SPECIFIC ARTIFICIAL PROMOTER | 3 |
Neil Lagali | CA | Ottawa | 2012-01-12 / 20120008130 - CORRELATION TECHNIQUE FOR ANALYSIS OF CLINICAL CONDITION | 4 |
Pamela Sarita Lagali | CH | Basel | 2015-09-03 / 20150246094 - USE OF LIGHT SENSITIVE GENES | 2 |
Eric Lagally | CA | Vancouver | 2009-07-02 / 20090170718 - High-stringency screening of target-binding partners using a microfludic device | 1 |
Max G. Lagally | US | Madison | 2015-09-24 / 20150270350 - GRAPHENE-ON-SEMICONDUCTOR SUBSTRATES FOR ANALOG ELECTRONICS | 20 |
Christie Dusty Lagally | US | Seattle | 2015-10-15 / 20150294032 - Predictive Shimming for Flexible Surfaces | 3 |
Eric Lagally | US | Santa Barbara | 2010-09-09 / 20100224255 - FLUID CONTROL STRUCTURES IN MICROFLUIDIC DEVICES | 2 |
Max Lagally | US | Madison | 2010-06-03 / 20100132773 - GRAPHITE-BASED PHOTOVOLTAIC CELLS | 1 |
John R. Lagana | US | West Nyack | 2016-03-31 / 20160091685 - DATA CENTER NETWORK | 3 |
Michael Samuel Lagana | US | Belleplain | 2014-01-09 / 20140008186 - SYSTEM AND METHODS FOR CONTENT DISPLAY | 2 |
Christopher Lagana | US | Dublin | 2012-06-07 / 20120137442 - CEILING MOUNTED OVER-BED TRAPEZE APPARATUS | 1 |
Julien Laganier | DE | Munich | 2011-05-05 / 20110105078 - PAGING SIGNAL TRANSMISSION METHOD AND MOBILE STATION FOR THE SAME | 1 |
Laurent Laganier | FR | Vernaison | 2009-01-15 / 20090017095 - COMPOSITION FOR FILLING A BONE DEFECT | 1 |
Julien H. Laganier | US | San Diego | 2012-10-25 / 20120269059 - METHODS AND APPARATUS FOR CONTEMPORANEOUSLY PROVIDING QUALITY OF SERVICE FUNCTIONALITY AND LOCAL IP ACCESS | 8 |
Julien H. Laganier | US | San Diego | 2012-10-25 / 20120269059 - METHODS AND APPARATUS FOR CONTEMPORANEOUSLY PROVIDING QUALITY OF SERVICE FUNCTIONALITY AND LOCAL IP ACCESS | 8 |
Josee Laganiere | US | El Cerrito | 2013-05-30 / 20130137104 - METHODS AND COMPOSITIONS FOR MODIFICATION OF THE HPRT LOCUS | 6 |
Josee Laganiere | CA | Quebec | 2016-02-18 / 20160046683 - METHODS AND COMPOSITIONS FOR GENE CORRECTION | 1 |
Robert Laganiere | CA | Gatineau | 2015-06-04 / 20150154840 - SYSTEM AND METHOD FOR MANAGING VIDEO ANALYTICS RESULTS | 10 |
Pierre Laganiere | CA | St-Augustin-De-Desmaures | 2008-11-20 / 20080283364 - EXTENDABLE CONVEYOR SYSTEM | 1 |
Robert Laganiere | CA | Gatineau | 2015-06-04 / 20150154840 - SYSTEM AND METHOD FOR MANAGING VIDEO ANALYTICS RESULTS | 10 |
Evan D. Laganis | US | West Chester | 2013-12-19 / 20130333756 - BACKSHEET FOR A PHOTOVOLTAIC CELL MODULE AND PHOTOVOLTAIC CELL MODULE INCLUDING SAME | 1 |
Arthur David Laganowsky | US | Los Angeles | 2015-10-29 / 20150307573 - CYLINDRINS AS ETIOLOGIC AGENTS OF AMYLOID DISEASES | 1 |
Arthur Laganowsky | US | 2015-12-03 / 20150346214 - DETECTION OF MEMBRANE PROTEINS | 1 | |
Arthur Laganowsky | GB | Oxford, Oxfordshire | 2015-12-03 / 20150346214 - DETECTION OF MEMBRANE PROTEINS | 1 |
Romain Lagarde | FR | Moissy Cramayel Cedex | 2014-12-18 / 20140369784 - ASSEMBLY FOR A TURBINE ENGINE | 1 |
Marc Lagarde | FR | Lyon | 2012-12-06 / 20120309893 - METHOD FOR PRODUCING A POLYCHLOROPRENE-BASED POLYMER DISPERSION AND SYSTEM FOR PRODUCING A POLYCHLOROPRENE-BASED POLYMER DISPERSION | 1 |
Eric Lagarde | FR | Sallanches | 2016-05-05 / 20160124418 - DETECTION OF THE POSITION OF A WINDING DRUM COUPLED TO A MOTOR VIA A DAMPING FLEXIBLE ELEMENT | 17 |
Patricia Lagarde | FR | Clermont-Ferrand | 2014-11-20 / 20140338811 - TIRE COMPRISING CASING-REINFORCING CABLES HAVING LOW PERMEABILITY, AND FABRIC THREADS COMBINED WITH THE CASING REINFORCEMENT | 1 |
Jérôme Lagarde | FR | Paris | 2016-03-24 / 20160086495 - PILOTING ASSISTANCE SYSTEM OF A PLATFORM, AND ASSOCIATED METHOD | 1 |
Stéphane Lagarde | FR | St Pierre De Mesage | 2009-07-23 / 20090185965 - Method for separating zirconium and hafnium | 1 |
Anouck Lagarde | FR | Floirac | 2013-08-08 / 20130203762 - VETERINARY ANTIPROLACTINIC COMPOSITION FOR RUMINANTS | 2 |
Jean-Luc Lagarde | FR | Malemort | 2013-01-03 / 20130005175 - CONNECTOR FOR CONNECTING TWO ELECTRIC CABLES TOGETHER | 1 |
Eric Lagarde | FR | Sallanches | 2016-05-05 / 20160124418 - DETECTION OF THE POSITION OF A WINDING DRUM COUPLED TO A MOTOR VIA A DAMPING FLEXIBLE ELEMENT | 17 |
Bruno Lagarde | FR | Colomiers | 2013-12-26 / 20130340528 - DEVICE FOR EXCITING A LANDING GEAR OF AN AIRCRAFT WHILE ON THE GROUND | 1 |
Benoit Lagarde | FR | Sannois | 2011-05-26 / 20110120237 - Device for Sampling a Liquid in a Tube Closed by a Cap | 1 |
Romain Lagarde | FR | La Queue En Brie | 2016-04-28 / 20160116068 - SEAL ASSEMBLY FOR A TURBINE ENGINE COMPRISING MEANS FOR LUBRICATING A BRUSH SEAL | 1 |
Delphine Lagarde | FR | Hegenheim | 2010-04-08 / 20100086912 - AZGP Gene Single Nucleotide Polymorphisms (SNPs) | 2 |
Konrad Lagarde | US | Milford | 2008-10-02 / 20080243852 - System and Methods for Enabling Collaboration in Online Enterprise Applications | 1 |
Stéphane Lagarde | FR | St Pierre De Mesage | 2009-07-23 / 20090185965 - Method for separating zirconium and hafnium | 2 |
Noel Lagarde | CA | Brandon | 2014-05-29 / 20140144654 - Furrow Opener with Replaceable Tip and Accompanying Replaceable Piece | 3 |
Heidi L. Lagares | US | Poughkeepsie | 2011-03-17 / 20110066989 - METHOD AND SYSTEM TO AT LEAST PARTIALLY ISOLATE NETS | 1 |
Francisco Jose Lagares Carrasco | ES | Madrid | 2011-07-14 / 20110168844 - System for disconnecting a fuel transfer boom | 1 |
Narcis Lagares Corominas | ES | Girona | 2015-12-31 / 20150375146 - BRINE FILTERING DEVICE, APPLIED TO A MEAT PRODUCT INJECTION MACHINE | 10 |
Narcis Lagares Corominas | ES | Besaiu-Girona | 2015-10-29 / 20150305356 - INJECTING MACHINE FOR INJECTING BRINE INTO MEAT PIECES | 1 |
Narcis Lagares Corominas | ES | Girona | 2015-12-31 / 20150375146 - BRINE FILTERING DEVICE, APPLIED TO A MEAT PRODUCT INJECTION MACHINE | 10 |
Heidi Lagares-Greenblatt | US | Highland | 2012-07-19 / 20120183270 - WEBCAM CAPTCHA | 1 |
Heidi L. Lagares-Vazquez | US | Highland | 2010-03-25 / 20100077428 - METHOD AND APPARATUS FOR PROVIDING SUPPLEMENTAL CONTENT WITH VIDEO MEDIA | 1 |
Jose Maria Lagaron Abello | ES | Valencia | 2011-06-16 / 20110142899 - ACTIVE NANOCOMPOSITE MATERIALS AND PRODUCTION METHOD THEREOF | 1 |
José Maria Lagaron Cabello | ES | Valencia | 2011-01-13 / 20110008440 - NOVEL NANOCOMPOUND MATERIALS WITH INFRARED, ULTRAVIOLET AND VISIBLE ELECTROMAGNETIC RADIATION BLOCKING PROPERTIES AND METHOD FOR OBTAINING THEM | 2 |
José Maria Lagaron Cabello | ES | Valencia | 2011-01-13 / 20110008440 - NOVEL NANOCOMPOUND MATERIALS WITH INFRARED, ULTRAVIOLET AND VISIBLE ELECTROMAGNETIC RADIATION BLOCKING PROPERTIES AND METHOD FOR OBTAINING THEM | 2 |
José Maria Lagaron Cabello | ES | Valencia | 2014-11-27 / 20140348891 - ACTIVE NANOCOMPOSITE MATERIALS AND PRODUCTION METHOD THEREOF | 4 |
José María Lagaron Cabello | ES | Paterna (valencia) | 2013-04-18 / 20130095318 - METHOD FOR OBTAINING LAMINAR PHYLLOSILICATE PARTICLES HAVING CONTROLLED SIZE AND PRODUCTS OBTAINED USING SAID METHOD | 1 |
José María Lagaron Cabello | ES | Paterna(valencia) | 2012-02-16 / 20120039975 - NANOCOMPOSITE MATERIALS HAVING ELECTROMAGNETIC-RADIATION BARRIER PROPERTIES AND PROCESS FOR OBTAINMENT THEREOF | 1 |
José María Lagaron Cabello | ES | Paterna (valencia) | 2015-04-30 / 20150118422 - CERIUM-BASED ACTIVE MATERIALS WITH CATALYTIC CAPACITY AND PROCESS FOR OBTAINING THEM | 3 |
José María Lagaron Cabello | ES | Paterna (valencia) | 2013-04-18 / 20130095318 - METHOD FOR OBTAINING LAMINAR PHYLLOSILICATE PARTICLES HAVING CONTROLLED SIZE AND PRODUCTS OBTAINED USING SAID METHOD | 1 |
José Maria Lagaron Cabello | ES | Valencia | 2014-11-27 / 20140348891 - ACTIVE NANOCOMPOSITE MATERIALS AND PRODUCTION METHOD THEREOF | 4 |
José María Lagaron Cabello | ES | Paterna(valencia) | 2012-02-16 / 20120039975 - NANOCOMPOSITE MATERIALS HAVING ELECTROMAGNETIC-RADIATION BARRIER PROPERTIES AND PROCESS FOR OBTAINMENT THEREOF | 1 |
José M. Lagarón Cabello | ES | Paterna (valencia) | 2012-11-29 / 20120301514 - DEVELOPMENT OF BIOACTIVE ELECTROSPUN COATINGS FOR BIOMEDICAL APPLICATIONS | 1 |
José M. Lagarón Cabello | ES | Paterna (valencia) | 2012-11-29 / 20120301514 - DEVELOPMENT OF BIOACTIVE ELECTROSPUN COATINGS FOR BIOMEDICAL APPLICATIONS | 1 |
José M. Lagarón Cabello | ES | Paterna (valencia) | 2012-11-29 / 20120301514 - DEVELOPMENT OF BIOACTIVE ELECTROSPUN COATINGS FOR BIOMEDICAL APPLICATIONS | 1 |
José M. Lagarón Cabello | ES | Paterna (valencia) | 2012-11-29 / 20120301514 - DEVELOPMENT OF BIOACTIVE ELECTROSPUN COATINGS FOR BIOMEDICAL APPLICATIONS | 1 |
Stéphane Lagarrigue | FR | Rives | 2013-10-10 / 20130267113 - CONNECTING ELEMENTS FOR PRODUCING HYBRID ELECTRONIC CIRCUITS | 1 |
Olivier Lagarrigue | FR | Guyancourt | 2015-02-19 / 20150047802 - RAPID COOLING DEVICE FOR A MOTOR VEHICLE COMPRISING A COMPONENT FITTED WITH A DIFFUSER | 1 |
Sophie Lagarrigue | DE | Konstanz | 2013-11-07 / 20130295264 - GEL COMPOSITION COMPRISING LOW-METHOXY PECTIN | 4 |
Matteo Lagasi | IT | Parma | 2013-05-16 / 20130119585 - METHOD FOR PREPARING POLYMERIC SHEETS DERIVED FROM POLYISOCYANATES | 3 |
Michael J. Lagasse | US | Nahant | 2009-08-20 / 20090208220 - Systems and methods for generating RF pulses with reduced phase error | 4 |
Eric Lagasse | US | Pittsburgh | 2016-03-03 / 20160058794 - LYMPH NODE AS A SITE FOR TRANSPLANTATION, ORGANOGENESIS AND FUNCTION FOR MULTIPLE TISSUES AND ORGANS | 4 |
Eileen M. Lagasse | US | Windsor | 2012-08-09 / 20120203577 - MODULAR MULTI-COVERAGE INSURANCE PRODUCTS | 1 |
H.a. Daniel Lagasse | US | Somerville | 2009-06-11 / 20090149643 - Aptamers to von Willebrand factor and their use as thrombotic disease therapeutics | 2 |
Paul Lagasse | US | Derry | 2013-01-24 / 20130020874 - PORTABLE SOLAR POWER AND BATTERY CHARGER SYSTEM | 2 |
Leo J. Lagasse | US | Wells | 2012-05-24 / 20120125011 - METHOD FOR USE WITH ANNULAR GAS TURBINE ENGINE COMPONENT | 1 |
Joseph C. Lagasse | US | Eldersburg | 2008-11-06 / 20080272559 - Cooling tower seal joint | 1 |
Hjalmar Lagast | US | Roswell | 2008-10-23 / 20080261937 - PHARMACEUTICAL COMPOSITIONS AND METHOD FOR TREATING PEDIATRIC HYPOGONADISM | 1 |
Annalisa La Gatta | IT | Quarto | 2016-04-14 / 20160102154 - HYBRID COOPERATIVE COMPLEXES OF HYALURONIC ACID | 2 |
Herbert Lage | LU | Luxembourg | 2016-04-21 / 20160108249 - COATED ARTICLE WITH LOW-E COATING HAVING BARRIER LAYER SYSTEM(S) INCLUDING MULTIPLE DIELECTRIC LAYERS, AND/OR METHODS OF MAKING THE SAME | 11 |
Andrew Lage | US | Belchertown | 2010-02-11 / 20100032901 - BOARD GAME WITH TOWER AND COLLAPSING STAIRS | 1 |
Andrea Lage | DE | Dresden | 2008-12-25 / 20080317208 - Radiation Source and Method of Operating a Radiation Source in a Measurement Tool | 1 |
Antonio M. Lage | US | Ashland | 2012-12-27 / 20120328141 - PORTING | 3 |
Eduardo M. Lage | US | Boston | 2016-05-12 / 20160131774 - NORMALIZATION CORRECTION FOR MULTIPLE-DETECTION ENHANCED EMISSION TOMOGRAPHY | 3 |
Eduardo M. Lage | US | 2015-10-15 / 20150289825 - INTER-DETECTOR SCATTER ENAHNCED EMISSION TOMOGRAPHY | 1 | |
Enno Lage | DE | Kiel | 2014-05-08 / 20140125332 - MAGNETOSTRICTIVE LAYER SYSTEM | 1 |
Herbert Lage | LU | Luxembourg | 2016-04-21 / 20160108249 - COATED ARTICLE WITH LOW-E COATING HAVING BARRIER LAYER SYSTEM(S) INCLUDING MULTIPLE DIELECTRIC LAYERS, AND/OR METHODS OF MAKING THE SAME | 11 |
Ludovic Lageat | FR | Plomodiern | 2009-04-16 / 20090096197 - Hybrid Type Gas Generator Containing a Metal Charge | 1 |
Agustin Bienvenido Lage Dávila | CU | Ciudad De La Habana | 2013-02-14 / 20130039940 - Homogenous Vaccine Composition Comprising a Conjugate of EGF and P64K for the Treatment of Tumors | 2 |
Agustin Bienvenido Lage Dávila | CU | Ciudad De La Habana | 2010-08-05 / 20100196412 - HOMOGENEOUS VACCINE COMPOSITION FOR THE TUMOR TREATMENT AND ITS OBTAINING METHOD | 1 |
Agustín Bienvenido Lage Dávila | CU | Plaza | 2014-10-23 / 20140314709 - IL-2 DERIVATIVES POLYPEPTIDES WITH AGONIST ACTIVITY FOR THERAPY OF CANCER AND CHRONIC INFECTIONS | 1 |
Ole Lagemann | DE | Hamburg | 2013-09-12 / 20130233152 - Musical Instruction and Assessment Systems | 6 |
Carlos Henrique Lagemann | BR | Canoas | 2010-09-30 / 20100247339 - NOISE-ATTENUATING DEVICE FOR HVAC AND REFRIGERATION SYSTEMS | 1 |
Volker Lagemann | DE | Ulm | 2010-02-25 / 20100043742 - METHOD AND ELECTRODE FOR THE PRODUCTION OF A RADIAL BEARING SURFACE, AND CONNECTING ROD | 1 |
Jan Lagendijk | NL | Utrecht | 2013-02-21 / 20130044863 - Radiotherapy and Imaging Apparatus | 2 |
Robert Pieter Lagendijk | NL | Valkenburg | 2013-09-05 / 20130230648 - KIT OF PARTS FOR CURABLE COATING COMPOSITION | 1 |
Jan Jacob Wouter Lagendijk | NL | Linschoten | 2011-12-29 / 20110316539 - ANTENNA ARRAY COMPRISING AT LEAST ONE DIPOLE ANTENNA FOR MAGNETIC RESONANCE IMAGING | 1 |
Randall D. Lagendyk | US | Houma | 2009-01-01 / 20090000788 - Heave Compensated Snubbing System and Method | 1 |
Richard J Lagenwalter | US | Lakewood | 2013-09-12 / 20130238306 - Systems and Methods for Horizontal Well Correlation and Geosteering | 1 |
Katarina Lager | SE | Savedalen | 2013-05-02 / 20130104908 - SURGICAL DRAPE OR TOWEL HAVING AN ADHESIVE EDGE | 3 |
William L. Lager | US | Columbus | 2008-11-20 / 20080288485 - STANDARDS-BASED LEARNING SYSTEMS AND METHODS | 1 |
Bengt Lager | US | Marietta | 2009-03-26 / 20090079306 - PAPER COLLECTION SYSTEM AND DEVICE | 1 |
Bernard G. Lager | US | Wisconsin Rapids | 2011-11-17 / 20110280971 - BERRY OILS AND PRODUCTS | 2 |
Kelly Lager | US | Colo | 2009-02-19 / 20090047286 - H2N3 INFLUENZA A VIRUSES AND METHODS OF USE | 1 |
Kelly Lager | US | Neveda | 2011-05-05 / 20110104201 - Porcine Reproductive and Respiratory Syndrome Vaccine Based on Isolate JA-142 | 1 |
Patrick J. Lager | US | Efland | 2010-10-21 / 20100267573 - Methods for In Vivo Identification of Endogenous mRNA Targets of MicroRNAs | 1 |
Joanne Lager | US | Hollis | 2015-01-29 / 20150031882 - METHODS FOR TREATING CANCER USING PI3K INHIBITOR AND MEK INHIBITOR | 4 |
Arnaud Lager | GB | Bracknell | 2013-05-23 / 20130125630 - APPARATUS AND METHOD FOR TESTING MULTIPLE SAMPLES | 1 |
Arnaud Lager | GB | Middlesex | 2016-04-21 / 20160109334 - APPARATUS AND METHOD FOR TESTING MULTIPLE SAMPLES | 1 |
Martin Lager | CH | Munchwilen | 2012-05-31 / 20120136162 - PROCESS FOR THE PREPARATION OF PYRAZOLE CARBOXYLIC ACID AMIDES | 1 |
Arnaud Lager | US | Anchorage | 2012-04-12 / 20120085555 - Hydrocarbon recovery process | 1 |
Peter Lager | CY | Limassol | 2012-03-22 / 20120069969 - TELECOMMUNICATION QUALITY MEASUREMENT SYSTEM ADAPTED FOR SHARING TEST EQUIPMENT BETWEEN OPERATORS | 1 |
Anders Lager | SE | Vasteras | 2012-02-02 / 20120029688 - ROBOT SYSTEM | 1 |
Erik Lager | SE | Lund | 2015-03-19 / 20150080586 - PROCESS FOR PRODUCING (ETHYNE-1,2-DIYL)BIS(ISOBENZOFURAN-1,3-DIONE) | 6 |
Kelly M. Lager | US | Colo | 2015-10-01 / 20150273049 - GENETICALLY ENGINEERED SWINE INFLUENZA VIRUS AND USES THEREOF | 3 |
Tommy Lager | SE | Nodinge | 2010-08-19 / 20100206213 - Method for Constructing a Floating Unit | 1 |
William Lager | US | Columbus | 2016-01-07 / 20160000219 - UNIVERSALLY MOUNTED WORK SURFACE HEIGHT ADJUSTOR WITH SUCTION CUPS | 2 |
Ida Lager | SE | Lund | 2009-07-23 / 20090188001 - Sucrose Biosensors and Methods of Using the Same | 1 |
Ko Lagerberg | NL | Hengelo | 2011-05-26 / 20110122873 - METHOD FOR CONVERTING BETWEEN UNICAST SESSIONS AND A MULTICAST SESSION | 1 |
Christopher W. Lagerberg | US | Seattle | 2016-03-17 / 20160076936 - METHOD OF ATTACHING CAMERA OR IMAGING SENSOR TO TEST AND MEASUREMENT TOOLS | 3 |
Chris W. Lagerberg | US | Seattle | 2012-05-17 / 20120119771 - METHOD AND APPARATUS FOR INDEXING AN ADJUSTABLE TEST PROBE TIP | 3 |
Chris Lagerberg | US | Seattle | 2009-07-30 / 20090189597 - INSTRUMENT FOR TESTING AN ELECTRICAL CIRCUIT | 1 |
Bo Jonas Lagerblad | US | Palo Alto | 2013-10-24 / 20130283293 - System and method for Intelligently distributing a plurality of transactions for parallel processing | 2 |
Bo Jonas Birger Lagerblad | US | Palo Alto | 2016-03-31 / 20160092530 - CROSS VISUALIZATION INTERACTION BETWEEN DATA VISUALIZATIONS | 7 |
Teresa Lagergard | SE | Kullavik | 2010-06-24 / 20100158937 - METHODS FOR CONJUGATION OF OLIGOSACCHARIDES OR POLYSACCHARIDES TO PROTEIN CARRIERS THROUGH OXIME LINKAGES VIA 3-DEOXY-D-MANNO-OCTULSONIC ACID | 1 |
Niklas Lagergren | SE | Kullavik | 2013-02-21 / 20130042771 - APPARATUS AND METHOD FOR TREATING PRODUCTS | 2 |
Marcus Lagergren | SE | Stockholm | 2015-12-31 / 20150378694 - Optimistically Assuming Types in a Dynamically Typed Language | 3 |
Peter J. Lagergren | US | Point Roberts | 2010-09-30 / 20100242593 - ULTRASONIC LIQUID LEVEL MONITORING SYSTEM | 1 |
Karin Lagergren | SE | Solna | 2016-05-12 / 20160135075 - Methods and Apparatuses for Recovering Data Packet Flow Control Against Radio Base Station Buffer Run Away | 1 |
Bethany Lagergren | US | Titusville | 2010-12-23 / 20100323658 - PORTABLE EMERGENCY RESPONSE SYSTEM TO ALERT AUTHORITIES OF A MEDICAL ISSUE DURING OUTDOOR ACTIVITIES AND RELATED METHODS | 1 |
Marcus Lagergren | SE | Lidingo | 2014-07-17 / 20140201742 - SYSTEM AND METHOD FOR REDUNDANT ARRAY COPY REMOVAL IN A POINTER-FREE LANGUAGE | 6 |
Peter Lagergren | US | Glen Rose | 2009-01-29 / 20090025474 - Ultrasonic fuel level monitoring system incorporating an acoustic lens | 1 |
Berndt Lagerholm | US | Chapel Hill | 2015-07-16 / 20150198606 - METHOD FOR ENHANCING TRANSPORT OF SEMICONDUCTOR NANOCRYSTALS ACROSS BIOLOGICAL MEMBRANES | 1 |
Bernard G. Lager, Ii | US | Wisconsin Rapids | 2012-06-14 / 20120148687 - CRANBERRY SEED OIL, CRANBERRY SEED FLOUR AND A METHOD FOR MAKING | 2 |
Emelie Lagerkvist | SE | Stockholm | 2014-10-23 / 20140311133 - ARRANGEMENT FOR INTRODUCING A LIQUID MEDIUM INTO EXHAUST GASES FROM A COMBUSTION ENGINE | 1 |
Asa Lagerlof | SE | Uppsala | 2013-01-10 / 20130011297 - METHOD FOR STERILIZATION | 1 |
Fredrik Lagerlöf | SE | Stenkullen | 2011-01-20 / 20110011380 - METHOD FOR FUNCTIONAL DIAGNOSIS OF A SEPARATOR | 1 |
Johan Lagerlöf | SE | Bromma | 2015-06-11 / 20150157161 - COOKING APPARATUS, A COOKING POT AND A METHOD FOR COOKING | 2 |
Fredrik Lagerlöf | SE | Stenkullen | 2016-03-31 / 20160088971 - PROTECTION AGAINST OVERCOOKING | 2 |
Mikael Lagerman | SE | Goteborg | 2013-10-31 / 20130288669 - AIRBORNE ONBOARD BASE TRANSCEIVER STATION FOR MOBILE COMMUNICATION | 9 |
Mikael Lagerman | SE | Göteborg | 2009-07-23 / 20090186618 - Method and a device in a mobile station for maintaining a communication link to a radio base station in a cellular telephony system | 1 |
Mikael Lagerman | SE | Goteborg | 2013-10-31 / 20130288669 - AIRBORNE ONBOARD BASE TRANSCEIVER STATION FOR MOBILE COMMUNICATION | 9 |
Richard R. Lagerquist | US | Plainwell | 2015-10-01 / 20150273255 - FIREFIGHTING OR RESCUE APPARATUS INCLUDING LADDER WITH STATUS INDICATORS | 2 |
Joel K. Lagerquist | US | St. Paul | 2014-01-30 / 20140029635 - LASER POWER CONTROL USING BIAS AND MODULATION CURRENT FEEDBACK | 1 |
Mikael Lagerquist | SE | Vasby | 2008-11-20 / 20080286462 - Coated insert | 1 |
Mark Lagerquist | US | Essex Junction | 2009-12-03 / 20090294926 - DEEP TRENCH IN A SEMICONDUCTOR STRUCTURE | 1 |
Roger Lagerquist | US | Goleta | 2012-05-03 / 20120104072 - Surgical Instrument Having an Articulated Jaw Structure and a Detachable Knife | 2 |
Rolf Lagerquist | US | Richardson | 2012-03-08 / 20120060138 - Method and System for Adaptive Physical Design | 1 |
Dennis Lagerquist | US | Roseville | 2013-11-28 / 20130312630 - METHODS FOR ELECTRO-MECHANICAL SAFETY AND ARMING OF A PROJECTILE | 2 |
Ove Lagerqvist | SE | Luleå | 2009-07-02 / 20090169339 - Placing Device Building System and Method of Constructing a Multi-Storey Building | 1 |
Tomas Georg Lagerqvist | SE | Stockholm | 2014-01-30 / 20140031052 - METHOD IN A BASE STATION FOR ALLOCATING COMMUNICATION RESOURCES TO A USER EQUIPMENT, BASE STATION, COMPUTER PROGRAM AND COMPUTER PROGRAM PRODUCT | 3 |
Ove Lagerqvist | SE | Luleå | 2009-07-02 / 20090169339 - Placing Device Building System and Method of Constructing a Multi-Storey Building | 1 |
Susanne Ahnblad Lagerqvist | SE | Stockholm | 2010-11-25 / 20100298810 - PHARMACEUTICAL COMPOSITION AND NASAL RINSING DEVICE THEREFOR | 1 |
Tomas Lagerqvist | SE | Stockholm | 2008-12-25 / 20080320464 - Radio Base Station and a Method of Operating a Radio Base Station | 1 |
Bert Lagerstedt | US | Pleasant Grove | 2014-09-25 / 20140289447 - APPARATUS, SYSTEM, AND METHOD FOR STORAGE SPACE RECOVERY | 10 |
Stig Lagerstedt | US | Richardson | 2016-03-17 / 20160077532 - SETBACK CONTROLS BASED ON OUT-OF-ROOM PRESENCE INFORMATION | 3 |
Bert Lagerstedt | US | Pleasantgrove | 2011-02-24 / 20110047356 - APPARATUS,SYSTEM,AND METHOD FOR MANAGING COMMANDS OF SOLID-STATE STORAGE USING BANK INTERLEAVE | 1 |
Paul Lagerstedt | US | Duvall | 2010-12-16 / 20100314197 - REPLACEABLE UTILITY POLE ANCHOR SYSTEM | 1 |
Jens Lagerstedt | US | 2015-12-10 / 20150353626 - APOLIPOPROTEIN A-I DERIVED PEPTIDES FOR TREATMENT OF HYPERGLYCAEMIA | 1 | |
Bert Lagerstedt | US | Pleasant Grove | 2014-09-25 / 20140289447 - APPARATUS, SYSTEM, AND METHOD FOR STORAGE SPACE RECOVERY | 10 |
Jens Lagerstedt | SE | Farhult | 2015-12-10 / 20150353626 - APOLIPOPROTEIN A-I DERIVED PEPTIDES FOR TREATMENT OF HYPERGLYCAEMIA | 1 |
Torgny Lagerstedt | SE | Stockholm | 2009-10-29 / 20090266231 - Method and Apparatus for Separation of Particles From a Flow of Gas | 3 |
Jan Lagerstedt | SE | Malmo | 2010-02-11 / 20100034938 - METHOD OF TREATING A PACKED FOOD FOR PURPOSES OF EXTENDING ITS SHELF-LIFE | 3 |
Anna-Maria Lagerstedt | SE | Lund | 2010-09-09 / 20100229101 - WEATHER INFORMATION IN A CALENDAR | 5 |
Torgny Lagerstedt | SE | Dobelnsgatan | 2011-01-06 / 20110000372 - Device and method for cleaning a centrifugal separator | 1 |
Stellan Lagerstrom | US | Burlingame | 2011-04-21 / 20110091098 - System and Method for Detecting Text in Real-World Color Images | 1 |
Ryan Lagerstrom | AU | New South Wales | 2011-12-22 / 20110310246 - MODIFIED APPARATUS AND METHOD FOR ASSESSMENT, EVALUATION AND GRADING OF GEMSTONES | 2 |
Jonas Lagerstrom | SE | Fagersanna | 2016-05-19 / 20160136042 - CPR CHEST COMPRESSION MACHINE ADJUSTING MOTION-TIME PROFILE IN VIEW OF DETECTED FORCE | 1 |
Michael J. Lagerstrom | US | Bingham Farms | 2013-11-21 / 20130305612 - SEALING MEMBER | 1 |
Rick Lagerstrom | US | Huntington Woods | 2015-03-05 / 20150065026 - CABIN VENTING SYSTEM AND METHOD FOR AN ELECTRIFIED VEHICLE | 1 |
Alan D. Lagervall | US | Pacific | 2010-06-10 / 20100139055 - Clamps For Supporting Transport System Structures | 1 |
Frank J. Lagerwaard | NL | Amsterdam | 2008-12-25 / 20080317305 - Imaging Apparatus and Method of Forming Composite Image from a Plurality of Source Images | 1 |
Erik Lagerway | CA | North Vancouver | 2013-03-28 / 20130080768 - SYSTEMS AND METHODS FOR SECURE COMMUNICATIONS USING AN OPEN PEER PROTOCOL | 1 |
Marc Edgar Lagerway | CA | Calgary | 2013-07-25 / 20130186241 - HANDBRAKE WRENCH | 1 |
William H. Lagerway | US | Auburn | 2010-09-16 / 20100231856 - EYE VIEWING DEVICE COMPRISING VIDEO CAPTURE OPTICS | 1 |
Elodie Lages | FR | Grenoble | 2013-12-12 / 20130330727 - INTRA-TISSUE IN VITRO DIAGNOSIS METHOD FOR DIAGNOSING BRAIN TUMOURS | 2 |
Victoria Lages | FR | Pont A Mousson | 2013-05-02 / 20130108787 - Facility for Coating the Inside of a Pipe Element and Corresponding Use | 1 |
Kent E. Lageson | US | Burnsville | 2011-12-22 / 20110312249 - OPTICAL FIBER POLISHING APPARATUS AND METHOD | 4 |
Kent E. Lageson | US | Prior Lake | 2014-09-11 / 20140251327 - RESPIRATOR NEGATIVE PRESSURE FIT CHECK DEVICES AND METHODS | 1 |
Fabrice Laget | FR | Arbois | 2012-05-03 / 20120107460 - METHOD FOR COOKING FOOD PRODUCTS IN AN OVEN | 2 |
Sophie Laget | FR | Paris | 2013-11-28 / 20130316347 - PROCESS FOR MULTI-ANALYSES OF RARE CELLS EXTRACTED OR ISOLATED FROM BIOLOGICAL SAMPLES THROUGH FILTRATION | 1 |
Michel Laget | FR | Carsan | 2011-09-29 / 20110236952 - NOVEL SUBSTRATES OF O6-ALKYLGUANINE-DNA ALKYLTRANSFERASE AND MUTANTS THEREOF | 2 |
Mia Laget | BE | Hamme | 2015-05-07 / 20150126603 - TREATMENT OF POULTRY, PIGS OR FISH FOR REDUCING THE FEED CONVERSION RATIO OR INCREASING THEIR BODYWEIGHT GAIN | 1 |
Grégoire Lagger | CH | Geneva | 2011-02-10 / 20110031134 - ELECTROCHEMICAL ANTIOXIDANT SENSORS BASED ON METALLIC OXIDE MODIFIED ELECTRODES FOR THE GENERATION OF HYDROXYL RADICALS AND THE SUBSEQUENT MEASUREMENT OF ANTIOXIDANT ACTIVITIES | 2 |
Grégoire Lagger | CH | Geneva | 2011-02-10 / 20110031134 - ELECTROCHEMICAL ANTIOXIDANT SENSORS BASED ON METALLIC OXIDE MODIFIED ELECTRODES FOR THE GENERATION OF HYDROXYL RADICALS AND THE SUBSEQUENT MEASUREMENT OF ANTIOXIDANT ACTIVITIES | 1 |
Grégoire Lagger | CH | Geneva | 2011-02-10 / 20110031134 - ELECTROCHEMICAL ANTIOXIDANT SENSORS BASED ON METALLIC OXIDE MODIFIED ELECTRODES FOR THE GENERATION OF HYDROXYL RADICALS AND THE SUBSEQUENT MEASUREMENT OF ANTIOXIDANT ACTIVITIES | 1 |
Grégoire Lagger | CH | Geneva | 2011-02-10 / 20110031134 - ELECTROCHEMICAL ANTIOXIDANT SENSORS BASED ON METALLIC OXIDE MODIFIED ELECTRODES FOR THE GENERATION OF HYDROXYL RADICALS AND THE SUBSEQUENT MEASUREMENT OF ANTIOXIDANT ACTIVITIES | 2 |
Jeffery W. Lagges | US | Auburn | 2015-04-30 / 20150121275 - VEHICLE DIAGNOSTIC SYSTEMS AND METHODS | 1 |
Anissa Lagha | FR | Montbonnot | 2010-09-09 / 20100225003 - METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE OBTAINABLE WITH SUCH A METHOD | 1 |
Prasanna Laghate | US | San Mateo | 2013-07-25 / 20130191499 - Multi-domain configuration handling in an edge network server | 1 |
Prasanna Laghate | US | Santa Clara | 2015-03-26 / 20150089582 - Cloud Based Firewall System And Service | 4 |
Aldo A. Laghi | US | Clearwater | 2015-08-06 / 20150216683 - Prosthetic Distal Force Measurement Device | 14 |
Marco Laghi | IT | Bagnacavallo | 2013-03-07 / 20130056157 - DEVICE FOR REMOVING A PROTECTIVE FILM OFF A SHET | 1 |
Matteo Laghi | IT | Forli' | 2013-11-07 / 20130292948 - MONOBEARING EOLIC TURBINE WITH RADIAL FLOW ELECTRIC GENERATOR AND EXTERNAL STATOR | 1 |
Aldo A. Laghi | US | Pinellas Park | 2016-01-28 / 20160023887 - INDUCTION HEATING DEVICE FOR SHAVING AND COSMETIC APPLICATIONS | 1 |
Aldo A. Laghi | US | Clearwater | 2015-08-06 / 20150216683 - Prosthetic Distal Force Measurement Device | 14 |
Aldo A. Laghi | US | St. Petersburg | 2016-02-25 / 20160051402 - POST-SURGICAL ARTICLES FOR REDUCTION OF SWELLING, EDEMA, AND BRUISING | 3 |
Giovanni Laghi | DE | Mering | 2008-11-13 / 20080281955 - Method and System for Operating Redundant Network Elements in a Communication Network | 1 |
Aldo Laghi | US | St. Petersburg | 2016-03-17 / 20160074319 - TOPICAL COMPOSITION CONTAINING ANTIOXIDANTS | 1 |
Maria Chiara Laghi | IT | Noceto | 2016-03-31 / 20160094808 - ALL-ROUND VIEW MONITORING SYSTEM FOR A MOTOR VEHICLE | 1 |
Aldo A. Laghi | US | 2009-01-08 / 20090007387 - Custom Grip for Writing Instruments and Other Hand Held Items | 1 | |
Samuele Laghi | IT | Prato | 2014-12-11 / 20140360829 - HYDRAULIC PUMP | 2 |
Les Lagier | US | Santa Clara | 2016-02-11 / 20160038811 - GUIDE ARM MACHINE | 1 |
Thomas Lagier | FR | Blaru | 2011-03-03 / 20110048722 - METHOD FOR EXTRACTING BIOGAS AND CORRESPONDING DEVICE | 2 |
Anick Lagier | FR | Saint Paul De Varces | 2015-11-12 / 20150320639 - Adaptor for Multidose Medical Container | 1 |
Yves Lagier | FR | Argonay | 2013-03-07 / 20130057034 - CHAIRLIFT SEAT HAVING A BICYCLE TRANSPORT DEVICE | 1 |
Clotilde Lagier-Tourenne | US | La Jolla | 2015-09-24 / 20150267197 - METHODS FOR MODULATING C9ORF72 EXPRESSION | 1 |
Knut Lagies | DE | Erlangen | 2009-12-24 / 20090319394 - System and Method for Electronic Delivery of Content for Industrial Automation Systems | 1 |
Marcin Lagiewka | PL | Slaskie | 2010-02-04 / 20100025203 - DRAW-OUT MECHANISM FOR MOLDED CASE CIRCUIT BREAKERS | 1 |
Przemyslaw Lagiewka | PL | Lodz | 2013-10-31 / 20130284994 - Road Barrier And A Method For Manufacturing Thereof | 1 |
Przemyslaw Lagiewka | PL | Kowary | 2013-06-27 / 20130160603 - METHOD FOR ACCUMULATING KINETIC ENERGY AND ROTOR DEVICE FOR ACCUMULATING AND DISSIPATING KINETIC ENERGY | 1 |
Lucjan Lagiewka | PL | Kowary | 2013-02-07 / 20130033047 - COLLISION ENERGY DISSIPATING BUMPER | 1 |
Marcin Piotr Lagiewka | PL | Bielsko-Biala | 2016-02-25 / 20160055999 - SYSTEM AND METHOD FOR QUENCHING AN ARC | 5 |
Joseph Francis Lagiglia | US | New Lenox | 2011-12-22 / 20110313793 - Fantasy Sports Insurance | 1 |
Pietro Lagioia | IT | Bari | 2013-06-13 / 20130145978 - WAKESURFING BOAT AND HULL FOR A WAKESURFING BOAT | 1 |
Maria Giovanna Lagioia | AT | Villach | 2015-12-31 / 20150381044 - VOLTAGE CONVERTER | 3 |
Maria Lagioia | AT | Villach | 2015-12-03 / 20150349636 - System and Method for Switched Power Supply Current Sampling | 1 |
Pietro Lagioia | IT | Vari | 2014-03-27 / 20140083346 - WAKESURFING BOAT AND HULL FOR A WAKESURFING BOAT | 2 |
Pallavi Lagisetty | US | Glen Allen | 2012-11-15 / 20120288555 - ANTIPROLIFERATIVE COMPOSITIONS COMPRISING CURCUMIN ANALOGS AND METHODS OF PRODUCING AND USING SAME | 1 |
Pallavi Lagisetty | US | Oklahoma City | 2011-03-10 / 20110059157 - ANIONIC LIPIDS AND LIPID NANO-STRUCTURES AND METHODS OF PRODUCING AND USING SAME | 1 |
Pallavi Lagisetty | US | Glen Allen Richmond | 2013-09-19 / 20130243688 - ANIONIC LIPIDS AND LIPID NANO-STRUCTURES AND METHODS OF PRODUCING AND USING SAME | 1 |
Venu Lagishetty | US | Los Angeles | 2013-03-14 / 20130064859 - COMBINATORIAL VITAMIN D AND PROBIOTIC THERAPY FOR INFLAMMATORY BOWEL DISEASE | 1 |
Aurelie Lagisquet | CA | Calgary | 2015-11-12 / 20150322758 - SOLVENT INJECTION RECOVERY PROCESS | 3 |
Pamela Laglali | CH | Basel | 2014-04-03 / 20140094506 - USE OF LIGHT SENSITIVE GENES | 1 |
Bénédicte Laglenne | FR | Mauguio | 2010-09-02 / 20100221684 - IMPLANT FOR SUBCUTANEOUS OR INTRADERMAL INJECTION | 1 |
Bénédicte Laglenne | FR | Mauguio | 2010-09-02 / 20100221684 - IMPLANT FOR SUBCUTANEOUS OR INTRADERMAL INJECTION | 1 |
Bénédicte Laglenne | FR | Mauguio | 2012-09-13 / 20120231046 - IMPLANT FOR SUBCUTANEOUS OR INTRADERMAL INJECTION | 2 |
Bénédicte Laglenne | FR | Mauguio | 2012-09-13 / 20120231046 - IMPLANT FOR SUBCUTANEOUS OR INTRADERMAL INJECTION | 2 |
Karl Lagler | AT | Wien | 2012-07-05 / 20120167811 - SUPERCHARGED ENGINE | 2 |
Louis Lagler | CH | Zurich | 2014-07-17 / 20140197189 - HINGED CLOSURE MOULDED IN CLOSED POSITION | 1 |
Martin Lagler | AT | Wien | 2014-05-29 / 20140145403 - Seal Arrangement for Sealing a Reciprocating Piston Rod of a Piston Compressor | 5 |
Andreas Lagler | DE | Rosenheim | 2016-03-24 / 20160084940 - METHOD AND A MEASURING DEVICE FOR MEASURING BROADBAND MEASUREMENT SIGNALS | 2 |
Bernhard Lagler | AT | Kottes | 2011-01-20 / 20110011499 - METHOD AND DEVICE FOR HARDENING PROFILES | 1 |
Louis Lagler | CH | Stafa | 2011-01-06 / 20110000137 - CLOSURE WITH AN EXTERNAL HINGE | 3 |
Kristen Lagle Ruiz | US | Mountain View | 2013-05-23 / 20130132190 - IMAGE TAGGING SYSTEM AND METHOD FOR CONTEXTUALLY RELEVANT ADVERTISING | 1 |
Eric Lagman | US | Anderson | 2014-11-13 / 20140336589 - INJECTOR SAFETY DEVICE | 3 |
Curtis Nolan Lagman | US | Cornelius | 2012-02-09 / 20120034085 - FAN ASSEMBLIES AND METHODS FOR ASSEMBLING SAME | 1 |
Jarvis Jerome Lagman | US | Flushing | 2016-02-04 / 20160035025 - Process for Creating a Social Network through Which Private Securities Transactions Using General Solicitations are Electronically Created and Settled in Compliance with the U.S. Securities Act of 1933 | 1 |
Mats Lagmanson | US | Austin | 2013-12-05 / 20130320984 - TECHNIQUES FOR SURFACE EXPLORATION AND MONITORING | 2 |
Markus Lagmanson | US | Austin | 2013-12-05 / 20130320984 - TECHNIQUES FOR SURFACE EXPLORATION AND MONITORING | 1 |
Liz Lagmanson | US | Austin | 2013-12-05 / 20130320984 - TECHNIQUES FOR SURFACE EXPLORATION AND MONITORING | 1 |
Isaac Lagnado | US | Houston | 2016-03-17 / 20160080020 - Controlling Transmit Power of an Antenna Based on a Touch Display Input Location | 18 |
Isaac Lagnado | US | Houston | 2016-03-17 / 20160080020 - Controlling Transmit Power of an Antenna Based on a Touch Display Input Location | 18 |
Adrien Lagneau | FR | Sars Et Rosieres | 2013-04-18 / 20130091729 - INSOLE FOR A FOOTWEAR ARTICLE | 1 |
Jason M. Lagneaux | US | River Ridge | 2011-03-31 / 20110073442 - APPARATUS AND METHOD FOR SELECTIVELY ACTUATING MOVING CONVEYOR ROLLERS | 3 |
Jason Lagneaux | US | River Ridge | 2013-11-28 / 20130313080 - CONVEYOR BELT APPARATUS INCLUDING MAGNETICALLY ACTUATED ROLLERS | 2 |
Didier Lagneaux | FR | Frontonas | 2013-09-19 / 20130245173 - PROCESSING AID FOR THERMOPLASTIC POLYURETHANES | 3 |
Robert Lagneaux | FR | Margny Les Compiegne | 2010-03-04 / 20100050694 - BENDING OF GLASS BY HEATED OR COOLED FORM | 1 |
Laurent Lagneaux | FR | Larbroye | 2014-11-27 / 20140345781 - PROCESS FOR MANUFACTURING A GAS-FILLED MULTIPLE GLAZING UNIT | 1 |
Robert Lagneaux | FR | Attichy | 2013-02-21 / 20130042650 - CELLULAR BENDING MOLD | 1 |
Patrick Lagneaux | FR | Aubry Du Hainaut | 2015-05-21 / 20150139834 - PUMP FOR METERING AND DISPENSING A LIQUID OR VISCOUS PRODUCT | 1 |
Didier Lagneaux | FR | Bluffy | 2015-07-09 / 20150191569 - METHOD FOR PREPARING A POLYAMIDE BY REACTIVE EXTRUSION, AND EXTRUDER ADAPTED FOR THE IMPLEMENTATION OF SUCH A METHOD | 6 |
Liana M. Lagniton | US | Oakland | 2011-08-04 / 20110190293 - Quinazolinone Compounds as Anticancer Agents | 2 |
Liana Lagniton | US | Berkeley | 2009-07-02 / 20090171082 - MITOTIC KINESIN INHIBITORS | 1 |
Liana Marie Lagniton | US | Berkeley | 2009-11-19 / 20090285849 - Thiosemicarbazones as anti-virals and immunopotentiators | 3 |
Brenton Lago | US | Las Vegas | 2009-06-18 / 20090155429 - Food Package | 1 |
Leopoldo Lago | IT | Cittadella | 2016-03-10 / 20160066585 - Improved food cooking installation | 2 |
Richard Dal Lago | GB | Bristol | 2016-04-28 / 20160116395 - FRICTION TESTER | 2 |
Alejandro Lago | US | Austin | 2012-11-08 / 20120282555 - HOT SURFACE IGNITION ASSEMBLY FOR USE IN PILOTS FOR FLARING, INCINERATION, AND PROCESS BURNERS | 1 |
Andre Lago | CN | Minghang District | 2008-09-25 / 20080234518 - Process for producing aromatic amines | 1 |
Laure Lago | FR | Le Barp | 2014-08-21 / 20140233900 - Microstructured Optical Fibre Having A Large Core And A Flattened Fundamental Mode, Production Method Thereof And Use Of Same In Laser Microfabrication | 1 |
John Lago | US | San Francisco | 2016-03-03 / 20160063015 - SYSTEMS AND METHODS FOR PROVIDING COMPLIMENTARY CONTENT ON LINKED MACHINES | 1 |
Alfredo Iglesias Lago | ES | Vedra | 2010-10-14 / 20100258732 - Neutron dosimetry for radiotherapy | 1 |
David Lago | US | Woodhaven | 2014-09-18 / 20140279513 - RESERVE CARD SYSTEM AND METHOD | 2 |
Firmin Lago | US | Milwaukee | 2013-11-07 / 20130295067 - MICROORGANISMS AND METHODS FOR TREATING POULTRY | 2 |
Santiago Lago | ES | Malaga | 2010-11-25 / 20100298255 - Methods for providing personalized medicine test ex vivo for hematological neoplasms | 1 |
Andre Lago | DE | Hamburg | 2014-05-08 / 20140128638 - PROCESS FOR PREPARING AROMATIC AMINES | 2 |
Carlos Alberto Lago | AR | Buenos Aires | 2015-05-21 / 20150135797 - DEVICE AND METHOD FOR MULTIPHASE FLOW METER CALIBRATION USING A CLOSED LOOP MULTIPHASE FLOW SYSTEM | 1 |
Karim Lagobi | US | Burbank | 2015-08-06 / 20150218789 - TRAP AND DRAIN ASSEMBLY FOR DRAINING WASTE LIQUIDS WHILE BLOCKING ODORS | 4 |
Janusz Lagodzinski | PL | Olawa | 2015-01-29 / 20150028571 - Airbag Module With an Airbag Exhibiting a Vent Opening Closed in the Folded State by an Arrester Strap and Procedure of its Manufacture | 1 |
Karol Lagodzki | US | Bloomington | 2015-08-20 / 20150230802 - STABLE SCREW-TYPE DETACHMENT MECHANISM | 10 |
Karol Lagodzki | US | Bloomington | 2015-08-20 / 20150230802 - STABLE SCREW-TYPE DETACHMENT MECHANISM | 10 |
Daniel T. Lagoe | US | Saratogo Springs | 2012-09-20 / 20120239064 - DEVICE AND METHOD FOR REMOVING MATERIAL FROM A HOLLOW ANATOMICAL STRUCTURE | 1 |
Carlos Alberto Lago Espina | AR | Buenos Aires | 2014-04-10 / 20140096836 - METHOD AND AUTOMATED SYSTEM FOR CONTROL OF OIL WELL PRODUCTION AND MODULAR SKID FOR USE IN SAID METHOD | 1 |
Luis Fernando Lago Fernández | ES | Madrid | 2013-10-17 / 20130271601 - METHOD AND DEVICE FOR THE DETECTION OF CHANGE IN ILLUMINATION FOR VISION SYSTEMS | 1 |
Luis Fernando Lago Fernández | ES | Madrid | 2013-10-17 / 20130271601 - METHOD AND DEVICE FOR THE DETECTION OF CHANGE IN ILLUMINATION FOR VISION SYSTEMS | 1 |
Jason A. Lagona | US | Richmond | 2015-12-03 / 20150344808 - LUBRICATING OIL COMPOSITION AND ADDITIVE THEREFOR HAVING IMPROVED WEAR PROPERTIES | 7 |
Enrique Lago Palacios | ES | Pontevedra | 2010-05-13 / 20100115845 - SASH WINDOW | 1 |
Charles Lagor | US | Wayland | 2015-03-12 / 20150073859 - SYSTEM AND METHOD FOR ASSESSING TOTAL REGULATORY RISK TO HEALTH CARE FACILITIES | 2 |
Charles Lagor | US | Dobbs Ferry | 2011-08-25 / 20110208540 - EXECUTABLE CLINICAL GUIDELINE AND GUIDELINE TOOL | 2 |
Charles Lagor | US | Ardsley | 2013-11-07 / 20130297340 - LEARNING AND OPTIMIZING CARE PROTOCOLS | 6 |
Vivien Lagorce | FR | Valence | 2014-06-26 / 20140177300 - SWITCHED-MODE POWER SUPPLY SYSTEM AND AIRCRAFT COMPRISING SUCH A SYSTEM | 1 |
Florence Lagorce-Broc | DE | Berlin | 2011-08-04 / 20110189481 - POST-TREATMENT COMPOSITION FOR INCREASING CORROSION RESISTANCE OF METAL AND METAL ALLOY SURFACES | 2 |
Pascal Lagorgette | CH | Bienne | 2016-04-28 / 20160116306 - OPTICAL POSITION DETECTION OF A TIMEPIECE CROWN STEM | 10 |
Joël Lagorsse | FR | Castanet Tolosan | 2011-03-10 / 20110058809 - Thermally optimized microwave channel multiplexing device and signals repetition device comprising at least one such multiplexing device | 3 |
Joël Lagorsse | FR | Ville | 2012-04-05 / 20120081196 - Microwave Filter with Dielectric Resonator | 1 |
Joël Lagorsse | FR | Castanet Tolosan | 2011-06-23 / 20110148551 - Compact Thermoelastic Actuator for Waveguide, Waveguide with Phase Stability and Multiplexing Device Including Such an Actuator | 3 |
Joël Lagorsse | FR | Castanet Tolosan | 2011-03-10 / 20110058809 - Thermally optimized microwave channel multiplexing device and signals repetition device comprising at least one such multiplexing device | 3 |
Arnoldo Lagos | CR | Jimenez | 2015-04-30 / 20150115024 - Containers, Systems and Methods for Packing, Shipping and Storing Produce | 1 |
Nikolaos Lagos | FR | Grenoble | 2016-04-28 / 20160117325 - SYSTEM FOR MAPPING A SET OF RELATED STRINGS ON AN ONTOLOGY WITH A GLOBAL SUBMODULAR FUNCTION | 6 |
Gerard Lagos | US | Saint Paul | 2015-06-11 / 20150159428 - ANTI-SPUTTERING SILL SYSTEM AND METHOD | 1 |
Gerard Lagos | US | 2013-02-28 / 20130051902 - CORNER JOINT AND METHOD OF MANUFACTURING | 1 | |
Dimitrios Lagos | GB | London | 2012-03-29 / 20120077863 - GUANIDINO-SUBSTITUTED BI-AND POLYPHENYLS AS SMALL MOLECULE CARRIERS | 1 |
Patricio L. Lagos | CL | Santiago | 2009-05-14 / 20090120236 - PRECIOUS METAL RECOVERY FROM THE ANODE SLIME AND GRANULATED MATERIALS BY OPTIMUM INDUCTION SMELTING | 1 |
Gerard Lagos | US | St. Paul | 2015-01-22 / 20150020472 - CORNER JOINT AND METHOD OF MANUFACTURING | 2 |
Mariana Lagos-Quintana | US | New York | 2010-11-18 / 20100292308 - MICRORNA MOLECULES | 8 |
Mariana Lagos-Quintana | DE | Berlin | 2015-11-05 / 20150315578 - IDENTIFICATION OF NOVEL GENES CODING FOR SMALL TEMPORAL RNAS | 3 |
Benjamin J. Lagosz-Sinclair | US | San Antonio | 2013-10-10 / 20130266109 - AUTOMATED INSIDE REACTOR INSPECTION SYSTEM | 1 |
Pavlos Lagoudakis | GB | Southampton | 2012-05-10 / 20120112165 - Optical Device | 1 |
Georgia Lagoudas | US | College Station | 2013-08-29 / 20130226055 - CERVICAL SPINE IMMOBILIZATION DEVICE | 1 |
Matthieu Lagouge | CN | Wuxi | 2011-09-29 / 20110234218 - INTEGRATED MULTI-AXIS HYBRID MAGNETIC FIELD SENSOR | 3 |
Emilie Lagoutte | FR | Cormeilles En Parisis | 2015-05-07 / 20150126447 - METHOD AND KIT FOR THE CLASSIFICATION AND PROGNOSIS OF WOUNDS | 2 |
Emmanuelle Lagoutte | FR | St Marcellin | 2016-02-11 / 20160043269 - METHOD FOR MANUFACTURING MULTI-JUNCTION STRUCTURE FOR PHOTOVOLTAIC CELL | 3 |
Delphine Lagoutte | FR | Fontenay-Aux-Roses | 2011-03-10 / 20110059977 - USE OF CANTHIN-6-ONE AND ITS ANALOGS IN THE TREATMENT OF MYCOBACTERIA-LINKED PATHOLOGIES ( amended | 1 |
Sebastien Lagoutte | FR | Grenoble | 2013-07-25 / 20130189586 - METHOD FOR PREPARING A COMPOSITE, COMPOSITE THUS OBTAINED AND USES THEREOF | 1 |
Charles Lagoutte | FR | Buxy | 2013-06-20 / 20130153335 - PROTECTING DEVICE FOR A USER OF AN AERIAL LIFT AND AERIAL LIFT COMPRISING SUCH A DEVICE | 1 |
Yury Lagoviyer | US | Olivette | 2014-07-31 / 20140212505 - SPATIAL ARRANGEMENT OF PARTICLES IN A DRINKING DEVICE FOR ORAL DELIVERY OF PHARMACEUTICALS | 2 |
Rodger Lagow | US | Blythewood | 2016-03-31 / 20160089812 - Saw Blade For Making Thin Cuts in Green Concrete | 1 |
Jacek Lagowski | US | Tampa | 2013-07-04 / 20130169283 - ACCURATE MEASUREMENT OF EXCESS CARRIER LIFETIME USING CARRIER DECAY METHOD | 3 |
Kate Lagrand | US | Portland | 2012-10-25 / 20120266501 - Personal Traction Device and Method of Making | 2 |
Micheline Lagranderie | FR | Neuilly Sur Seine | 2012-08-09 / 20120201856 - Use of Mycobacterium Bovis BCG killed by Extended Freeze Drying (EFD) For Preventing or Treating Atherosclerosis | 3 |
Micheline Lagranderie | FR | Neuilly | 2009-11-12 / 20090280146 - CONTROL OF INTESTINAL INFLAMMATORY SYNDROMES WITH A PREPARATION OF KILLED OR NON INFECTIOUS BACTERIA | 1 |
John Lagrandeur | US | Arcadia | 2015-08-27 / 20150244042 - THERMOELECTRIC-BASED THERMAL MANAGEMENT SYSTEM | 14 |
Matthew Charles Lagrandeur | US | Seattle | 2014-07-24 / 20140207859 - PROVISIONING HIGH PERFORMANCE COMPUTING CLUSTERS | 2 |
Thomas B. Lagrange | US | Brentwood | 2016-01-07 / 20160005567 - HIGH-SPEED MULTIFRAME DYNAMIC TRANSMISSION ELECTRON MICROSCOPE IMAGE ACQUISITION SYSTEM WITH ARBITRARY TIMING | 4 |
Timothy Edward Lagrange | US | Rainbow | 2015-12-31 / 20150376993 - COILED TUBING CONNECTOR FOR DOWNHOLE TOOLS | 7 |
Jay W. Lagrange | US | New Hartford | 2013-07-11 / 20130175164 - ELECTROCHEMICAL CELL WITH IMPROVED WATER OR GAS MANAGEMENT | 1 |
Laurent Lagrange | FR | Bonneville | 2010-05-20 / 20100124837 - BACKSHELL COUPLING FOR AN ELECTRICAL COMPONENT | 1 |
Tony Lagrange | CA | Edmonton | 2016-03-17 / 20160075911 - FLAME RETARDANT COATING | 3 |
Jean-Michel Lagrange | FR | Mossy Cramayel | 2009-10-29 / 20090268952 - METHOD AND APPARATUS FOR AUTOMATICALLY DEVELOPING A HIGH PERFORMANCE CLASSIFIER FOR PRODUCING MEDICALLY MEANINGFUL DESCRIPTORS IN MEDICAL DIAGNOSIS IMAGING | 1 |
Mathieu Lagrange | FR | Rennes | 2010-08-19 / 20100211654 - COMMUNICATING INFORMATION-PROCESSING DEVICE AFFORDING RAPID ACCESS TO A SET OF PERSONAL INFORMATION | 1 |
Pascal Lagrange | FR | Rennes | 2012-08-02 / 20120196527 - METHOD AND SYSTEM FOR MANAGING COMMUNICATIONS IN A WIRELESS COMMUNICATION NETWORK | 7 |
Patrick Lagrange | DE | Chateaufort | 2008-09-18 / 20080225816 - Interface, Apparatus, and Method for Communication Between a Radio Equipment Control Node and a Remote Equipment Node in a Radio Base Station | 1 |
Alain Lagrange | FR | Coupvray | 2016-05-19 / 20160136077 - OXIDATION DYEING PROCESS USING A COMPOSITION COMPRISING AN AMINOBENZIMIDAZOLONE OXIDATION BASE AND A METAL CATALYST | 28 |
Hubert Lagrange | FR | Plaissan | 2012-05-31 / 20120136495 - MONITORING OF AN INSTALLATION ON THE BASIS OF THE DANGEROUSNESS ASSOCIATED WITH AN INTERACTION BETWEEN SAID INSTALLATION AND AN ANIMAL SPECIES | 1 |
Thibault Lagrange | FR | Paris | 2014-10-30 / 20140319719 - MOULDING DEVICE AND PRODUCTION PROCESS | 2 |
Timothy E. Lagrange | US | Rainbow | 2015-10-29 / 20150308236 - DEVICES AND RELATED METHODS FOR ACTUATING WELLBORE TOOLS WITH A PRESSURIZED GAS | 5 |
Frederic Lagrange | FR | Avanton | 2015-03-19 / 20150075996 - METHOD OF FABRICATING A BATH OF ELECTROLYTE FOR PLATING A PLATINUM-BASED METALLIC UNDERLAYER ON A METALLIC SUBSTRATE | 4 |
Mathieu Lagrange | FR | Saint Gilles | 2008-10-02 / 20080243493 - Method for Restoring Partials of a Sound Signal | 1 |
Herve Lagrange | FR | Paris | 2011-09-08 / 20110215035 - METHOD OF STORING A PLURALITY OF ARTICLES WITH INFORMATION BEING SCRUTINIZED | 1 |
David Lagrange | FR | Le Coteau | 2009-10-01 / 20090248369 - Automatic Coloring Of Rigid Groups Of Parts | 1 |
Pascal Lagrange | FR | La Chapelle Des Fougeretz | 2015-05-14 / 20150131481 - Node discovery in a communication network | 4 |
Benjamin Arnette Lagrange | US | Greer | 2015-04-16 / 20150106059 - Method And System For Determining Power Plant Machine Reliability | 3 |
Daniel M. Lagrange | CA | Kelowna | 2013-08-22 / 20130214076 - CLAMPING ASSEMBLY FOR WOODWORKING KNIFE | 4 |
Danie Lagrange | ZA | Durbanville | 2012-06-07 / 20120142046 - Yeast Cells Expressing An Exogenous Cellulosome And Methods of Using The Same | 2 |
Patrick Lagrange | FR | Chateaufort | 2011-02-10 / 20110032910 - Interface, apparatus, and method for communication between a radio eqipment control node one or more remote radio equipment nodes | 1 |
Laurent Lagrange | FR | Saint Pierre En Faucigny | 2012-09-06 / 20120224815 - DEVICE FOR CONNECTING OPTICAL FIBERS | 1 |
Sebastien Lagrange | FR | La Meignanne | 2014-05-22 / 20140142891 - GENERATON OF MAP DATA | 1 |
Martijn Roger La Grange | NL | Eindhoven | 2009-02-05 / 20090034776 - PANEL-ACOUSTIC TRANSDUCER COMPRISING AN ACTUATOR FOR ACTUATING A PANEL, AND SOUND-GENERATING AND/OR RECORDING DEVICE | 1 |
Martin James La Grange | NZ | Grafton. Auckland | 2015-02-26 / 20150057366 - SYNTHESIS OF ELEMICIN AND TOPICAL ANALGESIC COMPOSITIONS | 1 |
Martin James La Grange | NZ | Auckland | 2015-02-26 / 20150057366 - SYNTHESIS OF ELEMICIN AND TOPICAL ANALGESIC COMPOSITIONS | 1 |
Mouna Lagraoui | CA | Laval | 2010-11-11 / 20100286269 - Medium-Chain Length Fatty Acids and Glycerides as Nephroprotection Agents | 1 |
John Vincent Lagrasse | US | Nashville | 2009-04-09 / 20090094168 - SYSTEM AND METHOD OF PROVIDING A LONGEVITY BENEFIT | 1 |
Susan D. Lagreca | US | Old Lyme | 2010-09-16 / 20100234435 - CYCLOALKYLAMINO ACID DERIVATIVES | 2 |
James Lagreca | US | Cedarhurst | 2011-03-03 / 20110050462 - Finders keepers locator | 1 |
Marie Lagreca | US | Hingham | 2014-04-24 / 20140113737 - Golf Swing Training Device | 1 |
Susan Lagreca | US | Old Lyme | 2010-05-13 / 20100120794 - Cycloalkylamino Acid Derivatives | 1 |
Marco La Greca | IT | Milano | 2008-08-28 / 20080202169 - Fibering Device, Particularly For Making Glass Fibers | 1 |
Susan La Greca | US | Old Lyme | 2011-02-10 / 20110033441 - PYRIMIDINE DERIVATIVES FOR THE TREATMENT OF ABNORMAL CELL GROWTH | 1 |
Susan D. La Greca | US | Old Lyme | 2012-06-21 / 20120157495 - BENZIMIDAZOLE DERIVATIVES | 2 |
Alfred J. Lagreca, Sr. | US | Hingham | 2009-11-19 / 20090287133 - Disposable or Reclosable Wound or Skin Condition Dressing and Treatment System | 1 |
Ed Lagred | US | Benson | 2011-02-03 / 20110024520 - LEVEL SENSORS FOR METERING SYSTEM CONTAINER | 1 |
James Leo Lagree | US | Robinson Township | 2014-04-24 / 20140112022 - ELECTRICAL SWITCHING APPARATUS INCLUDING TRANSDUCTOR CIRCUIT AND ALTERNATING CURRENT ELECTRONIC TRIP CIRCUIT | 3 |
Pierre-Yves Lagree | FR | Gentilly | 2010-04-15 / 20100094406 - ENDOPROSTHESIS, AND METHOD FOR PRODUCING AN ENDOPROSTHESIS | 1 |
Sebastien Anthony Louis Lagree | US | West Hollywood | 2016-04-07 / 20160096059 - Exercise Machine Carriage Handle System | 20 |
Sebastien A. Lagree | US | Los Angeles | 2012-11-22 / 20120295771 - Exercise machine | 1 |
James L. Lagree | US | Robinson Township | 2015-11-05 / 20150318687 - SURGE PROTECTION ASSEMBLY AND METHOD FOR ELECTRICAL SWITCHNG APPARATUS | 8 |
James L. Lagree | US | Robinson Township | 2015-11-05 / 20150318687 - SURGE PROTECTION ASSEMBLY AND METHOD FOR ELECTRICAL SWITCHNG APPARATUS | 8 |
Sebastien Anthony Louis Lagree | US | West Hollywood | 2016-04-07 / 20160096059 - Exercise Machine Carriage Handle System | 20 |
Jean-Jacques Lagref | CH | Basel | 2011-08-11 / 20110195237 - SYSTEM AND RESIN FOR RAPID PROTOTYPING | 1 |
Jimmy Lagrenade | US | Wylie | 2010-01-21 / 20100017844 - ASSOCIATING A UNIQUE IDENTIFIER AND A HEIRARCHY CODE WITH A RECORD | 1 |
Lawrence M. Lagrimini | US | Lincoln | 2010-03-18 / 20100071092 - METHODS AND GENETIC CONSTRUCTS FOR MODIFICATION OF LIGNIN COMPOSITION OF CORN COBS | 1 |
Gary Lee Lagro | US | Rosemount | 2009-01-29 / 20090025378 - Hydraulic Actuator Control System for Refuse Collection Vehicle | 2 |
Richard T. Lagrotta | US | Livingston | 2011-02-10 / 20110030197 - METHOD OF CONSTRUCTING A TUNABLE RF FILTER | 3 |
Alexandra Charles Lagrotta | US | Boombon Township | 2010-11-04 / 20100275765 - Shape-effect composite armor system | 1 |
James Thomas Lagrotta | US | Boonton Townshp | 2010-11-04 / 20100275765 - Shape-effect composite armor system | 1 |
Richard Thomas Lagrotta | US | Livingston | 2010-11-04 / 20100275765 - Shape-effect composite armor system | 3 |
James Thomas Lagrotta | US | Boonton | 2011-02-10 / 20110030197 - METHOD OF CONSTRUCTING A TUNABLE RF FILTER | 1 |
James Lagrotta | US | Boonton Township | 2015-05-28 / 20150144361 - METHOD AND MECHANISM FOR FAST EVACUATION OF A PRESSURIZED VESSEL | 1 |
Anthony Lagrotta | US | Livingston | 2012-03-29 / 20120076581 - REINFORCED ICE FOR ROAD SURFACES AND A METHOD OF FABRICATING THEREOF | 2 |
John Howard La Grou | US | Placerville | 2016-05-19 / 20160142069 - Multi-path, series-switched, passively-summed digital-to-analog converter | 1 |
Armando Lagrutta | US | North Wales | 2009-05-07 / 20090117590 - Human calcium sensitive potassium channel beta3 subunit proteins, encoding nucleic acid and uses thereof | 1 |
Kiran Shankar Lagu | CA | Vaudreuil-Dorion | 2010-08-12 / 20100204292 - Pharmaceutical compositions comprising intra-and extra-granular fractions | 1 |
Bharat Lagu | US | Acton | 2015-07-02 / 20150183802 - TRICYCLIC SULFONAMIDE DERIVATIVES | 7 |
Bharat Lagu | US | Hillsborough | 2010-04-29 / 20100105728 - DIHYDRO-[1H]-QUINOLIN-2-ONE DERIVATIVES AS RXR AGONISTS FOR THE TREATMENT OF DYSLIPIDEMIA, HYPERCHOLESTEROLEMIA AND DIABETES | 3 |
Bharat Lagu | US | Cambridge | 2014-05-15 / 20140135312 - PYRROLOPYRIMIDINE COMPOUNDS AND THEIR USES | 3 |
Bharat Lagu | US | Lexington | 2014-11-06 / 20140329858 - Cyclic Urea Derivatives As Androgen Receptor Antagonists | 1 |
Chet Laguardia | US | Santa Margarita | 2012-06-28 / 20120163769 - SYSTEM AND METHOD FOR PRODUCING MEDICAL IMAGE DATA ONTO PORTABLE DIGITAL RECORDING MEDIA | 1 |
Chet Laguardia | US | Rancho Santa Margarita | 2016-02-25 / 20160055298 - SYSTEM AND METHOD FOR PRODUCING MEDICAL IMAGE DATA ONTO PORTABLE DIGITAL RECORDING MEDIA | 9 |
Chet Laguardia | US | Rancho Santa Margarita | 2016-02-25 / 20160055298 - SYSTEM AND METHOD FOR PRODUCING MEDICAL IMAGE DATA ONTO PORTABLE DIGITAL RECORDING MEDIA | 9 |
Chet La Guardia | US | Santa Margarita | 2011-12-08 / 20110301981 - PERSONAL INFORMATION SYSTEM | 1 |
Chet La Guardia | US | Rancho Santa Margarita | 2015-11-12 / 20150324529 - PERSONAL INFORMATION SYSTEM | 1 |
Ferran Laguarta Bertran | ES | Manresa | 2014-03-13 / 20140071263 - METHOD AND DEVICE FOR NON-CONTACT MEASURING SURFACES | 1 |
Evans Lagudah | AU | Ngunnawal | 2016-05-19 / 20160138042 - Wheat Stem Rust Resistance Gene | 2 |
Evans Lagudah | AU | Australian Capital Territory | 2011-09-15 / 20110223303 - RESISTANCE GENES | 2 |
Sateesh Lagudu | IN | Hyderabad | 2014-01-02 / 20140002733 - SUBFRAME LEVEL LATENCY DE-INTERLACING METHOD AND APPARATUS | 1 |
Sateesh Lagudu | IN | Ameerpet | 2011-05-19 / 20110116656 - PULSE CODE MODULATION CONVERSION CIRCUIT AND METHOD | 1 |
Julio C. Laguen-Perez | US | Danville | 2012-02-09 / 20120030896 - HAND-HELD AND CONVERSION VACUUM CLEANER WITH ADAPTER | 1 |
Rubén Laguens | AR | Buenos Aires | 2009-11-05 / 20090275645 - METHOD TO INDUCE NEOVASCULAR FORMATION AND TISSUE REGENERATION | 1 |
Martin Laguens | AR | La Plata | 2008-11-13 / 20080279958 - SNAKE VENOM COMPOSITIONS AND METHODS OF USE | 1 |
Ruben P. Laguens | AR | La Plata | 2008-11-13 / 20080279958 - SNAKE VENOM COMPOSITIONS AND METHODS OF USE | 1 |
Karla Laguens | BR | Jardim Paulista | 2013-11-28 / 20130316024 - COSMETIC KIT AND USE FOR IMPROVING THE APPEARANCE OF THE SKIN | 1 |
Rubén Laguens | AR | Buenos Aires | 2014-11-20 / 20140341972 - METHOD TO INDUCE NEOVASCULAR FORMATION AND TISSUE REGENERATION | 2 |
Sylvie Laguerre | US | Exton | 2014-03-13 / 20140072532 - COMPOUNDS, COMPOSITIONS AND METHODS FOR TREATING OR PREVENTING PNEUMOVIRUS INFECTION AND ASSOCIATED DISEASES | 2 |
Michel Laguerre | FR | Leognan | 2009-10-01 / 20090247472 - TYPE 1, 4-NAPHTOQUINONE COMPOUNDS, COMPOSITIONS COMPRISING THEM AND USE OF THESE COMPOUNDS AS ANTI-CANCER AGENTS | 1 |
Albert Laguerre | FR | Le Mans | 2012-12-20 / 20120323037 - METHOD FOR PREPARING TELECHELIC OLIGOMERS FROM TIRE WASTE | 2 |
Stephen W. Laguette | US | Santa Barbara | 2014-01-09 / 20140012375 - ACCOMMODATING INTRAOCULAR LENS WITH OUTER SUPPORT STRUCTURE | 2 |
Nadine Laguette | FR | Saint Georges D'Orgues | 2014-01-09 / 20140010839 - METHOD OF SCREENING ANTIRETROVIRAL COMPOUNDS AND VACCINE | 1 |
Richard A. Laguna | US | Columbus | 2014-03-27 / 20140087436 - AUTOTROPHIC HYDROGEN BACTERIA AND USES THEREOF | 1 |
David Christopher Laguna | US | Austin | 2015-06-25 / 20150181762 - Appliance Immersion Cooling System | 1 |
Antonio Laguna | ES | Zaragoza | 2013-03-14 / 20130066092 - USE OF GOLD COMPLEXES IN OPTOELECTRONIC DEVICES | 1 |
Sergio Echeverrigaray Laguna | BR | Paysandu | 2013-03-21 / 20130073216 - METHOD, SYSTEM AND APPARATUS TO PREDICT AND/OR RECOGNIZE AND/OR CLASSIFY BIOLOGICAL SEQUENCES | 1 |
Kimberly Laguna | US | Cooper City | 2015-10-22 / 20150296896 - ARTICLE OF CLOTHING FOR SURGICAL PATIENTS | 1 |
Victor Laguna | US | San Jose | 2016-03-31 / 20160092581 - PERSONALIZED SEARCH FILTER AND NOTIFICATION SYSTEM | 1 |
Alvaro J. Laguna | US | Flagstaff | 2014-12-04 / 20140352875 - APPARATUS AND METHODS FOR CONDUITS AND MATERIALS | 5 |
Diego Lagunas | US | Los Gatos | 2012-10-18 / 20120265676 - METHOD AND SYSTEM FOR PAYMENT FUNDING | 4 |
Diego Lagunas | US | San Jose | 2015-04-02 / 20150095201 - METHOD AND SYSTEM FOR COLLABORATIVE AND PRIVATE SESSIONS | 3 |
Carmen Lagunas Arnal | ES | Esplugues De Llobregat | 2011-02-03 / 20110028410 - Use of Amygdalin Analogues for the Treatment of Psoriasis | 1 |
Carmen Lagunas Arnal | ES | Barcelona | 2010-03-25 / 20100075984 - DICARBONYLIC COMPOUNDS WITH ANTIBACTERIAL ACTIVITY | 2 |
Manuel C. Lagunas-Solar | US | Davis | 2012-08-30 / 20120219100 - IODINE-125 PRODUCTION SYSTEM AND METHOD | 3 |
Arunas Lagunavicius | LT | Vilnius | 2016-01-28 / 20160024475 - DELIVERY AGENT | 6 |
Gustaf Lagunoff | SE | UmeÅ | 2016-02-18 / 20160048133 - A HYDRAULIC PUMP ASSEMBLY | 1 |
Gustaf Lagunoff | SE | Malmo | 2015-04-09 / 20150099600 - ELECTRICAL AXLE | 2 |
Pentti Lagus | FI | Helsinki | 2015-06-11 / 20150157527 - DEVICE FOR FOOT ZONE THERAPY | 2 |
Tomas Lagus | SE | Lund | 2014-03-13 / 20140069992 - FORMING DEVICE, MACHINE, PACKAGING LAMINATE WEB, FORMING METHOD AND PROCESS IN PRODUCING PACKAGES | 2 |
Mark E. Lagus | US | Stanfordville | 2010-01-21 / 20100017010 - MONITORING A PROCESS SECTOR IN A PRODUCTION FACILITY | 1 |
Tomas Lagus | SE | Akarp | 2012-02-16 / 20120036814 - SAFETY CHAMBER | 1 |
Kim M. Laguzza | US | New Canaan | 2013-07-11 / 20130174321 - SUN/RAIN Hat | 1 |
Michael Charles Laguzza | AU | Carlingford | 2014-07-10 / 20140190486 - ULTRASONIC WELDING OF FABRICS FOR SLEEP APNEA TREATMENT | 1 |
Michael Charles La Guzza | AU | Sydney | 2015-12-10 / 20150352308 - PATIENT INTERFACE AND METHOD FOR MAKING SAME | 1 |
Fulvio Laguzzi | IT | Valfenera | 2010-10-21 / 20100263763 - Apparatus for filling flexible containers with a fluid, for example foodstuffs, such as cream, yoghurt, fruit juices and purees, vegetables and similar | 1 |
Fulvio Laguzzi | IT | Alessandria | 2014-02-06 / 20140037498 - INPUT OR OUTPUT OF AN ELECTRON BEAM STERILISATION DEVICE AND STERILISATION METHOD | 1 |
Fulvio Laguzzi | IT | Castellazzo Bormida | 2014-05-15 / 20140134044 - STERILISATION DEVICE WITH ELECTRON BEAMS FOR THIN WALLED CONTAINERS AND STERILISATION METHOD | 1 |
Willy Lagwinski | US | Westlake | 2008-10-09 / 20080248587 - Methods for Verifying Fluid Movement | 1 |
Rafi Lagziel | IL | Modiin | 2015-07-30 / 20150214686 - Transceiver receptacle cage | 5 |
Jeh-Kun Lah | KR | Seoul | 2014-05-01 / 20140115780 - COT | 7 |
Ruben F. Lah | US | South Jordan | 2016-05-12 / 20160131265 - Cycle Valve for Use in Hydromethanation Process | 11 |
Slavko Lah | SI | Slovenia | 2015-03-19 / 20150075641 - SYSTEM FOR REGULATING PRESSURE DIFFERENTIALS ON A FLUID | 1 |
Ruben F. Lah | US | South Jordan | 2016-05-12 / 20160131265 - Cycle Valve for Use in Hydromethanation Process | 11 |
Michael C. Lah | US | Benton Harbor | 2014-09-18 / 20140265808 - APPARATUS, SYSTEM, AND METHOD FOR STORAGE IN A REFRIGERATED APPLIANCE | 3 |
Michael C. Lah | US | Round Rock | / - | 1 |
Jong Kook Lah | KR | Seoul | 2014-06-05 / 20140153067 - SCANNER FOR AUTOMATICALLY DETECTING OBJECT TO BE SCANNED AND SCANNING METHOD USING SAME | 1 |
Ruben F. Lah | US | West Jordan | 2009-07-23 / 20090183980 - Coke Drum Skirt | 3 |
Slavko Lah | SI | Brezice | 2016-01-07 / 20160004256 - PRESSURE INDEPENDENT CONTROL AND BALANCING VALVES | 1 |
Jeh-Kun Lah | KR | Suh-Gu | 2010-05-20 / 20100122718 - WALKING STICK | 2 |
Jehkun Lah | KR | Incheon | 2009-07-09 / 20090173369 - Apparatus And Methods For Joining Poles For Supporting A Structure | 1 |
Arijit Laha | IN | Burdwan | 2013-03-07 / 20130060793 - EXTRACTING INFORMATION FROM MEDICAL DOCUMENTS | 1 |
Subhash Chandra Laha | IN | Manjusar | 2013-06-27 / 20130165729 - ZINC AND/OR MANGANESE ALUMINATE CATALYST USEFUL FOR ALKANE DEHDYROGENATION | 1 |
Kushanava Laha | IN | Gurgaon | 2014-05-29 / 20140146801 - METHOD AND APPARATUS FOR SUPPORTING FEMTOCELL SERVICE CAPABILITIES FOR SERVICES | 4 |
Sudipta Kumar Laha | IN | West Bengal | 2015-08-06 / 20150221015 - SYSTEMS AND METHODS FOR ADJUSTING A SHOPPING PLANNER BASED ON IDENTIFICATION OF SHOPPING PREDICTORS | 1 |
Joydev K. Laha | US | Charlestown | 2012-09-27 / 20120245508 - SYNTHESIS OF CHLORINS AND PHORBINES WITH ENHANCED RED SPECTRAL FEATURES | 2 |
Subhash Chandra Laha | IN | Bangalore | 2014-08-21 / 20140235911 - CATALYST FOR THE PREPARATION OF AROMATIC HYDROCARBONS AND USE THEREOF | 1 |
Kushanava Laha | IN | Haryana | 2014-06-12 / 20140161055 - BANDWIDTH MANAGEMENT, AGGREGATION AND INTERNET PROTOCOL FLOW MOBILITY ACROSS MULTIPLE-ACCESS TECHNOLOGIES | 1 |
Sumanta Laha | IN | Begampur | 2015-09-10 / 20150256475 - SYSTEMS AND METHODS FOR DESIGNING AN OPTIMIZED INFRASTRUCTURE FOREXECUTING COMPUTING PROCESSES | 1 |
Subhash Chandra Laha | IN | Vadodara | 2013-07-04 / 20130172648 - CATALYST FOR THE PREPARATION OF AROMATIC HYDROCARBONS AND USE THEREOF | 1 |
Sukumar Laha | IN | Kanpur | 2016-03-10 / 20160069757 - Non-Contact Method for Measurement of Strain Profile at a Location Interposed within a Soft Deformable Object with Dynamic Evolution of the Strain Under Dynamic Loading or Fracture of the Object | 1 |
Subhash Chandra Laha | IN | Gujarat | 2014-10-02 / 20140296605 - CATALYST COMPOSITION FOR THE DEHYDROGENATION OF ALKANES | 2 |
Arindam Laha | US | North Canton | 2012-01-26 / 20120023018 - Automated banking machine | 2 |
Richard Lahaie | CA | Canmore | 2016-04-07 / 20160100135 - METHOD OF MONITORING AND CONTROLLING DEWATERING OF OIL SANDS TAILINGS | 1 |
Sebastien Lahaie | US | Rye | 2011-07-07 / 20110166942 - CONTRACT AUCTIONS FOR SPONSORED SEARCH | 2 |
Brian Lee Lahaie | US | Dorr | 2016-03-10 / 20160069061 - MOVEABLE WALL SYSTEM | 3 |
Richard Daniel Lahaie | CA | Canmore | 2014-02-27 / 20140054231 - CENTRIFUGE PROCESS FOR DEWATERING OIL SANDS TAILINGS | 1 |
Pierre Lahaie | CA | Quebec | 2014-09-18 / 20140263949 - METHOD AND APPARATUS FOR PHOTON COUNTING | 2 |
Sebastian Lahaie | US | Cambridge | 2008-12-11 / 20080306819 - SYSTEM AND METHOD FOR SHAPING RELEVANCE SCORES FOR POSITION AUCTIONS | 1 |
Brenda Lahaie | US | Rock Hill | 2010-01-14 / 20100010846 - SYSTEMS AND METHODS FOR EVALUATING BUSINESS-CRITICAL CRITERIA RELATING TO EXPLORING ENTITY MOBILITY/PRODUCTIVITY OPPORTUNITIES | 1 |
Richard Lahaie | FR | Sanary Sur Mer | 2010-12-09 / 20100306915 - FOLDING BARRIER DEVICE | 1 |
Diane Marie Lahaie | US | Ann Arbor | 2015-08-20 / 20150233784 - HEADLAMP AIMER BOX | 2 |
Denis Lahaie | CA | Guelph | 2012-05-31 / 20120136618 - SYSTEM AND METHOD FOR DETECTING AND MEASURING IMPACTS IN HANDHELD DEVICES USING AN ACOUSTIC TRANSDUCER | 2 |
Sebastien Lahaie | US | Greenwich | 2015-12-31 / 20150379569 - ASSIGNING SCORES TO ELECTRONIC COMMUNICATIONS WITH EXTENSIONS | 3 |
Rick Lahaie | CA | Canmore | 2014-05-01 / 20140116955 - DISPOSAL OF OIL SAND TAILINGS CENTRIFUGE CAKE | 1 |
Denis Lahaie | CA | Waterloo | 2014-02-13 / 20140046622 - SYSTEM AND METHOD FOR DETECTING AND MEASURING IMPACTS IN HANDHELD DEVICES USING AN ACOUSTIC TRANSDUCER | 3 |
Mohammad Laham | US | Basking Ridge | 2009-02-12 / 20090041454 - SOA-MZI Device Fault Isolation | 1 |
Roger Laham | US | Brookline | 2008-09-11 / 20080221593 - APPARATUS AND METHOD FOR THE LIGATION OF TISSUE | 1 |
Roger J. Laham | US | Brookline | 2015-09-17 / 20150257786 - Devices and Methods for Tissue Transplant and Regeneration | 2 |
Nicholas David Laham | US | Woodridge | 2015-07-02 / 20150189053 - Mobile Phone Case with Heating Element | 1 |
Eugene Harold Lahann | US | Gilbert | 2015-12-31 / 20150375071 - Golf Bag Ring | 1 |
Joerg Lahann | US | Ann Arbor | 2016-01-28 / 20160025605 - CHEMICAL SENSING DEVICE | 19 |
Jeffrey S. Lahann | US | Queen Creek | 2013-12-12 / 20130333036 - SYSTEM, METHOD AND PROGRAM FOR IDENTIFYING AND PREVENTING MALICIOUS INTRUSIONS | 1 |
Jeffrey S. Lahann | US | Erie | 2013-08-15 / 20130212685 - NETWORk THREAT RISK ASSESSMENT TOOL | 1 |
Melissa Lahann | US | Chicago | 2015-06-04 / 20150150312 - CLOTHING CLIP APPARATUS AND METHOD FOR USING SAME | 1 |
Jean Pierre Lahargue | FR | Pau | 2010-03-25 / 20100077001 - SEARCH SYSTEM AND METHOD FOR SERENDIPITOUS DISCOVERIES WITH FACETED FULL-TEXT CLASSIFICATION | 1 |
Christopher Jon Laharty | US | Oregon City | 2015-06-11 / 20150158298 - PRINTHEAD HAVING TWO ADHESIVES | 3 |
Pierre-Yves Lahary | FR | Lyon | 2015-05-14 / 20150132577 - PRECIPITATED SILICA AND PAPER INDUSTRY/OTHER APPLICATIONS THEREOF | 7 |
Oren Lahav | IL | Herzlia | 2014-03-20 / 20140080782 - METHODS OF DIAGNOSING AND TREATING PANCREATIC CANCER | 1 |
Ron Lahav | IL | Kibbutz | 2012-10-04 / 20120252875 - METHODS AND COMPOSITIONS FOR TREATING DISEASES, DISORDERS OR INJURY OF THE CNS | 1 |
Assaf Lahav | IL | Binyamina | 2016-01-07 / 20160005896 - APPARATUS, SYSTEM AND METHOD OF BACK SIDE ILLUMINATION (BSI) COMPLEMENTARY METAL-OXIDE-SEMICONDUCTOR (CMOS) PIXEL ARRAY | 4 |
Aviad Lahav | IL | Tel-Aviv | 2016-01-07 / 20160004883 - SYSTEM AND METHOD FOR SECURE USE OF MESSAGING SYSTEMS | 9 |
Elad Lahav | CA | Waterloo | 2013-03-07 / 20130061239 - System and Method for Operating a Processor | 1 |
Sagi Lahav | IL | Kiriay Bialik | 2014-07-03 / 20140189328 - POWER REDUCTION BY USING ON-DEMAND RESERVATION STATION SIZE | 1 |
Erica Lahav | IL | Qiriat Bialik | 2012-09-27 / 20120244219 - STABLE BENZIMIDAZOLE FORMULATION | 1 |
Raffael Lahav | IL | Qiriat Bialik | 2016-04-28 / 20160113878 - STABLE BENZIMIDAZOLE FORMULATION | 2 |
Asaf Lahav | IL | Kfar Sava | 2009-02-26 / 20090055466 - GRID-BASED SYSTEM FOR PROVIDING BUSINESS SERVICES IN DATA NETWORKS | 1 |
Shlomo Lahav | IL | Ramat-Gan | 2016-02-25 / 20160055277 - METHOD AND SYSTEM FOR CREATING A PREDICTIVE MODEL FOR TARGETING WEB-PAGE TO A SURFER | 8 |
Tomer Lahav | US | Brooklyn | 2015-06-25 / 20150179224 - METHODS AND SYSTEMS FOR SEEKING TO NON-KEY FRAMES | 1 |
Ori Lahav | IL | Givat Ela | 2013-11-07 / 20130292335 - PHYSICO-CHEMICAL PROCESS FOR REMOVAL OF NITROGEN SPECIES FROM RECIRCULATED AQUACULTURE SYSTEMS | 1 |
Adam Lahav | IL | Nes Ziona | 2016-05-05 / 20160124592 - DEVICE FOR DISPLAYING ELECTRONIC COMMUNICATIONS RECEIVED FROM COMMUNICATIONS SERVICES | 2 |
Amos Lahav | IL | Zoran | 2012-03-08 / 20120059793 - METHOD OF BI-DIRECTIONAL SYNCHRONIZATION OF USER DATA | 3 |
Shlomo Lahav | IL | Ramat-Gut | 2015-07-30 / 20150213363 - METHOD AND SYSTEM FOR PROVIDING TARGETED CONTENT TO A SURFER | 1 |
Yonatan Lahav | IL | Timorim | 2015-11-19 / 20150327757 - A PRE-SHAPED RIGID PORT | 1 |
Assaf Lahav | IL | Migdal Haemek | 2008-10-02 / 20080237653 - Deep Implant Self-Aligned To Polysilicon Gate | 1 |
Asaf Lahav | IL | Ramat Hasharon | 2013-12-05 / 20130326237 - UNINTERRUPTABLE PC POWER UNIT FOR USE IN PERSONAL COMPUTER AND SERVERS | 1 |
Ori Lahav | IL | Nesher | 2010-11-25 / 20100294717 - POST TREATMENT FOR DESALINATED AND SOFT WATER FOR BALANCED WATER COMPOSITION SUPPLY | 2 |
Shlomo Lahav | IL | Ramat-Gan | 2016-02-25 / 20160055277 - METHOD AND SYSTEM FOR CREATING A PREDICTIVE MODEL FOR TARGETING WEB-PAGE TO A SURFER | 8 |
Alex Lahav | IL | Haifa | 2010-10-21 / 20100267234 - FOCUSED ION BEAM DEEP NANO-PATTERNING APPARATUS AND METHOD | 1 |
Sagi Lahav | IL | Kiryat Bialik | 2009-12-31 / 20090328057 - SYSTEM AND METHOD FOR RESERVATION STATION LOAD DEPENDENCY MATRIX | 2 |
Tsafrir Lahav | IL | Nes-Ziyyona | 2015-02-12 / 20150046230 - SYSTEM AND METHOD OF EVALUATING INFORMATION TECHNOLOGY (IT) SYSTEMS | 2 |
Michal Lahav | IL | Rehove | 2015-10-22 / 20150303390 - SEQUENCE DEPENDENT ASSEMBLY TO CONTROL MOLECULAR INTERFACE PROPERTIES FOR MEMORY DEVICES, SOLAR CELLS AND MOLECULAR DIODES | 1 |
Amos Lahav | IL | Tel Mond | 2014-02-13 / 20140047011 - VIRTUAL WINDOW SCREEN CAPTURE | 2 |
Jacob Lahav | IL | Katzerin | 2012-10-18 / 20120260858 - METHODS AND COMPOSITIONS FOR INCREASING THE HATCHABILITY OF HATCHERY EGGS | 2 |
Michal Lahav | IL | Rehovot | 2010-09-16 / 20100233434 - PATTERNING OF IONIC POLYMERS | 1 |
Karen Lahav | IL | Petach-Tikva | 2015-12-24 / 20150370066 - Imaging System With Optimized Extended Depth of Focus | 2 |
Alon Lahav | IL | Petach Tikwa | 2008-08-21 / 20080201513 - Method and Device to Transfer Digital Data | 1 |
Aviad Lahav | IL | Tel-Aviv | 2016-01-07 / 20160004883 - SYSTEM AND METHOD FOR SECURE USE OF MESSAGING SYSTEMS | 9 |
Christian Theodorus Wilhelmus Lahaye | NL | Heerhugowaard | 2015-09-03 / 20150247223 - HIGH STRENGTH AND LOW DENSITY PARTICLE-REINFORCED STEEL WITH IMPROVED E-MODULUS AND METHOD FOR PRODUCING SAID STEEL | 1 |
Thomas Lahaye | DE | Stockdorf | 2014-05-15 / 20140137292 - CITRUS TREES WITH RESISTANCE TO CITRUS CANKER | 1 |
René Louis Jean Lahaye | BE | Rekem | 2011-08-11 / 20110193004 - FLUID VALVE | 1 |
Herbert Lahaye | DE | Martinshöhe | 2016-05-19 / 20160137103 - CONSOLE FOR A VEHICLE SEAT, METHOD FOR PRODUCING A CONSOLE, AND VEHICLE SEAT | 1 |
Andree Lahaye | BE | Brussels | 2009-02-12 / 20090041896 - Xylanase, Microorganisms Producing It, DNA Molecules, Methods For Preparing This Xylanase And Uses Of The Latter | 1 |
Pierre Lahaye | CA | Montreal | 2009-05-14 / 20090123604 - EMULSION FOOD INGREDIENT | 1 |
Thomas Lahaye | DE | Moessingen | 2016-02-04 / 20160032303 - PATHOGEN-INDUCIBLE PROMOTERS AND THEIR USE IN ENHANCING THE DISEASE RESISTANCE OF PLANTS | 2 |
Thomas Lahaye | DE | Halle | 2013-08-22 / 20130217119 - MODULAR DNA-BINDING DOMAINS AND METHODS OF USE | 5 |
Christiaan Theodorus Wilhemus Lahaye | NL | Heerhugowaard | 2009-08-27 / 20090214891 - ALUMINIUM COMPOSITE SHEET MATERIAL | 1 |
Jules Lahaye | BE | Lanaken | 2009-09-17 / 20090232968 - Stabilizers for Thermosetting Powder Coating Compositions | 1 |
Belen Lahaye | FR | Maule | 2015-03-19 / 20150075380 - ACTIVATED-CARBON FILTER WITH STORAGE VOLUME FOR A FUEL TANK | 1 |
Leon C. Lahaye | US | Arnaudville | 2009-11-12 / 20090277478 - Apparatus and Method for Cleaning Lumens of Medical Devices and Lines | 1 |
Paul G. Lahaye | US | Kennebunk | 2009-12-17 / 20090308731 - GASIFICATION PROCESS | 1 |
Christiaan Theodorus Wilhelmus Lahaye | NL | Heerhugowaard | 2013-08-01 / 20130192726 - METHOD OF HOT FORMING A STEEL BLANK AND THE HOT FORMED PART | 2 |
Paul Lahaye | US | 2010-08-12 / 20100201461 - Methods And Devices For Reducing Communication And Power Signal Leakages From Filter Assemblies | 1 | |
Thomas Lahaye | DE | Halle (saale) | 2013-08-22 / 20130219555 - PATHOGEN-INDUCIBLE PROMOTERS AND THEIR USE IN ENHANCING THE DISEASE RESISTANCE OF PLANTS | 2 |
Paul Lahaye | US | Arnold | 2016-02-25 / 20160057895 - Methods And Devices For Reducing Communication And Power Signal Leakages From Filter Assemblies | 3 |
Tomi Lahcanski | US | Rochester | 2014-03-13 / 20140073361 - METHOD OF LOCATING NEARBY PICTURE HOTSPOTS | 12 |
Tomi Lahcanski | US | Rochester | 2014-03-13 / 20140073361 - METHOD OF LOCATING NEARBY PICTURE HOTSPOTS | 12 |
Ilkka Lahdelma | FI | Kangasala | 2010-06-10 / 20100141012 - METHOD OF QUARRYING DIMENSIONAL STONE, AND LINE DRILLING APPARATUS | 1 |
Jussi Lahdenniemi | FI | Julkujarvi | 2010-08-05 / 20100197224 - NEAR FIELD CONNECTION ESTABLISHMENT | 2 |
Juhani Lahdenperä | FI | Helsinki | 2009-06-18 / 20090156611 - MAMMALIAN HEDGEHOG SIGNALING MODULATORS | 1 |
Juhani Lahdenperä | FI | Helsinki | 2009-06-18 / 20090156611 - MAMMALIAN HEDGEHOG SIGNALING MODULATORS | 1 |
Maria J. Lahdenranta | US | Cambridge | 2015-12-17 / 20150361503 - METHODS FOR SELECTING THERAPEUTICS FOR TREATMENT OF HER2+ CANCERS | 1 |
Kimmo Lahdensivu | FI | Lempaala | 2015-07-23 / 20150205620 - CONFIGURING USER INTERFACES IN ELECTRONIC DEVICES | 2 |
Ville Lahdensuo | FI | Kangasala | 2010-05-27 / 20100130701 - SHOE PRESS BELT | 1 |
Lari Lahdensuu | FI | Vantaa | 2011-10-27 / 20110264254 - METHOD AND APPARATUS FOR REEL BUILDING AND ROLL RUNNABILITY IN MOVING WEB MANUFACTURING | 1 |
Petri Lahdesmaki | FI | Espoo | 2016-03-03 / 20160065415 - SYSTEM AND METHOD FOR FUNCTIONAL ELEMENTS | 2 |
Risto Lahdesmaki | FI | Espoo | 2009-08-13 / 20090204928 - LAYER-BASED USER INTERFACE | 2 |
Hassan Lahdili | CA | Gatineau | 2013-09-26 / 20130253923 - MULTICHANNEL ENHANCEMENT SYSTEM FOR PRESERVING SPATIAL CUES | 3 |
Hassan Lahdili | CA | Hull | 2008-09-11 / 20080221875 - Bit rate reduction in audio encoders by exploiting inharmonicity effects and auditory temporal masking | 1 |
Erik Jeroen Laheij | NL | Amstelveer | 2010-06-17 / 20100152024 - FCC Catalyst, Its Preparation And Use | 1 |
Erik Jeroen Laheij | NL | Amstelveen | 2015-12-31 / 20150375216 - FCC CATALYST, ITS PREPARATION AND USE | 5 |
Sharon A. La Herran | US | Campbell | 2009-08-20 / 20090205585 - Pet leash assembly | 1 |
Emma Lahert | GB | Surrey | 2014-08-07 / 20140220566 - MATERIALS AND METHODS FOR DETERMINING SENSITIVITY POTENTIAL OF COMPOUNDS | 1 |
Matthew A. Laherty | US | Bloomington | 2016-03-10 / 20160071486 - IMMERSIVE PROJECTION LIGHTING ENVIRONMENT | 6 |
Riitta Lahesmaa | FI | Turku | 2010-02-11 / 20100035971 - Methods and Means Related to Diseases | 1 |
Eeva Lahetkangas | FI | Oulu | 2016-05-05 / 20160128097 - METHOD AND APPARATUS FOR CONFIGURING SCHEDULING PERIODS BASED ON INFORMATION ABOUT THE MODE OF OPERATION | 10 |
Eeva Lahetkangas | FI | Oulu | 2016-05-05 / 20160128097 - METHOD AND APPARATUS FOR CONFIGURING SCHEDULING PERIODS BASED ON INFORMATION ABOUT THE MODE OF OPERATION | 10 |
Keijo Lahetkangas | FI | Oulu | 2010-09-23 / 20100242075 - Method and Device for Wireless Transmissions of Internet Protocol TV | 1 |
Jean-Marc Laheurte | FR | Villiers Sur Marne | 2015-10-15 / 20150294127 - ELECTRICAL ACTIVITY SENSOR DEVICE FOR DETECTING ELECTRICAL ACTIVITY AND ELECTRICAL ACTIVITY MONITORING APPARATUS | 2 |
Pascal Laheurte | FR | Forbach | 2014-10-02 / 20140290811 - METHOD FOR THE THERMOMECHANICAL TREATMENT OF A TITANIUM ALLOY, AND RESULTING ALLOY AND PROSTHESIS | 1 |
Caroline Laheurte | FR | St-Loup Sur Semouse | 2013-03-28 / 20130079416 - HOMEOPATHIC MEDICAMENT COMPRISING PHENACETIN FOR THE TREATMENT OF CANCER | 1 |
Matthieu Laheux | FR | Ligron-Thouars | 2009-12-24 / 20090315829 - Multi-User Pointing Apparaus and Method | 1 |
Kevin C. Lahey | US | South Plainfield | 2011-12-29 / 20110319308 - LOW-IRRITATING, CLEAR CLEANSING COMPOSITIONS WITH RELATIVELY LOW PH | 2 |
Kevin Lahey | US | South Plainfield | 2015-06-25 / 20150174014 - GEL-WIPE FOR PERSONAL CARE AND HOUSEHOLD CLEANSING | 2 |
Thomas E. Lahey | GB | Manchester | 2013-06-06 / 20130144756 - TRANSACTION SYSTEM | 1 |
Darrell Lahey | CA | Vancouver | 2010-09-16 / 20100235462 - TRACKING A STATE OF A DOCUMENT ACCESSIBLE OVER A COMPUTER NETWORK | 1 |
Clint N. Lahey | US | Ponte Vedra Beach | 2014-12-25 / 20140373499 - DOOR PROP IN A LAWN MOWER | 2 |
Jason C. Lahey | US | Dubuque | 2015-04-16 / 20150101440 - MULTIFUNCTIONAL CONTROL FOR A WORK VEHICLE | 2 |
Clint Lahey | US | Mount Pleasant | 2011-12-22 / 20110308217 - DOOR PROP IN A LAWN MOWER | 3 |
Leonard Corning Lahey | US | Boulder | 2010-07-08 / 20100171976 - Print Job Submission Mechanism | 1 |
Thomas James Lahey | CA | Madoc | 2014-06-19 / 20140167405 - Insert for HVAC Systems | 2 |
Leonard C. Lahey | US | Boulder | 2012-08-02 / 20120194849 - PRINT JOB PROCESSING IN AN AUTOMATED DOCUMENT FACTORY ENVIRONMENT | 1 |
Malika Lahiani | FR | Montmain | 2009-05-21 / 20090130218 - Association of Oleaginous Substance With a Mixture of at Least Two Cyclodextrins | 1 |
Limor Lahiani | IL | Tel Aviv | 2014-10-30 / 20140324856 - APPLICATION DISCOVERABILITY | 7 |
Sinead Lahiff | IE | Galway | 2012-04-19 / 20120094283 - ACE2 AS A TARGET GENE FOR THE MOLECULAR IDENTIFICATION OF YEAST AND FUNGAL SPECIES | 1 |
Sinead Lahiff | IE | Gort | 2011-09-08 / 20110218335 - EIF2GAMMA GENE AS A DIAGNOSTIC TARGET FOR THE IDENTIFICATION OF FUNGAL AND YEAST SPECIES | 3 |
Emer Lahiff | IE | Dublin | 2011-07-14 / 20110169704 - EMBEDDED NANOTUBE ARRAY SENSOR AND METHOD OF MAKING A NANOTUBE POLYMER COMPOSITE | 1 |
Jacob Lahijani | US | Wilmington | 2014-05-15 / 20140135463 - MELT-FABRICABLE PERFLUOROPOLYMERS HAVING IMPROVED HEAT AGING PROPERTY | 13 |
Sanam Lahijani | US | Los Angeles | 2015-12-24 / 20150366411 - DOMESTIC APPLIANCE COMMUNICATION SYSTEM | 1 |
Rosa R. Lahiji | US | Augusta | 2012-03-15 / 20120065479 - ULTRASOUND PATCH | 1 |
Behrang F. Lahiji | US | Mission Viejo | 2016-02-25 / 20160053512 - CYLINDER GUARD FOR DEADBOLT LOCK | 2 |
Rosa R. Lahiji | US | Shaker Heights | 2011-06-09 / 20110137209 - MICROPHONE ARRAYS FOR LISTENING TO INTERNAL ORGANS OF THE BODY | 1 |
Sandip K. Lahiri | BH | Hoora | 2014-12-11 / 20140365195 - SYSTEM AND METHOD FOR MONITORING A PROCESS | 1 |
Abhirup Lahiri | IN | New Delhi | 2012-06-28 / 20120161888 - CRYSTAL OSCILLATOR CIRCUIT | 1 |
Sudi Lahiri | GB | Coventry | 2012-09-06 / 20120226508 - SYSTEM AND METHOD FOR HEALTHCARE SERVICE DATA ANALYSIS | 1 |
Debomoy K. Lahiri | US | Brownsburg | 2015-07-30 / 20150209312 - MODULATION SAPP, SAAP ALPHA AND BDNF LEVELS IN INDIVIDUALS DIAGNOSED WITH FXS AND ASD | 1 |
Abhishek Lahiri | GB | Leeds | 2010-04-08 / 20100086454 - PROCESS FOR RECOVERING TITANIUM DIOXIDE | 1 |
Mayank Lahiri | US | San Francisco | 2015-10-01 / 20150281904 - GLOBAL-POSITIONING SYSTEM (GPS) UPDATE INTERVAL BASED ON SENSOR | 6 |
Asidhara Lahiri | IN | Kolkata | 2014-10-30 / 20140325491 - TRANSFORMATION OF COMPUTER PROGRAMS AND ELIMINATING ERRORS | 4 |
Susanta Lahiri | IN | Kolkaya | 2010-02-18 / 20100038315 - Separation of no-carrier-added thallium radionuclides from no-carrier-added lead and mercury radionuclides by dialysys | 1 |
Tirthankar Lahiri | US | Palo Alto | 2016-03-24 / 20160085834 - PRIORITIZING REPOPULATION OF IN-MEMORY COMPRESSION UNITS | 18 |
Saswata Lahiri | IN | Secunderabad | 2013-09-05 / 20130231486 - COMPOUNDS FOR PRODUCING SUBSTITUTED SULFOXIDES, PROCESS FOR PRODUCING THE SAME AND USE THEREOF | 2 |
Abhirup Lahiri | IN | Delhi | 2016-01-07 / 20160006442 - Capacitance Multiplier and Loop Filter Noise Reduction in a PLL | 5 |
Amitabha Lahiri | SG | Singapore | 2015-07-09 / 20150194075 - Apparatus, Method and System for Microsurgical Suture Training | 2 |
Swati Lahiri | IN | Bhopal | 2014-01-30 / 20140026787 - COMPOSITION FOR ADVANCED HYBRID GEOPOLYMERIC FUNCTIONAL MATERIALS AND A PROCESS FOR THE PREPARATION THEREOF | 1 |
Abhijit Lahiri | IN | Kolkata | 2016-05-12 / 20160134864 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR MONITORING AND/OR TESTING NETWORK COMMUNICATIONS | 2 |
Saswata Lahiri | IN | Jinnaram Mandal | 2010-11-11 / 20100286447 - PROCESS FOR PRODUCING 1-[2-(DIMETHYLAMINO)-1-(4-PHENOL)ETHYL]CYCLOHEXANOL | 1 |
Anirban Lahiri | NL | Eindhoven | 2010-11-04 / 20100277110 - ELECTRICAL REGENERATIVE BRAKING | 1 |
Subhashish Lahiri | IN | Bangalore | 2012-05-03 / 20120109805 - SIMPLIFYING MIGRATION FROM ONE FINANCIAL CONSOLIDATION APPLICATION TO ANOTHER | 1 |
Sushmita Lahiri | US | Medway | 2008-10-23 / 20080262811 - Crystal Structure of Haemophilus Influenzae Nad Dependent Dna Ligase and Uses Thereof | 1 |
Shuvendu Lahiri | US | Redmond | 2014-11-13 / 20140337819 - SEMANTIC BASELINING | 1 |
Biswajit Lahiri | US | Yorktown Heights | 2009-11-05 / 20090275543 - Modified Glycosaminoglycans, Pharmaceutical Compositions and Methods for Oral Delivery Thereof | 1 |
Abhik Lahiri | US | Mountain View | 2015-09-24 / 20150269949 - INCREMENTAL UTTERANCE DECODER COMBINATION FOR EFFICIENT AND ACCURATE DECODING | 1 |
Kaushik Lahiri | IN | Kolkata | 2016-01-28 / 20160027302 - SMART SPACING ALLOCATION | 4 |
Saswata Lahiri | IN | Gurgaon | 2015-10-22 / 20150297738 - CONJUGATES COMPRISING HYDROXYALKYL STARCH AND A CYTOTOXIC AGENT AND PROCESS FOR THEIR PREPARATION | 12 |
Ashok Lahiri | US | Cupertino | 2014-11-13 / 20140335395 - IONICALLY PERMEABLE STRUCTURES FOR ENERGY STORAGE DEVICES | 7 |
Indrajit Lahiri | US | Santa Clara | 2010-06-10 / 20100145513 - METHOD FOR MONITORING THE POSITION OF A SEMICONDUCTOR PROCESSING ROBOT | 1 |
Sudeep Kumar Lahiri | US | Mountain View | 2012-05-24 / 20120129277 - METHODS AND APPARATUSES FOR DETERMINING THICKNESS OF A CONDUCTIVE LAYER | 2 |
Tirthankar Lahiri | US | Palo Alto | 2016-03-24 / 20160085834 - PRIORITIZING REPOPULATION OF IN-MEMORY COMPRESSION UNITS | 18 |
Joydeep Lahiri | US | Painted Post | 2015-10-15 / 20150291932 - CELL CULTURE MEDIUM FOR ENHANCED HEPATOCYTE FUNCTION | 26 |
Shreyasi Lahiri | US | Mentor | 2010-05-27 / 20100130390 - Multifunctional Driveline Fluid | 3 |
Sandip Lahiri | US | Tampa | 2011-03-24 / 20110068893 - RFID FINGERPRINT CREATION AND UTILIZATION | 5 |
Rahul Lahiri | US | Belmont | 2014-06-05 / 20140156402 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR PRESENTING DIRECTED ADVERTISING TO A USER VIA A NETWORK | 3 |
Sanjoy Lahiri | IN | Thane | 2011-07-14 / 20110172425 - NOVEL WATER BASED PROCESS FOR THE PREPARATION OF SUBSTITUTED DIPHENYLMETHYL PIPERAZINES | 1 |
Shuvendu Kumar Lahiri | US | Redmond | 2011-12-22 / 20110314454 - INCREMENTAL COMPOSITIONAL DYNAMIC TEST GENERATION | 1 |
Abhishek Lahiri | IN | Bangalore | 2011-04-14 / 20110083969 - PROCESS | 1 |
Sanjoy Lahiri | IN | Mumbai | 2009-03-12 / 20090066231 - Electroluminescent device | 1 |
Shuvendu K. Lahiri | US | Redmond | 2013-06-13 / 20130152055 - REDUCING FALSE ALARMS FOR STATIC ANALYSIS OF CONCURRENT PROGRAMS | 3 |
Prabir Lahiri | IN | Kolkata | 2011-03-03 / 20110053172 - ONE STEP NANOSENSOR FOR SINGLE AND MULTIDRUG RESISTANCE IN ACUTE CORONARY SYNDROME (ACS) | 1 |
Parantap Lahiri | US | Redmond | 2016-03-10 / 20160072710 - DATA PACKET ROUTING | 12 |
Saswata Lahiri | IN | Graziabad | 2011-07-28 / 20110184167 - PROCESS FOR THE PREPARATION OF TEMSIROLIMUS AND ITS INTERMEDIATES | 1 |
Bibudh Lahiri | US | Jersey City | 2012-09-27 / 20120246109 - Critical Threshold Parameters for Defining Bursts in Event Logs | 1 |
Parantap Lahiri | US | Redmond | 2016-03-10 / 20160072710 - DATA PACKET ROUTING | 12 |
Saswata Lahiri | IN | Gurgaon | 2015-10-22 / 20150297738 - CONJUGATES COMPRISING HYDROXYALKYL STARCH AND A CYTOTOXIC AGENT AND PROCESS FOR THEIR PREPARATION | 12 |
Anirban Lahiri | IN | Kolkata | 2011-02-10 / 20110035174 - TIME SYNCHRONIZATION IN AN IMAGE PROCESSING CIRCUIT | 1 |
Saswata Lahiri | IN | Haryana | 2013-05-02 / 20130109870 - AMORPHOUS FORM OF CABAZITAXEL AND PROCESS FOR ITS PREPARATION | 1 |
Abhishek Lahiri | IN | Malleswaram Bangalore | 2008-10-02 / 20080241026 - Titaniferous ore beneficiation | 1 |
Logan Lahive | US | Chicago | 2014-09-18 / 20140278978 - SYSTEM AND METHOD FOR CUSTOMER ACQUISITION | 1 |
Berthold Lahl | DE | Kirchheim | 2009-10-08 / 20090252555 - Protective wall, dyke and method of producing a dyke | 2 |
Khalid Lahlil | FR | Orsay | 2015-07-23 / 20150205027 - METHOD OF MANUFACTURING A TRANSPARENT AND BIREFRINGENT THIN SOLID MINERAL LAYER AND OPTIC COMPONENT WITH A TRANSPARENT AND BIREFRINGENT THIN SOLID MINERAL LAYER | 3 |
Tarek A. Lahlou | US | Centreville | 2016-02-04 / 20160034820 - SYSTEMS AND METHODS FOR DISTRIBUTED SOLUTION OF OPTIMIZATION PROBLEMS | 1 |
John Galil Salim Lahlouh | US | San Jose | 2014-08-28 / 20140239224 - Sorbent for Lithium Extraction | 1 |
John G. Lahlouh | US | San Jose | 2009-12-10 / 20090306327 - Method of reducing stress relaxation in polymer articles and articles formed thereby | 1 |
Fadi Lahlouh | US | Burlingame | 2014-10-16 / 20140305944 - RESEALABLE BOX | 1 |
John Lahlouh | US | San Jose | 2016-05-19 / 20160141604 - SURFACE TREATED SILICON CONTAINING ACTIVE MATERIALS FOR ELECTROCHEMICAL CELLS | 5 |
Ross J. Lahlum | US | Mt. Prospect | 2010-07-01 / 20100164829 - COUNTERPOISE TO MITIGATE NEAR FIELD RADIATION GENERATED BY WIRELESS COMMUNICATION DEVICES | 1 |
Ryan Lahm | US | Lino Lakes | 2016-02-18 / 20160045732 - SYSTEMS, METHODS, AND INTERFACES FOR CONFIGURING CARDIAC THERAPY | 1 |
George Philip Lahm | US | Wilmington | 2016-03-10 / 20160066577 - MESOIONIC PESTICIDES | 47 |
Armin Lahm | IT | Rome | 2009-12-03 / 20090298046 - Assays for Histone Deacetylase 1/2 Selective Inhibitors | 3 |
Ryan P. Lahm | US | Lino Lakes | 2015-10-29 / 20150305695 - Guidance System For Localization And Cannulation Of the Coronary Sinus | 2 |
Ryan Phillip Lahm | US | Lino Lakes | 2012-11-15 / 20120290976 - NETWORK DISTRIBUTION OF ANATOMICAL MODELS | 1 |
Siegfried Lahm | DE | Leonberg | 2014-03-20 / 20140081501 - METHOD AND APPARATUS FOR OPERATING A MOTOR VEHICLE | 1 |
Randolph J. Lahm | US | Centennial | 2016-03-31 / 20160092538 - DYNAMIC DATA COPY UTILITY | 1 |
George Philip Lahm | US | Wilimington | 2015-10-01 / 20150272938 - ANIMAL PEST CONTROL METHOD | 1 |
Robyn Lahman | US | Redmond | 2013-01-10 / 20130012839 - SYSTEM AND METHOD FOR TREATING A THERAPEUTIC SITE | 5 |
Scott D. Lahman | US | Venice | 2008-12-04 / 20080301585 - METHOD FOR HOTSPOTTING THE VISIBLE WORLD USING VISUAL ICONOGRAPHY OR AUDIO INDICATION | 1 |
Aaron R. Lahman | US | Redmond | 2012-06-28 / 20120167049 - PARAMETERIZED INTERFACE IDENTIFIER TECHNIQUES | 2 |
Martina Lahmann | SE | Stockholm | 2015-02-12 / 20150045508 - IMMOBILISED BIOLOGICAL ENTITIES | 2 |
Frederic Francois Eugene Lahmann | BE | Rixensart | 2010-01-14 / 20100008980 - Use of MAGE A3-Protein D Fusion Antigen in Immunotherapy Combined with Surgery, Chemotherapy or Radiotherapy for the Treatment of Cancer | 1 |
Kerstin Lahmann | DE | Bohmte | 2011-10-13 / 20110248464 - SUSPENSION DEVICE HAVING ANTI-ROLL COMPENSATION | 1 |
Thomas Lahmann | US | Denver | 2012-04-26 / 20120100980 - CENTRIFUGE OPENING TOOL | 1 |
Ed Lahmann | US | Richmond | 2014-07-17 / 20140197055 - CIGAR BOX WITH PEDESTAL LID | 1 |
Mehdi Lahmar | FR | Nantes | 2011-10-13 / 20110251085 - IN VITRO METHOD TO DETERMINE WHETHER A DRUG CANDIDATE ACTIVE AGAINST A TARGET PROTEIN IS ACTIVE AGAINST A VARIANT OF SAID PROTEIN | 1 |
Maher Lahmar | US | Minneapolis | 2015-08-13 / 20150227951 - FORECASTING HOLIDAY WEEKLY SALES PROFILES | 3 |
Christian Lahmer | DE | Heidelberg-Rohrback | 2014-10-02 / 20140297574 - PROBABILISTIC LANGUAGE MODEL IN CONTEXTUAL NETWORK | 1 |
Christian Lahmer | DE | Heidelberg | 2015-09-24 / 20150268929 - Pre-Processing Of Geo-Spatial Sensor Data | 2 |
Manfred Lahmer | DE | Eichenau | 2015-06-11 / 20150159551 - GAS TURBINE | 1 |
Michael F. Lahn | US | Denver | 2012-05-24 / 20120128759 - METHOD TO INHIBIT AIRWAY HYPERRESPONSIVENESS USING AEROSOLIZED T CELL RECEPTOR ANTIBODIES | 2 |
Kevin Lahn | US | Poulsbo | 2014-03-27 / 20140084568 - ANTI-RATTLE HITCH ASSEMBLY | 1 |
Kevin Lahn | US | Kingston | 2010-11-11 / 20100283225 - ANTI-RATTLE HITCH ASSEMBLY | 1 |
Ludger Lahn | DE | Moers | 2015-12-24 / 20150368158 - Solution for Forming Insulation Coating and Grain-Oriented Electrical Steel Sheet | 7 |
Wolfgang Lahn | DE | Willich | 2010-08-19 / 20100210496 - Fragrance Effect of Perfume Esters | 4 |
David W. Lahnala | US | Adrian | 2015-11-05 / 20150314672 - SLIDING WINDOW ASSEMBLY FOR A VEHICLE INCLUDING A FIXED PANEL HAVING AN ARCUATE CONFIGURATION AND INCLUDING A RAIL DEFINING A RAIL CHANNEL HAVING A SUBSTANTIALLY LINEAR CONFIGURATION | 17 |
Juergen K. Lahner | US | Morgan Hill | 2010-08-26 / 20100217564 - ADVANCED PHYSICAL SIMULATOR | 3 |
Frank Lahner | DE | Amberg | 2011-10-13 / 20110250924 - Energy-efficient operation of a communication network | 1 |
Frank Lahner | DE | Erlangen | 2012-09-06 / 20120224537 - Method for Transmitting a Beacon in a Star Network (Master-Slave Ad Hoc Network) and a Sensor Node in a Star Network | 5 |
Frank Lahner | DE | Arnberg | 2009-11-19 / 20090284390 - Operator's Device for the Detection of a Required Sensor or Actuator, and Corresponding Method | 1 |
Markus Lahner | AT | St. Polten | 2013-08-22 / 20130215632 - LED VEHICLE HEADLAMP | 1 |
Gerhard A. Lahnstein | DE | Brohl-Lutzing | 2013-03-21 / 20130068606 - FLUID AGITATOR FOR USE IN AN IMMERSION COOLER | 1 |
Jeffrey David Laho | US | Canton | 2013-10-03 / 20130257113 - Second Row Vehicle Seat | 1 |
Edward J. Lahoda | US | Edgewood | 2015-04-09 / 20150098546 - HIGH TEMPERATURE STRENGTH, CORROSION RESISTANT, ACCIDENT TOLERANT NUCLEAR FUEL ASSEMBLY GRID | 4 |
Edward J. Lahoda | US | Pittsburgh | 2012-01-05 / 20120002778 - TRIURANIUM DISILICIDE NUCLEAR FUEL COMPOSITION FOR USE IN LIGHT WATER REACTORS | 11 |
Edward Jean Lahoda | US | Edgewood | 2014-07-24 / 20140203460 - LASER SINTERING SYSTEMS AND METHODS FOR REMOTE MANUFACTURE OF HIGH DENSITY PELLETS CONTAINING HIGHLY RADIOACTIVE ELEMENTS | 1 |
Edward J. Lahoda | US | Edgeood | 2015-03-19 / 20150078505 - SIC MATRIX FUEL CLADDING TUBE WITH SPARK PLASMA SINTERED END PLUGS | 1 |
Yoann Lahogue | FR | Octeville Sur Mer | 2013-08-01 / 20130192956 - Chain conveyor changeable between a stretched configuration and a compact configuration | 1 |
John Lahood | US | North Andover | 2010-10-21 / 20100263788 - System and Method for Microelectronics Lamination Press | 1 |
James R. Lahood | US | Edwards | 2014-12-04 / 20140352182 - RETAINER SYSTEMS FOR GROUND ENGAGING TOOLS | 1 |
James Robert Lahood | US | Peoria | 2015-08-20 / 20150233095 - IMPLEMENT TOOTH ASSEMBLY WITH TIP AND ADAPTER | 12 |
John Paul Lahood | US | Washington | 2016-03-31 / 20160088920 - Cosmetics Applicator System and Method | 2 |
John W. Lahood | US | N. Andover | 2014-04-10 / 20140096907 - SYSTEM AND METHOD FOR MICROELECTRONICS LAMINATION PRESS | 1 |
James Robert Lahood | US | Peoria | 2015-08-20 / 20150233095 - IMPLEMENT TOOTH ASSEMBLY WITH TIP AND ADAPTER | 12 |
Richard Joseph Lahood, Jr. | US | Peoria | 2016-03-31 / 20160088920 - Cosmetics Applicator System and Method | 2 |
Richard Joseph Lahood, Sr. | US | Washigton | 2016-03-31 / 20160088920 - Cosmetics Applicator System and Method | 1 |
Richard Joseph Lahood, Sr. | US | Washington | 2015-12-24 / 20150366327 - Cosmetics Applicator System and Method | 1 |
Sanjeev Lahoti | US | Houston | 2015-04-23 / 20150107298 - CVD-SIEMENS REACTOR PROCESS HYDROGEN RECYCLE SYSTEM | 7 |
Rani Lahoti | US | Palo Alto | 2015-07-30 / 20150212976 - SYSTEM AND METHOD FOR RULE BASED CLASSIFICATION OF A TEXT FRAGMENT | 1 |
Mireille Hanna Lahoud | AU | East Melbourne | 2015-09-24 / 20150265721 - Compounds and Methods for Modulating an Immune Response | 4 |
Mireille Hanna Lahoud | AU | Vectoria | 2011-05-26 / 20110126301 - Dendritic Cell Marker and Uses Thereof | 1 |
Nancy Lahoud | CA | Kanata | 2009-05-28 / 20090136190 - Optical Device Comprising a Waveguide Structure | 1 |
Salim Lahoud | US | New York | 2013-11-28 / 20130318005 - APPARATUSES, METHODS AND SYSTEMS FOR FACILITATING COMMUNITIES OF SOCIAL NETWORK BASED INVESTMENT | 4 |
Imad Lahoud | FR | Paris | 2011-02-24 / 20110043245 - COMPONENT PROVIDED WITH AN INTEGRATED CIRCUIT COMPRISING A CRYPTOROCESSOR AND METHOD OF INSTALLATION THEREOF | 2 |
Mireille Hanna Lahoud | AU | Victoria | 2012-02-16 / 20120039806 - Compounds and Methods for Modulating an Immune Response | 1 |
Nizar Lahoui | FR | Augbagne | 2014-06-05 / 20140152511 - PORTABLE DEVICE WITH APERTURED ELECTRICAL CONTACTS | 1 |
Nizar Lahoui | FR | Aubagne | 2014-05-15 / 20140131453 - RADIOFREQUENCY TRANSPONDER DEVICE WITH OPTIMIZED PASSIVE RESONANT CIRCUIT | 2 |
Nizar Lahoui | FR | Aubange | 2014-06-05 / 20140152519 - WIRE CAPACITOR, IN PARTICULAR FOR A RADIO FREQUENCY CIRCUIT, AND DEVICE COMPRISING SAID WIRE CAPACITOR | 1 |
Lise Lahourcade | CH | Lausanne | 2013-09-19 / 20130240026 - PHOTOVOLTAIC SEMICONDUCTIVE MATERIALS | 1 |
Florence Lahousse | FR | Thiais | 2016-02-25 / 20160051028 - DEVICE COMPRISING A LIQUID LIPSTICK COMPOSITION IN THE FORM OF AN INVERSE EMULSION, AND A POROUS APPLICATION MEMBER | 11 |
Florence Lahousse | FR | Thiais | 2016-02-25 / 20160051028 - DEVICE COMPRISING A LIQUID LIPSTICK COMPOSITION IN THE FORM OF AN INVERSE EMULSION, AND A POROUS APPLICATION MEMBER | 11 |
Bruno R. Lahousse | FR | Montpellier | 2015-08-27 / 20150242288 - SETTING COPY PERMISSIONS FOR TARGET DATA IN A COPY RELATIONSHIP | 3 |
Darius Lahoutifard | US | Irvine | 2013-05-09 / 20130117704 - Browser-Accessible 3D Immersive Virtual Events | 1 |
Tony Lahoutte | BE | Ganshoren | 2016-02-04 / 20160030606 - RADIO-LABELLED ANTIBODY FRAGMENTS FOR USE IN THE PREVENTION AND/OR TREATMENT OF CANCER | 8 |
Inmaculada Lahoz GarcÍa | ES | Zaragoza | 2016-01-07 / 20160000022 - BIODEGRADABLE MULCH FOR AGRICULTURAL APPLICATIONS | 1 |
Derek F. Lahr | US | Blacksburg | 2009-11-12 / 20090281634 - GUT PROSTHETIC | 1 |
Nils B. Lahr | US | Snoqualmie | 2015-10-08 / 20150286876 - SYSTEMS AND METHODS FOR GENERATING BOOKMARK VIDEO FINGERPRINTS | 8 |
Steven R Lahr | US | Auburn | 2015-09-03 / 20150248366 - METHOD AND APPARATUS FOR ACCESSING MULTIPLE STORAGE DEVICES FROM MULTIPLE HOSTS WITHOUT USE OF REMOTE DIRECT MEMORY ACCESS (RDMA) | 1 |
Rhone Danier Lahr | US | Mccormick | 2009-05-28 / 20090133579 - Method and Apparatus for Degassing Fluid in Enclosed Containers | 1 |
Christopher J. Lahr | US | Jackson | 2009-11-12 / 20090281634 - GUT PROSTHETIC | 1 |
Nils B. Lahr | US | Redmond | 2014-05-22 / 20140143679 - SYSTEM AND METHOD FOR USING A WEBSITE CONTAINING VIDEO PLAYLISTS AS INPUT TO A DOWNLOAD MANAGER | 12 |
Lewis F. Lahr | US | Dover | 2016-02-11 / 20160041941 - TWO-WIRE COMMUNICATION SYSTEMS AND APPLICATIONS | 2 |
Derek Lahr | US | Blacksburg | 2010-12-02 / 20100304915 - Cam-based infinitely variable transmission | 1 |
Nils Bjorn Lahr | US | Redmond | 2014-08-07 / 20140219496 - SYSTEMS AND METHODS FOR GENERATING BOOKMARK VIDEO FINGERPRINTS | 1 |
Martina Lahr | DE | Weinheim | 2012-02-23 / 20120047079 - PROVIDING FOUNDATION APPLICATION AS ENTERPRISE SERVICES | 5 |
Nils B. Lahr | US | Snoqualmie | 2015-10-08 / 20150286876 - SYSTEMS AND METHODS FOR GENERATING BOOKMARK VIDEO FINGERPRINTS | 8 |
Nils B. Lahr | US | Redmond | 2014-05-22 / 20140143679 - SYSTEM AND METHOD FOR USING A WEBSITE CONTAINING VIDEO PLAYLISTS AS INPUT TO A DOWNLOAD MANAGER | 12 |
Lewis Lahr | US | Dover | 2009-04-02 / 20090089649 - Programmable compute unit with internal register and bit FIFO for executing Viterbi code | 1 |
Derek Frei Lahr | US | Blacksburg | 2009-05-28 / 20090137356 - Continuously variable transmission with external cam | 1 |
Roy Jeremy Lahr | US | West Hollywood | 2010-06-10 / 20100141013 - Coal burning methods & apparatus | 1 |
Joseph Lahr | US | Fairfax | 2011-01-06 / 20110004682 - DETERMINING PROJECTION WEIGHTS BASED ON A CENSUS DATA | 1 |
Nils Lahr | US | Snoqualmie | 2014-01-09 / 20140011575 - PEER-TO-PEER BETTING SYSTEMS AND METHODS | 2 |
Hacene Lahreche | FR | Paris | 2012-03-29 / 20120074427 - METHOD FOR MANUFACTURING A LAYER OF GALLIUM NITRIDE OR GALLIUM AND ALUMINUM NITRIDE | 6 |
Kenneth C. Lahrke, Iii | US | Three Rivers | 2009-01-01 / 20090001717 - Siphon elbow | 1 |
David F. Lahrman | US | Powell | 2014-02-20 / 20140049773 - PHOTON DOPPLER VELOCIMETRY FOR LASER BOND INSPECTION | 3 |
David F. Lahrman | US | 2009-06-25 / 20090158797 - LASER SHOCK PROCESSED PILGER DIES | 1 | |
Jobst Lahrsow | DE | Tauberbischofsheim | 2008-09-25 / 20080233232 - Food Additive For Supplying Mineral Nutrients | 1 |
Suzanne M. Lahr Yoder | US | Columbia Station | 2012-02-09 / 20120033897 - INTERACTIVE GIFT BAG | 3 |
Frederick C. Lahser | US | Springfield | 2012-04-12 / 20120087893 - COMBINATIONS OF A HCV INHIBITOR SUCH AS BICYCLIC PYRROLE DERIVATIVES AND A THERAPEUTIC AGENT | 3 |
Ilari Lahteenmaki | FI | Tampere | 2009-05-07 / 20090119614 - Method, Apparatus and Computer Program Product for Heirarchical Navigation with Respect to Content Items of a Media Collection | 1 |
Janne Lahteenmaki | US | Alpharetta | 2010-05-06 / 20100108447 - ELECTRICALLY ACTUATED STORM BRAKE FOR CRANE AND METHOD FOR ACTUATING THE BRAKE | 2 |
Pertti Lahteenmaki | FI | Helsinki | 2013-08-22 / 20130218602 - METHOD AND ARRANGEMENT FOR ARRANGING AN INFORMATION SERVICE TO DETERMINE NUTRITION AND/OR MEDICATION | 1 |
Mia Lahteenmaki | FI | Helsinki | 2015-03-26 / 20150088769 - ACTIVE TICKET WITH DYNAMIC CHARACTERISTIC SUCH AS APPEARANCE WITH VARIOUS VALIDATION OPTIONS | 2 |
Mika Lahteenmaki | FI | Tampere | 2015-10-22 / 20150302219 - METHOD IN A PROCESSOR, AN APPARATUS AND A COMPUTER PROGRAM PRODUCT | 4 |
Jussi Lahteenmaki | FI | Hyvinkaa | 2013-11-14 / 20130299281 - METHOD AND ARRANGEMENT FOR RENEWING THE BRAKING FORCE OF A BRAKE OF A HOISTING MACHINE | 3 |
Janne Iisakki Lahteenmaki | FI | Tampere | 2013-08-29 / 20130222629 - METHODS, APPARATUSES, AND COMPUTER PROGRAM PRODUCTS FOR FACILITATING CONCURRENT VIDEO RECORDING AND STILL IMAGE CAPTURE | 2 |
Tero Juhani Lahti | FI | Pa Imio, | 2012-01-19 / 20120013291 - BATTERY CHARGER | 1 |
Thomas Don Lahti | US | Appleton | 2014-09-18 / 20140263256 - VOLTAGE SENSING WIRE FEEDER WITH WELD PROCEDURE MEMORIES | 11 |
Thomas Don Lahti | US | Combined Locks | 2015-09-24 / 20150266129 - WELD ELECTRICAL AND GAS CONNECTOR WITH SEALED GAS FLOW | 3 |
Jay K. Lahti | US | Blaine | 2014-09-25 / 20140288626 - LEAD OR LEAD EXTENSION HAVING A CONDUCTIVE BODY AND CONDUCTIVE BODY CONTACT | 5 |
Douglas G. Lahti | US | San Diego | 2014-06-26 / 20140181717 - Measurement Navigation in a Multi-Modality Medical Imaging System | 1 |
Kenneth Lahti | GB | Surrey | 2015-03-12 / 20150072332 - TESTING SYSTEM | 1 |
Jennifer Lahti | US | Belmont | 2009-10-15 / 20090257952 - Engineered Integrin Binding Peptides | 1 |
Terry L. Lahti | US | Houghton | 2013-11-14 / 20130298429 - COMBINATION SNOW BLOWER AND PLOW | 2 |
Thomas Don Lahti | US | Appleton | 2014-09-18 / 20140263256 - VOLTAGE SENSING WIRE FEEDER WITH WELD PROCEDURE MEMORIES | 11 |
Pasi Pekka Lahti | FI | Helsinki | 2010-12-30 / 20100332485 - ORDERING OF DATA ITEMS | 1 |
Charles Jon Lahti | US | Hoyt Lakes | 2012-07-12 / 20120176825 - Auxiliary automotive power supply | 1 |
Mark C. Lahti | US | Beverly Hills | 2014-03-13 / 20140072181 - METHOD AND SYSTEM FOR CHARACTERIZING MOVEMENT OF AN OBJECT | 2 |
Gregg Lahti | US | Gilbert | 2010-05-13 / 20100122007 - MICROCONTROLLER WITH CONFIGURABLE LOGIC ARRAY | 2 |
R.a Lahti | US | Birmingham | 2010-08-05 / 20100197712 - USE OF DOPAMINE STABILIZERS | 1 |
Jay Lahti | US | Shoreview | 2010-08-05 / 20100197174 - CONNECTOR ASSEMBLY FOR CONNECTING A LEAD AND AN IMPLANTABLE MEDICAL DEVICE | 1 |
Tommi Lahti | FI | Tampere | 2011-04-07 / 20110082825 - METHOD AND APPARATUS FOR PROVIDING A CO-CREATION PLATFORM | 1 |
Patrik Lahti | CA | Ottawa | 2015-02-26 / 20150058989 - METHOD FOR DEFENDING AGAINST DENIAL-OF-SERVICE ATTACK ON THE IPV6 NEIGHBOR CACHE | 2 |
Katariina Lahti | US | Westwood | 2015-11-26 / 20150335474 - INTRAOCULAR LENS ALIGNMENT | 2 |
Arto Lahti | FI | Tuusula | 2010-06-10 / 20100143199 - PIPETTE TIP PACKAGE | 1 |
Thomas D. Lahti | US | Combined Locks | 2014-07-17 / 20140199878 - WELD CONNECTION PROTECTOR CAP | 4 |
Saku Lahti | FI | Tampere | 2015-11-19 / 20150333724 - ORIENTATION AGNOSTIC MILLIMETER-WAVE RADIO LINK | 4 |
Richard James Lahti | US | Flower Mound | 2010-11-18 / 20100293471 - APPARATUS AND METHOD OF DIAGRAMMATICALLY PRESENTING DIVERSE DATA USING A MULTIPLE LAYER APPROACH | 1 |
Nils Patrik Lahti | CA | Ottawa | 2014-09-18 / 20140280698 - Processing a Link on a Device | 4 |
Mika Lahti | FI | Espoo | 2013-06-13 / 20130150107 - METHOD AND SYSTEM WITH IMPROVED DISASTER RECOVERY CAPABILITY | 1 |
Eric Lahti | US | Lunenberg | 2010-12-09 / 20100308182 - Systems and methods of deploying and securing temporary wires and extension cords | 1 |
Thomas D. Lahti | US | Appleton | 2013-04-25 / 20130098886 - REMOTE WELDING SYSTEM AND METHOD | 2 |
Jay Lahti | US | Apple Valley | 2010-11-11 / 20100285697 - CONNECTOR ASSEMBLY FOR AN IMPLANTABLE MEDICAL DEVICE AND PROCESS FOR MAKING | 2 |
Kaj Markus Lahti | FI | Espoo | 2011-05-19 / 20110117946 - LOAD RE-DISTRIBUTION WITH COMMUNICATIONS NETWORK CONTROL | 1 |
Gregg Donald Lahti | US | Gilbert | 2013-11-21 / 20130311936 - CONTEXTUAL RENDERING IN DISPLAYING OBJECTS | 1 |
Joseph J. Lahti | US | Long Lake | 2013-01-24 / 20130020761 - CARD SHUFFLING APPARATUSES AND RELATED METHODS | 1 |
John L. Lahti | US | Cedar Falls | 2016-05-12 / 20160131089 - VARIABLE GEOMETRY TURBOCHARGER FEED FORWARD CONTROL SYSTEM AND METHOD | 4 |
Johanna Lahti | FI | Pori | 2010-06-24 / 20100159215 - DIGITAL PRINTING OF POLYMER-COATED PAPER OR BOARD | 1 |
Tommi Juhani Lahti | FI | Tampere | 2009-06-04 / 20090143977 - Visual Travel Guide | 1 |
Jennifer Lynn Lahti | US | Half Moon Bay | 2013-02-28 / 20130053314 - Mutant Epidermal Growth Factor Polypeptides with Improved Biological Activity and Methods of Their Making and Use | 1 |
Jay K. Lahti | US | Shoreview | 2008-10-30 / 20080268720 - METHOD FOR FORMING A CONNECTOR ASSEMBLY FOR USE WITH AN IMPLANTABLE MEDICAL DEVICE | 1 |
John L. Lahti | US | Novi | 2011-06-16 / 20110144837 - HYBRID ACCESSORY POWER MODULE SHEDDING FOR HIGH VOLTAGE BATTERY PROTECTION | 14 |
Kari Erik Lahti | SE | Alingsas | 2012-12-27 / 20120325786 - WELDING PROCESS AND A WELDING ARRANGEMENT | 1 |
Lauri Lahtinen | FI | Espoo | 2013-05-23 / 20130132593 - ROUTING MESSAGES | 3 |
Rauno Lahtinen | FI | Hietanen | 2009-07-30 / 20090188364 - Punch Press | 1 |
Markku Lahtinen | FI | Espoo | 2015-11-05 / 20150315673 - METHOD FOR PRODUCING MATTE OR CRUDE METAL IN A SUSPENSION SMELTING FURNACE AND SUSPENSION SMELTING FURNACE | 7 |
Vesa Lahtinen | FI | Kangasala | 2009-06-11 / 20090147557 - 3D CHIP ARRANGEMENT INCLUDING MEMORY MANAGER | 2 |
Pekka Ilmani Lahtinen | FI | Helsinki | 2016-04-07 / 20160099921 - FINE GRAIN RIGHTS MANAGEMENT OF STREAMING CONTENT | 1 |
Petro Lahtinen | FI | Helsinki | 2012-04-19 / 20120090759 - Method of producing composite materials | 2 |
Ritva Lahtinen | FI | Raisio | 2011-11-24 / 20110288018 - BEVERAGE LOWERING SERUM CHOLESTEROL | 2 |
Minna Lahtinen | FI | Espoo | 2015-10-01 / 20150275234 - BIOGAS PROCESS WITH NUTRIENT RECOVERY | 1 |
Olli-Pekka Lahtinen | FI | Helsinki | 2012-01-26 / 20120023259 - APPLICATION OF UNREALIABLE TRANSFER MECHANISMS | 2 |
Tuomas Heikki Elmeri Lahtinen | FI | Vantaa | 2015-10-01 / 20150279890 - SEMICONDUCTOR BUMP-BONDED X-RAY IMAGING DEVICE | 2 |
Pekka Lahtinen | FI | Helsinki | 2009-04-16 / 20090100003 - Method, Apparatus and Computer Program Product for Enabling Access to a Dynamic Attribute Associated with a Service Point | 1 |
Petri Lahtinen | FI | Muurame | 2010-11-18 / 20100292044 - Arrangement in a Planetary Gearing and a Planetary Gear | 1 |
Kari Lahtinen | FI | Kuopio | 2013-12-12 / 20130327553 - DEVICE FOR HYDRAULIC HAMMER | 1 |
Lauri Kalevi Lahtinen | FI | Espoo | 2014-07-24 / 20140206305 - Cleanup of LAU-less Circuit Switched Fallback Emergency Call | 7 |
Olli Pekka Lahtinen | FI | Espoo | 2016-05-12 / 20160135024 - HANDLING A PRE-EMPTED COMMUNICATION | 2 |
Sampo Lahtinen | FI | Siuntio | 2016-04-28 / 20160113976 - LACTIC ACID BACTERIA AND BIFIDOBACTERIA FOR TREATING ENDOTOXEMIA | 6 |
Timo Lahtinen | FI | Kerava | 2012-03-08 / 20120055642 - IMPROVING THE STRENGTH OF PAPER AND BOARD PRODUCTS | 1 |
Arvo Lahtinen | FI | Vantaa | 2011-05-12 / 20110108070 - CARPET WASHING EQUIPMENT AND METHOD FOR WASHING A CARPET WITH THE CARPET WASHING EQUIPMENT | 2 |
Jussi Lahtinen | FI | Helsinki | 2015-02-12 / 20150046469 - CONTENT RETRIEVAL AND REPRESENTATION USING STRUCTURAL DATA DESCRIBING CONCEPTS | 1 |
Marko Lahtinen | FI | Espoo | 2013-03-07 / 20130060075 - METHOD AND APPARATUS FOR HOMOGENISING AND STABILISING AN IRON-BEARING RESIDUE | 2 |
Sampo Lahtinen | FI | Fin-Siuntio | 2014-04-24 / 20140112897 - Treatment of Respiratory Tract Illness with Bifidobacterium Lactis BL-04 | 1 |
Juha Lahtinen | FI | Vantaa | 2008-10-16 / 20080255800 - Method and device for measuring the progress of a moving person | 1 |
Ritva Lahtinen | FI | Turku | 2010-08-19 / 20100209587 - BEVERAGE AND A METHOD FOR PREPARATION THEREOF | 1 |
Terho Lahtinen | FI | Vantaa | 2014-01-16 / 20140018945 - METHOD AND APPARATUS FOR DETERMINING EFFECT OF TRAINING ON IMPROVING FITNESS | 1 |
Stuart Lahtinen | US | Cambridge | 2011-08-25 / 20110204638 - WIND TURBINE WITH INTEGRATED ROTOR AND GENERATOR ASSEMBLY | 1 |
Maarit Lahtinen | FI | Aanekoski | 2009-12-10 / 20090306254 - Thickening System and Method | 2 |
Pekka Ilmari Lahtinen | FI | Helsinki | 2009-10-15 / 20090259710 - Content distribution | 2 |
Atte Lahtiranta | US | Bedford | 2012-08-30 / 20120222107 - METHOD AND APPARATUS FOR PROVIDING PROXY-BASED ACCESS CONTROLS | 12 |
Atte Lahtiranta | FI | Espoo | 2015-02-12 / 20150045019 - METHOD FOR PROVIDING ACCESS TO MEDIA CONTENT THROUGH A SERVER | 2 |
Atte Lahtiranta | US | Cupertino | 2016-02-11 / 20160044509 - SERVICES ACCESS FOR MOBILE DEVICES | 3 |
Atte Lahtiranta | US | Bedford | 2012-08-30 / 20120222107 - METHOD AND APPARATUS FOR PROVIDING PROXY-BASED ACCESS CONTROLS | 12 |
Juha Lahtivuori | FI | Littoinen | 2014-09-11 / 20140250691 - METHOD FOR MANUFACTURING OF CELLULAR BOARD, CELLULAR BOARD, METHOD FOR PRODUCING CELLULAR BOARD ELEMENT OF STEEL PLATE STRIP, AND PRODUCTION LINE | 2 |
Jyrki Lahtonen | FI | Rusko | 2012-01-12 / 20120011548 - DIGITAL VIDEO BROADCAST SERVICE DISCOVERY | 3 |
Brian Robert Lahue | US | Millbury | 2014-11-13 / 20140336222 - SUBSTITUTED PIPERIDINES THAT INCREASE p53 ACTIVITY AND THE USES THEREOF | 2 |
Brian R. Lahue | US | Millbury | 2014-10-30 / 20140323482 - SUBSTITUTED PIPERIDINES AS HDM2 INHIBITORS | 1 |
Cyril Lahuec | FR | Lampaul Plouarzel | 2012-03-08 / 20120057648 - METHOD FOR ENCODING DATA WITH AT LEAST TWO ENCODING STEPS, AND AT LEAST ONE PERMUTATION STEP, CORRESPONDING ENCODING DEVICE, COMPUTER PROGRAM AND SIGNAL | 1 |
Cyril Lahuec | FR | Plougonvelen | 2008-11-20 / 20080285688 - Method and a Device for Decoding Turbo Codes | 1 |
Alfonso Lahuerta | ES | Zaragoza | 2012-12-06 / 20120305335 - DRIVE FRAME FOR AN ELEVATOR INSTALLATION | 1 |
Iris Lahyani | IL | Meltar | 2014-10-02 / 20140292543 - MULTIDIMENSIONAL SYSTEM FOR MONITORING AND TRACKING STATES AND CONDITIONS | 1 |
Iris Lahyani | IL | Beer-Sheva | 2008-10-30 / 20080268731 - INFLATABLE LIFE-SAVING SWIMMING GARMENT | 1 |
Jiann-Shiun Lai | US | 2012-11-08 / 20120282260 - ANTI-HERPES SIMPLEX VIRUS ANTIBODIES AND METHODS OF USE THEREOF | 1 | |
Hsien-Hao Lai | TW | Kaohsiung City | 2013-08-29 / 20130225219 - BASE STATION, CORE SERVER AND UPLINK TRANSMISSION METHOD FOR USING IN A WIRELESS NETWORK COMMUNICATION SYSTEM | 2 |
Chun-Chang Lai | TW | New Taipei City | 2014-11-13 / 20140337866 - FRAME MODULE AND COMPUTER HAVING THE SAME | 2 |
Lu-Ming Lai | TW | New Taipei City | 2012-12-27 / 20120326202 - Photoelectric Transmitting Or Receiving Device And Manufacturing Method Thereof | 3 |
Jingyu Lai | CN | Shenzhen | 2015-04-30 / 20150120802 - Method, Apparatus, and System for Distributing Information in Graphic Code | 2 |
You-Liang Lai | TW | Hsinchu | 2012-11-08 / 20120279838 - CMOS-MEMS SWITCH STRUCTURE | 1 |
Jin-Wang Lai | US | Springboro | 2015-01-29 / 20150030676 - STABLIZED MODIFIED RELEASE FOLIC ACID DERIVATIVE COMPOSITION, ITS THERAPEUTIC USE AND METHODS OF MANUFACTURE | 13 |
Patrick Lai | US | Mountain View | 2014-04-17 / 20140108083 - TASK MANAGEMENT FOR A PLURALITY OF TEAM MEMBERS | 2 |
Yen-Chang Lai | TW | Taipei | 2010-06-03 / 20100136398 - PORTABLE COMPUTER AND LOCKING MECHANISM THEREOF | 3 |
Chi-Ping Lai | TW | Pingtung County | 2014-04-10 / 20140099200 - FAN STRUCTURE | 2 |
Hao Lai | US | Niskayuna | 2016-03-10 / 20160066881 - METHODS AND SYSTEMS FOR BROADBAND INTRAVASCULAR ULTRASOUND IMAGING | 3 |
Yen-Chang Lai | TW | New Taipei City | 2014-02-13 / 20140043749 - PORTABLE COMPUTER | 6 |
Jia-Liang Lai | TW | Changhua County | 2012-11-01 / 20120273721 - BLUE PHASE LIQUID CRYSTAL COMPOSITION AND METHOD FOR FORMING BLUE PHASE LIQUID CRYSTAL | 1 |
Chun-Po Lai | TW | Sinjhuang City | 2011-11-17 / 20110277978 - SNAP-FIT TYPE HEAT SINK MODULE | 1 |
Choung H. Lai | US | Acton | 2015-06-25 / 20150175837 - COATING MATERIALS AND LOW HAZE HEAT REJECTION COMPOSITES | 2 |
Wayne Wen Lai | CN | Wuxi City | 2012-10-25 / 20120270838 - SPIROIMIDAZOLONE DERIVATIVE | 1 |
I-Ming Lai | TW | Kaohsiung City | 2014-07-10 / 20140191285 - SEMICONDUCTOR DEVICE HAVING EPITAXIAL STRUCTURES | 6 |
Je-An Lai | TW | Kaohsiung City | 2012-10-25 / 20120272125 - Stopping Methods for Iterative Signal Processing | 1 |
Wen-Da Lai | TW | New Taipei City | 2014-11-06 / 20140329402 - SECURING MEMBER FOR A CONNECTOR | 1 |
Dar-Chung Lai | TW | Taoyuan Hsien | 2012-10-25 / 20120268711 - ASSISTIVE DEVICE FOR AIDING VISION CORRECTION AND REHABILITATION | 1 |
I-Chun Lai | TW | Taiping City | 2009-02-19 / 20090046038 - Control method and electronic system utilizing the same | 1 |
Mei-Ling Lai | TW | Taiping City | 2011-02-24 / 20110043935 - LENS ACTIVATING DEVICE | 5 |
Chih-Chang Lai | TW | Taiping City | 2011-12-01 / 20110291978 - Touch Display Panel and Manufacturing Method Thereof | 4 |
Chuan-Lin Lai | TW | Hsinchu | 2014-01-09 / 20140012975 - COMPUTER CLUSTER, MANAGEMENT METHOD AND MANAGEMENT SYSTEM FOR THE SAME | 1 |
Fen-Ying Lai | TW | Taichung City | 2008-12-25 / 20080314427 - Protective play enclosure | 1 |
Bao Shyan Lai | TW | Taichung City | 2016-05-05 / 20160123696 - AIR-CHANNEL STRUCTURE OF PAINTBALL GUN | 2 |
Yin-Chieh Lai | TW | Taichung City | 2010-12-09 / 20100309456 - SYSTEM AND METHOD FOR MEASURING DISPERSION | 4 |
Sheng Chih Lai | TW | Taichung City | 2012-09-20 / 20120235225 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 7 |
Yi-Chin Lai | TW | Taichung City | 2011-03-03 / 20110053258 - Novel promoter sequence and the application thereof | 1 |
Chun-Wei Lai | TW | Taichung City | 2013-11-21 / 20130306968 - TRANSISTOR STRUCTURE AND DRIVING CIRCUIT STRUCTURE | 2 |
Wen-Hsiung Lai | TW | Taichung City | 2008-09-04 / 20080213865 - Compound microbial preparation | 1 |
Win-Hsiung Lai | TW | Taichung City | 2008-09-04 / 20080213826 - Compound microbial preparation manufacturing process | 1 |
Ming-Hong Lai | TW | Taichung City | 2008-10-09 / 20080250369 - Method of estimating the signal delay in a VLSI circuit | 1 |
Jian Hung Lai | TW | Taichung City | 2008-10-30 / 20080265834 - SOLAR-POWERED PHOTOELECTRIC APPARATUS | 1 |
Hsin-Cheng Lai | TW | Taichung City | 2008-11-20 / 20080285337 - RECORDABLE ELECTRICAL MEMORY | 2 |
Chung-Chi Lai | TW | Taichung City | 2009-02-19 / 20090045877 - POWER AMPLIFIER CIRCUIT FOR MULTI-FREQUENCIES AND MULTI-MODES AND METHOD FOR OPERATING THE SAME | 1 |
Jet C. Lai | TW | Taichung City | 2009-06-11 / 20090145356 - Supporting device having a repositionable suction base for an air spray gun | 1 |
Shu-Huei Lai | TW | Taichung City | 2009-08-27 / 20090211531 - SANDBOX APPARATUS | 1 |
Karl Lai | TW | Taichung City | 2009-09-17 / 20090231847 - LED ILLUMINATING DEVICE | 1 |
Chin Tsai Lai | TW | Taichung City | 2009-10-22 / 20090260234 - FOLDABLE HAND TOOL | 1 |
Zone-Chang Lai | TW | Taichung City | 2009-10-29 / 20090269072 - METHODS FOR ALLOCATING TRANSMISSION BANDWIDTHS OF A NETWORK | 1 |
Fu-Shiang Lai | TW | Taichung City | 2010-02-04 / 20100026256 - Switching regulator and control method thereof | 2 |
Yung-Tsai Lai | TW | Taichung City | 2010-04-08 / 20100084530 - MODULAR HOOK STRIP | 2 |
Jin-Yao Lai | TW | Taichung City | 2010-06-17 / 20100150381 - MICRO-SPEAKER AND MANUFACTURING METHOD THEREOF | 1 |
Aling Lai | TW | Taichung City | 2014-07-31 / 20140212271 - DENTAL HANDPIECE STRUCTURE | 3 |
Yu-Jen Lai | TW | Taichung City | 2011-10-06 / 20110241915 - Digital-to-Analog Converter | 2 |
Liang-Hsing Lai | TW | Taichung City | 2011-01-27 / 20110017277 - Concentration photovoltaic and heating apparatus | 1 |
Yi-Shen Lai | TW | Taichung City | 2011-02-10 / 20110034115 - Abrasive Mesh for a Powered Grinding Wheel | 1 |
Ying-Wu Lai | TW | Taichung City | 2011-03-24 / 20110068778 - ELECTRONIC MEASURING WHEEL | 1 |
Ching -Chuan Lai | TW | Taichung City | 2011-10-13 / 20110247450 - Bicycle Pedal | 4 |
Mei-Ling Lai | TW | Taichung City | 2011-04-07 / 20110080661 - LENS DISPLACEMENT DEVICE, FLEXIBLE PIECE AND THE MANUFACTURING PROCESS THEREOF | 1 |
Chih-Hsien Lai | TW | Taichung City | 2010-06-03 / 20100135626 - WAVEGUIDE HAVING A CLADDED CORE FOR GUIDING TERAHERTZ WAVES | 1 |
Wei-Hung Lai | TW | Taichung City | 2016-02-04 / 20160029756 - LUGGAGE CASE WITH TWO ZIPPER POCKETS | 19 |
Yu-Hsin Lai | TW | Taipei | 2012-10-18 / 20120265989 - SECURE LOGIN METHOD | 1 |
Ching-Hao Lai | TW | Taichung City | 2016-02-25 / 20160055630 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD THEREOF | 3 |
Chih-Ming Lai | TW | Daya Dist. | 2012-10-18 / 20120264572 - Stair Exerciser Apparatus | 1 |
Russell W. Lai | US | Rancho Palos Verdes | 2015-10-22 / 20150305053 - SYSTEM AND METHODS FOR USING COMMUNICATION RESOURCES | 3 |
Jiewei Lai | CN | Shanghai | 2016-05-05 / 20160127005 - SIGNAL TRANSCEIVER | 1 |
Peng Lai | US | Union City | 2012-10-18 / 20120262167 - ACCELERATED MULTISPECTRAL DATA MAGNETIC RESONANCE IMAGING SYSTEM AND METHOD | 1 |
Chung-Ping Lai | TW | Shin Jwu Hsien | 2016-04-07 / 20160097133 - Method of exfoliating and functionalizing graphite anode | 2 |
Yung-Cheng Lai | TW | Tu-Cheng | 2012-10-18 / 20120261879 - PAPER INPUTTING APPARATUS | 1 |
Kuo-Ming Lai | TW | New Taipei | 2015-01-29 / 20150028050 - AUTOMATIC PILL GRASPING APPARATUS AND METHOD | 13 |
Dai-Fu Lai | TW | New Taipei City | 2015-10-08 / 20150284529 - STABILIZED MONOMER DISPERSION CONTAINING INORGANIC OXIDE NANOPARTICLES WITH HIGH REFRACTIVE INDEX AND ITS PREPARATION | 2 |
King Ho Lai | CN | Hong Kong | 2015-05-07 / 20150122922 - FOOD WASTE DISPOSER | 1 |
Kwong Wah Lai | CN | Shanghai | 2016-03-03 / 20160060267 - PYRAZOLO COMPOUNDS AND USES THEREOF | 1 |
Chien-Cheng Lai | TW | Taichung City | 2014-01-23 / 20140022336 - CAMERA DEVICE | 1 |
Canfeng Lai | US | Fremont | 2015-11-19 / 20150332941 - METHODS AND APPARATUS FOR PROCESSING SUBSTRATES USING AN ION SHIELD | 10 |
Benny Wing Hung Lai | US | Fremont | 2011-11-10 / 20110275162 - ASSAY READER OPERABLE TO SCAN A TEST STRIP | 3 |
Ka-Ming Lai | US | Fremont | 2008-08-28 / 20080202888 - Controllable surface system | 1 |
Ray Y. Lai | US | Fremont | / - | 1 |
Yuqiang Lai | CN | Shenzhen City | 2015-05-07 / 20150127960 - USB Interface Power Supply Method and Power Supply Device for Time Division Multiple Access Load System | 1 |
Kaung-Lin Lai | TW | New Taipei City | 2014-01-23 / 20140025252 - VEHICLE COLLISION EVENT ANNOUNCING SYSTEM AND METHOD | 1 |
Chih Lai | US | Woodbury | 2014-12-18 / 20140371599 - MOTION ANALYSIS FOR BEHAVIOR IDENTIFICATION | 2 |
Ping-Kwan Lai | CA | Calgary | 2012-10-11 / 20120258435 - METHOD FOR CONDUCTING AN ASSESSMENT AND A PARTICIPANT RESPONSE SYSTEM EMPLOYING THE SAME | 1 |
Weinong Lai | US | Fremont | 2010-04-01 / 20100083208 - METHOD AND SYSTEM FOR PERFORMING PATTERN CLASSIFICATION OF PATTERNS IN INTEGRATED CIRCUIT DESIGNS | 2 |
Clarence Edwin Lai | US | Wakefield | 2015-05-14 / 20150134534 - Software Protection Method for Preventing Unauthorized Usage | 1 |
Emerald Frannie Lai | US | Wakefield | 2015-05-14 / 20150134534 - Software Protection Method for Preventing Unauthorized Usage | 1 |
Rodwitt Hansin Lai | US | Wakefield | 2015-05-14 / 20150134534 - Software Protection Method for Preventing Unauthorized Usage | 1 |
Danh Tan Lai | US | Fremont | 2010-06-10 / 20100142700 - System for Translation and Communication of Messaging Protocols into a Common Protocol | 1 |
Lilung Lai | CN | Shanghai | 2015-11-05 / 20150316601 - IN-CHIP STATIC-CURRENT DEVICE FAILURE DETECTING METHODS AND APPARATUS | 2 |
Pinghsu Lai | CN | Shanghai | 2016-02-11 / 20160041667 - Organic Light-Emitting Diode Touch Display Panel | 1 |
Wei-Hung Lai | TW | Taichung City | 2016-02-04 / 20160029756 - LUGGAGE CASE WITH TWO ZIPPER POCKETS | 19 |
Chaorong Lai | CN | Shanghai | 2014-04-10 / 20140099783 - METHOD OF ADDING AN ADDITIONAL MASK IN THE ION-IMPLANTATION PROCESS | 1 |
Xiaoping Lai | CN | Dongguan | 2014-11-27 / 20140350377 - HAND-HELD, MEDICAL, MULTI-CHANNEL BIOLOGICAL INFORMATION COLLECTION MOBILE TERMINAL SYSTEM | 2 |
Ling-Jun Lai | CN | Shanghai | 2015-02-12 / 20150043157 - SERVER AND HEAT DISSIPATION SYSTEM THEREOF | 1 |
Shu Tzu Lai | TW | Taichung City | 2015-12-10 / 20150355433 - OPTICAL LENS SYSTEM WITH A WIDE FIELD OF VIEW | 9 |
Wu-Wei Lai | TW | Tainan City | 2014-05-01 / 20140120528 - Methods and biomarker for evaluating cancer metastasis, pharmaceutical composition for inhibiting cancer metastasis, and method for analyzing secretome | 1 |
Nien-Chu Lai | TW | Hsinchu | 2014-01-16 / 20140017497 - HOLLOW SPHERE WITH MESOPOROUS STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Chun-Feng Lai | TW | Sanchong City | 2010-11-18 / 20100287732 - Electrical device | 2 |
Hy Truong Lai | US | Fremont | 2010-09-30 / 20100250209 - SYSTEM AND METHOD FOR ESTIMATING A TREATMENT REGION FOR A MEDICAL TREATMENT DEVICE | 2 |
Hanh Lai | US | Sunnyvale | 2015-05-14 / 20150135026 - SEAMLESS FAIL ANALYSIS WITH MEMORY EFFICIENT STORAGE OF FAIL LISTS | 1 |
Zhengrong Lai | CN | Shenzhen | 2012-11-01 / 20120275352 - Wireless relay device and method for wireless relay device to communicate with base station and terminal | 3 |
Chung-Kuo Lai | TW | Taipei City | 2015-09-10 / 20150253812 - ELECTRONIC APPARATUS | 3 |
Kin Yue Albert Lai | CN | Hong Kong | 2012-10-04 / 20120249395 - Ultra Thin Antenna | 1 |
Chung-Chi Lai | TW | Taoyuan | 2012-10-04 / 20120249260 - METHOD FOR PERFORMING DYNAMIC IMPEDANCE MATCHING AND A COMMUNICATION APPARATUS THEREOF | 1 |
Chyi-Lang Lai | TW | New Taipei City | 2015-10-29 / 20150311656 - POWER ADAPTER | 6 |
Po-Hung Lai | TW | Taichung City | 2012-10-04 / 20120248405 - SEMICONDUCTOR LIGHT-EMITTING STRUCTURE | 1 |
Ching Ming Lai | US | Wakefield | 2013-10-17 / 20130273529 - Identifying and Correcting An Allelic Ladder Signal For DNA Analysis | 4 |
Shang-Hong Lai | TW | Hsinchu City | 2015-05-21 / 20150138185 - Method for building a three-dimensional model and apparatus thereof | 8 |
Bing Lai | CN | Shanghai | 2015-01-29 / 20150028769 - DRIVER CIRCUIT FOR AT LEAST ONE LOAD AND METHOD OF OPERATING THE SAME | 2 |
Yung-Hsiao Lai | US | Fremont | 2015-08-06 / 20150222910 - ON-DEVICE MULTIPLEXING OF STREAMING MEDIA CONTENT | 9 |
Leo Lai | CN | Dongguan City | 2015-11-19 / 20150330104 - CODED LOCK | 2 |
Kwan-Yu Lai | US | San Jose | 2015-02-12 / 20150041189 - METAL-INSULATOR-METAL CAPACITORS ON GLASS SUBSTRATES | 7 |
Re Lai | US | Fremont | 2014-06-19 / 20140172618 - METHOD AND SYSTEM FOR IMPLEMENTING A CRM QUOTE AND ORDER CAPTURE CONTEXT SERVICE | 2 |
Yu-Chia Lai | TW | Miaoli County | 2015-08-20 / 20150235977 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Jin Lai | CN | Beijing | 2015-11-12 / 20150324415 - GATHERING TRANSACTION DATA ASSOCIATED WITH LOCALLY STORED DATA FILES | 2 |
Po-An Lai | TW | New Taipei City | 2014-12-11 / 20140365806 - PERIPHERAL APPARATUS AND CONTROL METHOD THEREOF | 1 |
Yiu-Wai Lai | TW | Taipei City | 2012-09-27 / 20120243150 - ELECTRONIC DEVICE | 1 |
Kwok Cheong Lai | CN | Hong Kong | 2015-05-21 / 20150140314 - Surface treatment method on Micro-arc Oxidation treated Mg alloys | 1 |
Erh-Kun Lai | TW | Taichung City | 2016-04-21 / 20160111366 - SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD OF THE SAME | 18 |
Chung-Hong Lai | TW | New Taipei City | 2015-05-21 / 20150143058 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR UTILIZING A DATA POINTER TABLE PRE-FETCHER | 1 |
James Chyi Lai | US | Saint Paul | 2014-02-13 / 20140042987 - LIGHTNING ENERGY STORAGE SYSTEM | 20 |
Yu-Shan Lai | TW | Chiayi City | 2012-08-09 / 20120200134 - Pillow Support Structure of a Chair | 8 |
Chun Hei Justin Lai | CA | Markham | 2014-02-13 / 20140047534 - Filtering Network Packets in Multiple Forwarding Information Base Systems | 1 |
Hsin-Yi Lai | TW | Taichung | 2012-09-20 / 20120236600 - LGF Roll-to-Roll Manufacturing Method and Structure Thereof | 2 |
Shyh-Jen Lai | TW | New Taipei | 2014-02-20 / 20140052163 - EARWAX CLEANER | 1 |
Jui Chi Lai | TW | Kaohsiung City | 2015-07-09 / 20150194449 - PIXEL SUBSTRATE AND FABRICATION METHOD THEREOF | 7 |
Jackson Chi Sun Lai | CA | Kitchener | 2011-05-12 / 20110109350 - Stable Current Source for System Integration to Display Substrate | 1 |
Ku-Wu Lai | TW | New Taipei City | 2014-02-20 / 20140049910 - Detaching Mechanism and Laptop Shell Assembly | 1 |
Hui-Min Lai | TW | Chiayi | 2012-08-16 / 20120207877 - OLIGO-SACCHARIDE ENHANCED OAT-BASED DRINK FOR TREATING HYPERLIPIDAEMIA AND HYPERGLYCEMIA AND IMPROVING GASTROINTESTINAL FUNCTION AND PROCESS FOR PREPARING THE SAME BY TRI-ENZYME HYDROLYSIS AND MICRO-PARTICLE MILLING | 3 |
Yu-Jen Lai | TW | New Taipei City | 2015-10-15 / 20150296636 - ELECTRONIC APPARATUS FOR SOLAR POWER SYSTEM | 3 |
Jim Lai | CN | Changhua City | 2014-02-27 / 20140053689 - INSULATING RATCHET WRENCH | 1 |
Mei Lai | US | Longmont | 2016-03-17 / 20160074399 - Salts of an Epidermal Growth Factor Receptor Kinase Inhibitor | 11 |
Frederick Chee-Kiong Lai | CA | Bedford | 2012-11-08 / 20120284378 - METHOD AND SYSTEM FOR CONDUCTING COMMUNICATIONS OVER A NETWORK | 2 |
Fei-Lung Lai | TW | New Taipei City | 2015-03-19 / 20150079704 - FLUID DEPOSITION APPARTUS AND METHOD | 8 |
Jimmy Kwok Lap Lai | CA | Vancouver | 2011-11-24 / 20110285730 - Controlling Display Updates For Electro-Optic Displays | 6 |
Ya-Chun Lai | TW | Changhua County | 2014-12-11 / 20140362654 - REDUNDANCY EVALUATION CIRCUIT FOR SEMICONDUCTOR DEVICE | 1 |
Chih-Jung Lai | TW | Taipei City | 2012-09-13 / 20120233451 - METHOD FOR FAST RESUMING COMPUTER SYSTEM AND COMPUTER SYSTEM | 1 |
Ching-Ming Lai | TW | Taipei City | 2014-02-27 / 20140056032 - High-efficiency High Step-up Ratio Direct CurrentConverter with Interleaved Soft-switchingMechanism | 2 |
Ming-Chih Lai | US | 2012-09-13 / 20120229124 - FIXING STRUCTURE FOR SIGNAL WIRES OF A RESOLVER | 1 | |
Cheng-Min Lai | TW | Taichung City | 2012-09-13 / 20120229103 - SIGNAL COMPENSATION METHOD FOR MAGNETICALLY SENSITIVE POSITION FEEDBACK DEVICE | 1 |
Yu-Hao Lai | TW | Taichung City | 2012-09-13 / 20120231588 - MANUFACTURING METHOD OF THIN FILM TRANSISTOR | 1 |
Ching Kuei Lai | TW | Taichung | 2012-09-13 / 20120227549 - HAND-HELD TOOL | 1 |
Po-Hung Lai | TW | Hsinchu | 2014-12-11 / 20140362565 - LIGHT EMITTING DEVICE | 1 |
Frederick Chee-Kiong Lai | CA | Orleans | 2011-01-20 / 20110013513 - Wi-Fi Quality of Service Signaling | 1 |
Yat Kwong Lai | CA | Mississauga | 2010-07-22 / 20100183763 - Injection Molding Apparatus | 1 |
Frederick Lai | CA | Orleans | 2010-03-25 / 20100074136 - HANDHELD ELECTRONIC DEVICE INCLUDING VOICE OVER IP QUALITY INDICATOR, AND ASSOCIATED METHOD | 1 |
Laurence M.c. Lai | CA | Mississauga | 2014-10-02 / 20140291317 - Even Heating Microwavable Container | 8 |
Sheng-Yeh Lai | TW | Hsinchu | 2012-09-13 / 20120229387 - COMPUTER INPUT APPARATUS | 2 |
Wei Lai | US | West Lafayette | 2015-08-27 / 20150238499 - SOLID FORMS COMPRISING N-(5-TERT-BUTYL-ISOXAZOL-3-YL)-N'-UREA, COMPOSITIONS THEREOF, AND USES THEREWITH | 9 |
Bosco Chun Sang Lai | CA | Markham | 2015-12-17 / 20150363309 - SYSTEM AND METHOD OF INCREASING RELIABILITY OF NON-VOLATILE MEMORY STORAGE | 9 |
Dihui Lai | US | San Diego | 2014-02-27 / 20140058988 - NEURAL SYSTEM OF ADAPTIVE BEHAVIOR | 1 |
Ninglei Lai | CN | Jiangsu | 2012-09-06 / 20120222486 - ABSORPTION TARGET FOR MEASURING POWER OF HIGH-INTENSITY FOCUSED ULTRASOUND | 1 |
Qiji Lai | CN | Jiangsu | 2012-09-06 / 20120222486 - ABSORPTION TARGET FOR MEASURING POWER OF HIGH-INTENSITY FOCUSED ULTRASOUND | 1 |
Yu-Shan Lai | TW | New Taipei City | 2013-10-03 / 20130255038 - SHOELACE POSITIONING STRUCTURE | 2 |
Francis Lai | CA | Richmond | 2013-10-24 / 20130277016 - METHOD OF COOLING AN OBJECT WITH A FABRIC | 3 |
Frederick Chee-Kiong Lai | CA | Waterloo | 2011-06-09 / 20110138065 - Methods And Apparatus For Use In Establishing Communications For Virtual Private Networking | 3 |
Yin Chung Lai | CA | Markham | 2008-11-13 / 20080281869 - Apparatus and Method Of Map Engine Clustering Automation | 1 |
Tony Wen Hsun Lai | CA | Toronto | 2008-10-23 / 20080263017 - SYSTEM FOR UNORDERED RELATIONAL DATABASE RETRIEVAL RETURNING DISTINCT VALUES | 1 |
Conrad Lai | CA | Richmond Hill | 2008-08-21 / 20080201500 - MULTIPLE INTERRUPT HANDLING METHOD, DEVICES AND SOFTWARE | 1 |
Cheng-Hung Lai | TW | Hsinchu Hsien | 2015-05-28 / 20150145877 - FRAME DRAWING METHOD, FRAME UPDATING METHOD AND ASSOCIATED MOBILE ELECTRONIC DEVICE | 1 |
Erh-Kun Lai | US | 2012-08-30 / 20120220111 - INJECTION METHOD WITH SCHOTTKY SOURCE/DRAIN | 1 | |
Yen-Nung Lai | TW | Taoyuan County | 2015-05-28 / 20150146916 - Method of reducing computational demand for image tracking | 1 |
Dehua Lai | US | Brooklyn | 2014-07-03 / 20140184772 - Apparatus and Method for Recognition of Suspicious Activities | 1 |
Yu-Lin Lai | TW | Taichung | 2015-05-28 / 20150148755 - SYRINGE | 1 |
Yu-Chieh Lai | TW | Taoyuan County | 2014-08-21 / 20140233761 - ELECTRONIC DEVICE AND GAIN CONTROLLING METHOD | 5 |
Yi Hsuan Lai | TW | Hsinchu County | 2012-08-30 / 20120218420 - Apparatus and Method for Calibrating Audio-Visual Signal | 1 |
Wen-Kuo Lai | TW | Taipei City | 2015-05-28 / 20150149617 - CLOUD-BASED MONITORING APPARATUS | 1 |
Chin-I Lai | TW | Tainan City | 2013-06-13 / 20130146632 - HANDSET HOLDER | 4 |
Yu-Tsung Lai | TW | Yongkang City | 2012-08-30 / 20120217552 - METAL LINE STRUCTURE AND MANUFACTURING METHOD FOR TRENCH | 1 |
Chienhung Lai | TW | Taipei City | 2015-06-25 / 20150175132 - WIPER FLOW GUIDER AND WIPER ASSEMBLY | 3 |
Chen-Hsiang Lai | TW | Jhongli City | 2010-12-30 / 20100325836 - RING ASSEMBLY FOR CURTAINS | 2 |
Yuan-Cheng Lai | TW | Longtan Township | 2015-04-23 / 20150111719 - DIELECTRIC CERAMIC MATERIAL | 1 |
Po-Hung Lai | TW | Miaoli County | 2014-09-18 / 20140273197 - VIBRATABLE CULTURE APPARATUS FOR PROVIDING PLANT CELLS WITH GROWTH ENVIRONMENT | 2 |
Chih-Ming Lai | TW | New Taipei City | 2015-06-04 / 20150152906 - STUD | 8 |
Chih-Chang Lai | TW | Taichung County | 2013-01-03 / 20130001059 - CAPACITIVE TOUCH PANEL | 25 |
Justin Sum Ming Lai | CA | Vancouver | 2014-03-13 / 20140069124 - APPARATUS AND METHOD FOR FLUIDIZED BED TREATMENT OF MATERIALS | 1 |
Hsuanyu Lai | TW | New Taipei City | 2014-07-31 / 20140212948 - XYLANASE COMPOSITION WITH INCREASED STABILITY | 2 |
Hsin-His Lai | TW | Tainan County | 2008-11-27 / 20080290175 - Layer-built body having hidden barcode and figures thereof | 1 |
Hungsen Lai | US | Andover | 2012-08-23 / 20120213720 - Prodrug composition for skin with twin protecting groups bound by disubstituted benzene | 1 |
I-Ming Lai | TW | Kaohsiung | 2014-03-13 / 20140070377 - COMPOUND SEMICONDUCTOR EPITAXIAL STRUCTURE AND METHOD FOR FABRICATING THE SAME | 1 |
Yu-Tsung Lai | TW | Tainan County | 2012-05-24 / 20120129337 - DUAL DAMASCENE PROCESS | 2 |
Chien-Ting Lai | TW | Miaoli County | 2012-08-23 / 20120212700 - LIQUID CRYSTAL PANEL HAVING NOTCHES FOR REPAIR | 1 |
Yi Hsuan Lai | TW | Hsinchu Hsien | 2012-08-23 / 20120212674 - Analog TV Signal Receiving Circuit For Reducing Signal Distortion Using Equalizer Configuring Method | 1 |
Stephen Wai-Yan Lai | CN | Hong Kong | 2012-08-23 / 20120212145 - ILLUMINATION BRIGHTNESS CONTROL APPARATUS AND METHOD | 1 |
Wen-Ching Lai | TW | Taipei City | 2012-08-23 / 20120212067 - PORTABLE COMPLEX POWER SUPPLY APPARATUS | 1 |
Hui-Lung Lai | TW | Tainan County | 2010-03-04 / 20100053032 - Touch detecting circuit for bistable electronic display | 2 |
Yao-Hung Lai | TW | Tainan County | 2011-09-22 / 20110228174 - LIGHT SOURCE CONTROL METHOD OF PROJECTOR | 2 |
Jin-Tsai Lai | TW | Taichung | 2014-12-04 / 20140352502 - TORQUE ADJUSTMENT DEVICE FOR SCREWDRIVER | 4 |
Chung-Ching Lai | TW | Taichung City | 2012-08-23 / 20120210560 - METHOD FOR MANUFACTURING A CONDENSER MICROPHONE | 1 |
Yi-Che Lai | TW | Taichung | 2016-05-19 / 20160141227 - PACKAGE STRUCTURE AND FABRICATION METHOD THEREOF | 11 |
Hau Wah Lai | HK | Hong Kong | 2014-03-13 / 20140071006 - High Gain And Wideband Complementary Antenna | 1 |
Chien-Hung Lai | TW | Zhongli City | 2016-03-24 / 20160084793 - ELECTRODE REACTION AREA TESTING METHOD OF BIOSENSOR TEST STRIP | 3 |
Yi-Lin Lai | TW | New Taipei City | 2015-11-12 / 20150324283 - FLASH MEMORY CONTROL CHIP AND DATA STORAGE DEVICE AND FLASH MEMORY CONTROL METHOD | 10 |
Chia-Cheng Lai | TW | Tainan County | 2012-03-15 / 20120062849 - PROJECTION APPARATUS | 11 |
Chung-Hong Lai | TW | Banciao | 2014-05-08 / 20140129742 - NAK MODERATION IN USB2/USB1.1 BUSES | 1 |
Chien-Hung Lai | TW | Taichung City | 2015-11-05 / 20150316489 - Systems and Methods Eliminating False Defect Detections | 5 |
Hung-Ching Lai | TW | Hsinchu County | 2012-08-16 / 20120206409 - OPTICAL TOUCH PANEL AND LIGHT GUIDE MODULE THEREOF | 1 |
Yuan-Tai Lai | TW | Hsinchu | 2012-08-16 / 20120205778 - PACKAGE STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Chun Chen Lai | TW | Taichung County | 2009-04-16 / 20090098811 - Surface grinder for ball of ball valve | 1 |
Sen-Biao Lai | TW | Taichung County | 2009-05-07 / 20090118046 - Multi-speed bicycle sprocket | 1 |
Tzung-Fu Lai | TW | Taichung County | 2014-12-18 / 20140367242 - DECORATION COVER PLATE AND TOUCH PANEL HAVING THE SAME | 2 |
Chieh-Lung Lai | TW | Taichung County | 2013-01-03 / 20130005055 - LED MODULE AND PACKAGING METHOD THEREOF | 3 |
Ming-Chih Lai | TW | Taichung County | 2010-09-30 / 20100243955 - LIQUID CRYSTAL ALIGNMENT SOLUTION | 2 |
Yue-Jun Lai | TW | Taichung County | 2011-03-03 / 20110050367 - DIELECTRIC RESONATOR FOR NEGATIVE REFRACTIVITY MEDIUM | 1 |
Jing-Nuan Lai | TW | Taichung County | 2009-04-30 / 20090109060 - Optical-film traffic sign | 1 |
Che-Yung Lai | TW | Taichung County | 2010-01-07 / 20100003792 - METHOD FOR FABRICATING PIXEL STRUCTURE | 2 |
Yu-Tsung Lai | TW | Taichung County | 2012-05-17 / 20120122035 - PATTERNING METHOD AND METHOD FOR FABRICATING DUAL DAMASCENE OPENING | 3 |
Sheh-Jung Lai | TW | Taichung County | 2010-08-19 / 20100208459 - DIFFUSING ELEMENT AND LIGHT MODULE | 1 |
Chun-Feng Lai | TW | Taichung County | 2011-03-17 / 20110062414 - LIGHT EMITTING DEVICES | 3 |
Wei-Fu Lai | TW | Taichung County | 2011-03-31 / 20110074847 - INK JET PRINTHEAD MODULE AND INK JET PRINTER | 1 |
Chi-Chang Lai | TW | Taichung County | 2011-04-07 / 20110082999 - DATA PROCESSING ENGINE WITH INTEGRATED DATA ENDIANNESS CONTROL MECHANISM | 3 |
Kuo-Hsin Lai | TW | Taichung County | 2010-05-06 / 20100115153 - ADAPTIVE MULTI-CHANNEL CONTROLLER AND METHOD FOR STORAGE DEVICE | 1 |
Yi-Chun Lai | TW | Taipei | 2012-08-16 / 20120204646 - METHOD FOR ANALYZING STRUCTURE SAFETY | 1 |
Pei-Ju Lai | TW | Chiayi City | 2010-02-11 / 20100033947 - Enhanced Wavelength-Converting Structure | 2 |
Li-Chun Lai | TW | Panciao City | 2012-05-03 / 20120104869 - OUTDOOR EXTENSION LINE SOCKET ENABLING ROMOTE CONTROLLED TIMING POWER SUPPLY | 9 |
Yue-Chi Lai | HK | Hong Kong | 2014-09-04 / 20140248678 - LED COMPONENT | 1 |
Li Wen Lai | TW | Taichung City | 2014-06-19 / 20140168778 - COMPOSITE GRADED REFRACTIVE INDEX LAYER STRUCTURES AND ENCAPSULATION STRUCTURES COMPRISING THE SAME | 10 |
Yen-Chieh Lai | TW | Taipei City | 2015-02-19 / 20150049819 - METHOD AND SYSTEM FOR SYMBOL BINARIZATION AND DE-BINARIZATION | 2 |
Richard Lai | CN | Shenzhen | 2015-06-04 / 20150153777 - ELECTRONIC DEVICE WITH BOTH INFLEXIBLE DISPLAY SCREEN AND FLEXIBLE DISPLAY SCREEN | 1 |
Chia-Ping Lai | TW | Hsinchu City | 2015-06-11 / 20150162412 - FLASH MEMORY SEMICONDUCTOR DEVICE AND METHOD THEREOF | 2 |
Hsin-Chen Lai | TW | Taichung City | 2016-02-04 / 20160036232 - MAXIMUM ENERGY UTILIZATION POINT TRACKING TECHNOLOGIES | 6 |
Chen-Ju Lai | TW | Hsinchu City | 2015-06-04 / 20150155911 - Wall-embedded Power Line Communication Device | 1 |
Ken Ming Lai | US | San Francisco | 2012-08-09 / 20120198657 - Pivot Sliding Hinge | 1 |
Yu-Shan Lai | TW | Chiayi City | 2012-08-09 / 20120200134 - Pillow Support Structure of a Chair | 8 |
Chia-Mao Lai | TW | Taichung City | 2015-06-11 / 20150162716 - PLIERS FOR CRIMPING TERMINALS ON WIRES OR CONDUCTORS | 1 |
Yu-Yuan Lai | TW | Miaoli County | 2016-04-28 / 20160118433 - SEMICONDUCTOR FABRICATION METHOD | 1 |
Chiu-Chi Lai | TW | Taichung City | 2015-10-08 / 20150283686 - OIL FILTER WRENCH | 2 |
Hung-Jen Lai | TW | Taipei City | 2015-06-11 / 20150157473 - METHOD FOR AUTOMATICALLY ADJUSTING A DAMPING LEVEL PROVIDED BY AN ARTIFICIAL KNEE JOINT, AND THE ARTIFICIAL KNEE JOINT | 1 |
Chun-Ming Lai | TW | Hsinchu | 2012-08-02 / 20120195049 - LAMP | 1 |
Lee Jene Lai | TW | Hsinchu | 2012-08-02 / 20120196302 - DETECTING METHOD | 1 |
Chih-Ming Lai | TW | New Taipei City | 2015-06-04 / 20150152906 - STUD | 8 |
Tu-Fu Lai | TW | Taoyuan Hsien | 2012-08-02 / 20120193497 - CLAMP | 1 |
Guangde Lai | CN | Shanghai | 2012-08-02 / 20120193371 - PROCESS FOR PRODUCING CANNED PRODUCT AND CANNED PRODUCT | 1 |
Laurence M.c. Lai | CA | Ontario | 2012-08-02 / 20120193351 - Elevated Microwave Heating Construct | 1 |
Ming-Fang Lai | TW | Chiayi County | 2013-07-04 / 20130169355 - Integrated Circuit Device | 4 |
Fuji Lai | US | Goleta | 2016-02-18 / 20160046021 - INTERFACING WITH A MOBILE TELEPRESENCE ROBOT | 20 |
Yen-Chuan Lai | TW | Chiayi City | 2012-08-09 / 20120200134 - Pillow Support Structure of a Chair | 2 |
Yu-Sheng Lai | TW | Taipei | 2012-07-26 / 20120190163 - METHOD FOR MAKING DUAL SILICIDE AND GERMANIDE SEMICONDUCTORS | 1 |
Poh San Lai | SG | Singapore | 2012-07-26 / 20120190036 - CLINICAL METHOD FOR GENOTYPING LARGE GENES FOR MUTATIONS THAT POTENTIALLY CAUSE DISEASE | 1 |
Li-Chun Lai | TW | Bangiao Dist | 2012-07-26 / 20120188808 - Timing Device without Neutral Line | 1 |
Chien-Nan Lai | TW | Tu-Cheng | 2013-10-03 / 20130258502 - LENS BARREL AND LENS MODULE | 9 |
Hung-Chou Lai | TW | Wuri Shiang | 2008-12-11 / 20080301951 - HIDDEN SWITCH LOCATING MEANS FOR A GARDENING TOOL | 1 |
Chung-Ting Lai | TW | Hsinchu Hsien | 2015-06-11 / 20150159043 - MULTILAYERED POLYIMIDE FILM HAVING A LOW DIELECTRIC CONSTANT, LAMINATE STRUCTURE INCLUDING THE SAME AND MANUFACTURE THEREOF | 1 |
Li-Chun Lai | TW | New Taipei City | 2016-03-10 / 20160072215 - POWER SOCKET STRUCTURE FOR OUTDOOR ELECTRIC EXTENSION LINE | 7 |
Fengyuan Lai | US | Troy | 2015-09-03 / 20150247019 - HIGH THERMAL CONDUCTANCE THERMAL INTERFACE MATERIALS BASED ON NANOSTRUCTURED METALLIC NETWORK-POLYMER COMPOSITES | 2 |
Wen-Jen Lai | TW | Taichung | 2012-07-26 / 20120187111 - METHOD FOR SOLDERING ELECTRONIC COMPONENTS TO A CIRCUIT BOARD BY MEANS OF HIGH-FREQUENCY SOLDERING | 1 |
Chin-Pen Lai | TW | Hsinchu County | 2015-06-11 / 20150160195 - HUMAN LIVER TUMOR CELL LINE AND METHOD OF AGENT SCREENING | 2 |
Tzu-Chieh Lai | TW | Jhonghe City | 2012-07-26 / 20120190265 - Repairing Method for Pixel Structure with Repairable Capacitor Structures | 1 |
Chien-Hung Lai | TW | Chiayi County | 2015-06-11 / 20150159283 - METAL MASK MANUFACTURING METHOD AND METAL MASK | 1 |
Bosco Chun Sang Lai | CA | Markham | 2015-12-17 / 20150363309 - SYSTEM AND METHOD OF INCREASING RELIABILITY OF NON-VOLATILE MEMORY STORAGE | 9 |
Ren Lai | CN | Kunming | 2012-07-19 / 20120183525 - FIBRINOGENOLYTIC ENZYME TABFIBLYSIN OF HORSEFLY, TABANUS YAO, ENCODING GENE AND USE THEREOF | 1 |
Yi-Che Lai | TW | Taichung Hsien | 2014-09-18 / 20140264928 - SEMICONDUCTOR PACKAGE AND FABRICATION METHOD THEREOF | 13 |
Alice Lai | US | Pasadena | 2014-04-03 / 20140094771 - DRUG-DELIVERY PUMP WITH INTELLIGENT CONTROL | 5 |
Pak Khong Lai | MY | Beyan Lepas | 2016-04-28 / 20160118130 - PERFORMANCE ACCELERATION DURING SHUTDOWN OF A DATA STORAGE DEVICE | 1 |
Wei-Fu Lai | TW | Chupei | 2009-06-04 / 20090141087 - Thermal Inkjet Printhead Chip Structure and Manufacturing Method for the same | 1 |
Al Lai | TW | Chung Ho City | 2010-07-29 / 20100191460 - Method, Device, And System For Overlaying Track Points On An Electronic Map | 1 |
Wang Lin Lai | US | Richardson | 2014-07-03 / 20140184744 - DEPTH CODING | 9 |
Li-Ru Lai | TW | Taichung County | 2012-07-19 / 20120182271 - DIGITAL PAINTING PEN, DIGITAL PAINTING SYSTEM AND MANIPULATING METHOD THEREOF | 1 |
Yen-Bo Lai | TW | Taipei Hsien | 2012-08-02 / 20120194434 - MOUSE WITH WIRE ARRANGEMENT STRUCTURE | 2 |
Hung-Hui Lai | TW | Hsinchu City | 2014-03-20 / 20140078832 - NON-VOLATILE MEMORY HAVING DISCRETE ISOLATION STRUCTURE AND SONOS MEMORY CELL, METHOD OF OPERATING THE SAME, AND METHOD OF MANUFACTURING THE SAME | 1 |
Tien-Te Lai | TW | Hsinchu City | 2012-07-19 / 20120181315 - IN-VEHICLE FIXTURE FOR DRIVING ASSIST DEVICE | 1 |
Yuk Ming Lai | HK | Kowloon | 2016-04-28 / 20160118026 - DRIVE METHOD AND SYSTEM FOR LED DISPLAY PANEL | 1 |
Hui-Chun Lai | TW | New Taipei City | 2012-08-09 / 20120201870 - Dicalcium Phosphate Ceramics, Dicalcium Phosphate/Hydroxyapatite Biphasic Ceramics and Method of Manufacturing the Same | 2 |
Sheng-Kai Lai | TW | New Taipei City | 2015-06-11 / 20150159803 - VARIABLE HEIGHT TROLLEY AND HEIGHT DISPLAYING ASSEMBLY THEREOF | 1 |
Yu-Hung Lai | TW | Taipei | 2015-06-11 / 20150160120 - OPTICAL MICROSCOPY SYSTEMS BASED ON PHOTOACOUSTIC IMAGING | 1 |
Chia-Lin Lai | TW | Taipei | 2016-04-28 / 20160116603 - METHOD FOR PET ATTENUATION CORRECTION | 1 |
Jian-Jang Lai | TW | Taoyuan Hsien | 2013-11-28 / 20130316203 - BATTERY MODULE | 2 |
Yu-Chi Lai | TW | Taoyuan County | 2015-07-02 / 20150188414 - SINGLE-PHASE POWER FACTOR CORRECTOR WITH STEP-UP AND STEP-DOWN FUNCTIONS | 2 |
Szu-Wei Lai | TW | Jhu-Nan | 2015-06-11 / 20150160768 - SYSTEMS FOR DISPLAYING IMAGES | 1 |
John Ta-Yuan Lai | US | Broadview Hts. | 2016-04-28 / 20160115259 - NON-HALOGEN FLAME RETARDANT POLYMERS | 1 |
Shih-Chi Lai | TW | Miaoli County | 2016-02-11 / 20160043459 - SPRING ANTENNA STRUCTURE | 5 |
Chine-Hong Lai | TW | Kaohsiung City | 2012-07-12 / 20120175887 - Vehicle Having Two Generators | 1 |
Chien-Hsun Lai | TW | Hsinchu City 300 | 2012-07-12 / 20120175744 - COPPER ELECTROPLATING COMPOSITION | 1 |
Ying-Tsung Lai | TW | Taichung City | 2016-04-28 / 20160114410 - HOLE SAW ASSEMBLY | 1 |
Chien-Hsun Lai | TW | Hsinchu City | 2012-07-12 / 20120175264 - WAFER PRETREATMENT FOR COPPER ELECTROPLATING | 1 |
Jan-Ji Lai | US | Westborough | 2015-10-29 / 20150306076 - TAZOBACTAM ARGININE ANTIBIOTIC COMPOSITIONS | 17 |
Yan-Jun Lai | TW | Changhua | 2013-06-20 / 20130158868 - RECURSIVE ROUTE PLANNING METHOD FOR ELECTRIC VEHICLE | 1 |
Chiu-Chi Lai | TW | Taichung | 2016-04-28 / 20160114469 - DISMANTLING DEVICE | 1 |
Kang-Yu Lai | TW | Taichung City | 2013-09-19 / 20130242607 - BACKLIGHT MODULE | 2 |
Yu-Chun Lai | TW | New Taipei City | 2016-04-21 / 20160110396 - DATA PROCESSING APPARATUSES, METHODS, AND NON-TRANSITORY TANGIBLE MACHINE-READABLE MEDIUM THEREOF | 1 |
Chiu-Mei Lai | TW | New Taipei | 2016-04-21 / 20160109962 - HANDWRITING INPUT DEVICE OF ELECTRONIC DEVICE | 1 |
Konrad K. Lai | US | Vancouver | 2016-05-12 / 20160132337 - Method, apparatus, and system for speculative abort control mechanisms | 16 |
Josephine Lai | US | Tucson | 2016-04-21 / 20160108090 - DYNORPHIN A ANALOGS WITH BRADYKININ RECEPTORS SPECIFICITY FOR MODULATION OF NEUROPATHIC PAIN | 1 |
Hsuan-Han Lai | TW | Taichung City | 2013-11-14 / 20130299460 - HARDFACING SUBMERGED ARC WELDING DEVICE | 1 |
Chih-Ming Lai | TW | Chu-Nan | 2013-05-23 / 20130128596 - LED BULB | 119 |
Chien Chih Lai | TW | Taoyuan County | 2016-04-21 / 20160107858 - Sheet winding structure | 1 |
Peng Yi Lai | TW | Taoyuan County | 2012-07-05 / 20120173731 - SYSTEM AND METHOD FOR STARTING CLOUD COMPUTING SERVICE ACCORDING TO USER LOCATION | 1 |
Guan-Ying Lai | TW | Keelung City | 2014-07-03 / 20140185403 - REFRESH METHOD FOR SWITCHING BETWEEN DIFFERENT REFRESH TYPES BASED ON AT LEAST ONE PARAMETER OF VOLATILE MEMORY AND RELATED MEMORY CONTROLLER | 1 |
Chin-Te Lai | TW | Tu-Cheng | 2011-02-10 / 20110034086 - CABLE CONNECTOR ASSEMBLY HAVING A SPACER AND A BRACKET | 17 |
Cheng-Hung Lai | TW | Hsinchu County | 2016-04-14 / 20160104462 - FRAME DRAWING METHOD AND ASSOCIATED MOBILE ELECTRONIC DEVICE | 1 |
Yeh-Hung Lai | US | Webster | 2014-02-20 / 20140051005 - FUEL CELL STACK WITH IMPROVED END CELL PERFORMANCE THROUGH A DIFFUSION MEDIA HAVING LOWER COMPRESSIBILITY | 27 |
Chunfai Lai | US | Fremont | 2012-07-05 / 20120172246 - Detection of Nucleic Acids | 1 |
Kin Man Lai | CN | Hong Kong | 2015-09-10 / 20150252518 - GARMENT TREATMENT SYSTEM | 14 |
Wei-Han Lai | US | Blacksburg | 2015-06-11 / 20150162845 - DC-DC POWER CONVERSION APPARATUS AND METHOD | 1 |
Chun-Chi Lai | TW | Hsin-Chu | 2013-10-31 / 20130286310 - LCD PANEL CAPABLE OF COMPENSATING THE FEED-THROUGH VOLTAGE | 7 |
Yen-Bo Lai | TW | New Taipei City | 2015-12-31 / 20150382134 - INPUT SYSTEM | 5 |
Shi-Hong Lai | CN | Shenzhen City | 2012-07-05 / 20120167379 - PRESSURE-SENSITIVE COVER INSTALLER | 1 |
Chun-Wen Lai | TW | Guishan Shiang | 2010-10-14 / 20100260017 - Method and Apparatus for Capturing Encoded Signals on Label Surface | 1 |
Ching-Ying Lai | TW | Taichung City | 2015-06-25 / 20150178767 - ELECTRONIC SHELF LABEL CONTROLLING SYSTEM, ELECTRONIC SHELF LABEL INSTALLING METHOD AND ELECTRONIC SHELF LABEL UPDATING METHOD | 1 |
Chron-Si Lai | US | Blacklick | 2016-02-04 / 20160029681 - METHOD OF ENHANCING BIOAVAILABILITY OF DHA AND OTHER LIPID-SOLUBLE NUTRIENTS | 21 |
Lawrence Lai | US | San Jose | 2016-05-12 / 20160132241 - METHODS AND SYSTEMS FOR MAPPING A PERIPHERAL FUNCTION ONTO A LEGACY MEMORY INTERFACE | 21 |
Hsiang Y. Lai | US | San Antonio | 2016-04-07 / 20160096994 - Feedstock Conversion To Fuel On High Pressure Circulating Fluidized Bed | 1 |
Ai-Lien Lai | TW | Taipei | 2010-11-04 / 20100277773 - Penta-mirror multi-reflection scanning module | 2 |
Chun-An Lai | TW | Tu-Cheng | 2013-07-04 / 20130169052 - POWER SUPPLY WITH CUMULATIVE CONNECTIVITY OF BATTERIES AND POWER SUPPLY METHOD | 7 |
Ming-Wei Lai | TW | Taichung City | 2012-06-28 / 20120162756 - CARRIER ASSEMBLY OF MICROSCOPE ELEMENT AND OPERATION METHOD THEREOF | 1 |
Yu-Sung Lai | TW | Hsin-Chu | 2012-06-28 / 20120162613 - PROJECTION APPARATUS | 1 |
Yi-Hsiang Lai | TW | Hsinchu City | 2012-06-28 / 20120162563 - DISPLAY DEVICE | 1 |
Kuo-Hua Lai | TW | Taoyuan County | 2015-06-25 / 20150175003 - POWER-SAVING APPARATUS AND METHOD FOR TRANSPORTATION VEHICLE | 1 |
Shih-Yaw Lai | CN | Shanghai | 2011-02-17 / 20110039082 - Oriented Films Comprising Ethylene/a-Olefin Block Interpolymer | 1 |
Hong-Hsin Lai | TW | Taichung | 2012-06-28 / 20120164008 - NEGATIVE-PRESSURE OXYGEN PROVIDING DEVICE | 2 |
Chang-Horng Lai | TW | Kaohsiung City | 2008-09-04 / 20080214322 - GOLF CLUB HEAD | 1 |
Che-Heng Lai | TW | Kaohsiung City | 2012-12-20 / 20120324147 - Read While Write Method for Serial Peripheral Interface Flash Memory | 3 |
Chun-Yuan Lai | TW | Kaohsiung City | 2012-06-28 / 20120163474 - SIGNAL TRANSCEIVING APPARATUS WITH POWER PROVIDER | 1 |
Szu-Hao Lai | TW | Kaohsiung City | 2015-09-17 / 20150263170 - SEMICONDUCTOR PROCESS FOR MODIFYING SHAPE OF RECESS | 9 |
Yen-Liung Lai | TW | Kaohsiung City | 2011-06-09 / 20110134319 - HEAD-MOUNTED VISUAL DISPLAY DEVICE WITH STEREO VISION AND ITS SYSTEM | 2 |
Yen-Tsun Lai | TW | Kaohsiung City | 2009-03-12 / 20090065422 - Cock for water purifier | 1 |
Shih-Yaw Lai | US | Marlboro | 2015-10-22 / 20150299455 - Thermoplastic Vulcanizate with Crosslinked Olefin Block Copolymer | 3 |
Chih Chiang Lai | TW | Taipei County | 2012-06-21 / 20120158926 - SYSTEM FOR RESTORING ORIGINAL CONFIGURATION AFTER TIMEOUT ADN METHOD THEREOF | 1 |
Shih-Yaw Lai | US | Pearland | 2011-05-05 / 20110104496 - ADDITIVES FOR THE USE OF MICROWAVE ENERGY TO SELECTIVELY HEAT THERMOPLASTIC POLYMER SYSTEMS | 5 |
Yu-Tsung Lai | TW | Fengyuan City | 2012-11-15 / 20120289043 - METHOD FOR FORMING DAMASCENE TRENCH STRUCTURE AND APPLICATIONS THEREOF | 2 |
Shih-Yaw Lai | SG | Singapore | 2009-12-10 / 20090306280 - CROSSLINKED POLYETHYLENE ELASTIC FIBERS | 1 |
Shih-Yaw Lai | US | Freeport | 2009-11-19 / 20090286013 - USE OF MICROWAVE ENERGY TO SELECTIVELY HEAT THERMOPLASTIC POLYMER SYSTEMS | 1 |
Yi-Shao Lai | TW | Taipei City | 2012-06-21 / 20120153489 - SEMICONDUCTOR PACKAGE HAVING PROXIMITY COMMUNICATION SIGNAL INPUT TERMINALS AND MANUFACTURING METHODS THEREOF | 1 |
Chien-Ming Lai | TW | Taichung City | 2012-06-21 / 20120154113 - WIRELESS SIGNAL ACCESS APPARATUS AND METHOD FOR REMOTELY CONTROLLING APPLIANCE THROUGH THE SAME | 1 |
Han-Chin Lai | TW | Taichung City | 2012-09-13 / 20120228308 - Pressure Vessel | 2 |
Wei Tsang Lai | TW | Taichung City | 2012-06-28 / 20120160873 - DISPENSER FOR ADJUSTING RATIONING LIQUID | 3 |
Su-Chen Lai | TW | Hsin-Chu City | 2010-04-08 / 20100087055 - METHOD FOR GATE HEIGHT CONTROL IN A GATE LAST PROCESS | 1 |
Su-Chen Lai | TW | Hsinchu City | 2012-10-25 / 20120270379 - METHOD OF FABRICATING A DUMMY GATE STRUCTURE IN A GATE LAST PROCESS | 9 |
Yu-Peng Lai | TW | Taoyuan | 2010-03-25 / 20100077121 - DETACHABLE ADAPTER AND PORTABLE SYSTEM | 1 |
Yu-Peng Lai | TW | Taoyuan County | 2014-01-02 / 20140006678 - PORTABLE ELECTRONIC DEVICE AND ACCESSORY DEVICE THEREOF, AND OPERATING METHOD FOR THE PORTABLE ELECTRONIC DEVICE | 11 |
Yu-Peng Lai | TW | Taoyuan City | 2015-12-10 / 20150355679 - PORTABLE DEVICE AND PERIPHERAL EXTENSION DOCK | 4 |
Chia-Te Lai | TW | Miaoli County | 2016-03-31 / 20160094921 - Bluetooth hearing aid system | 1 |
Ka-Man Venus Lai | US | Tarrytown | 2016-04-28 / 20160115486 - NUCLEASE-MEDIATED DNA ASSEMBLY | 24 |
Cheng-Chung Lai | TW | New Taipei City | 2016-03-31 / 20160093994 - ELECTRICAL CONNECTOR HAVING A TERMINAL WITH TWO CONTACT PARTS AND A SOLDER PART | 2 |
Yi-Che Lai | TW | Taichung | 2016-05-19 / 20160141227 - PACKAGE STRUCTURE AND FABRICATION METHOD THEREOF | 11 |
Mee Sim Michelle Lai | MY | Penang | 2014-08-07 / 20140223061 - SYSTEM AND DETERMINISTIC METHOD FOR SERVICING MSI INTERRUPTS USING DIRECT CACHE ACCESS | 1 |
Alan Lai | US | Cambridge | 2014-09-11 / 20140255693 - Oligocrystalline Ceramic Structures for Enhanced Shape Memory and Pseudoelastic Effects | 1 |
Shinn-Juh Lai | TW | Hsinchu County | 2012-07-05 / 20120168839 - POWER DEVICE PACKAGE STRUCTURE | 9 |
Chen-Ju Lai | TW | Taipei | 2012-06-21 / 20120153785 - PACKAGE STRUCTURE | 1 |
Tsung-Te Lai | TW | Taipei | 2012-06-21 / 20120152025 - METHOD, APPARATUS AND SYSTEM FOR MAPPING PIPELINE | 1 |
Kwok Cheong Lai | HK | Hk | 2014-09-11 / 20140255791 - Transparent or Translucent Lithium Ion Battery | 1 |
Chia-Ping Lai | TW | Taipei | 2011-03-24 / 20110072542 - PLANT TUBBY-LIKE PROTEINS | 1 |
Janice Lai | US | Mountain View | 2014-08-07 / 20140219972 - TISSUE ENGINEERING USING PROGENITOR CELLS TO CATALYZE TISSUE FORMATION BY PRIMARY CELLS | 1 |
Chien-Mo Lai | TW | Taipei | 2011-04-21 / 20110090179 - Specific wavelength selecting method for optical input device and optical input device thereof | 3 |
Hollis Lai | CA | Edmonton | 2014-07-31 / 20140214385 - AUTOMATIC ITEM GENERATION (AIG) MANUFACTURING PROCESS AND SYSTEM | 1 |
Cheng-Yu Lai | US | West Grove | 2015-03-05 / 20150061598 - MCM-48 SILICA PARTICLE COMPOSITIONS, ARTICLES, METHODS FOR MAKING AND METHODS FOR USING | 6 |
Cheng-Yu Lai | US | Ames | 2009-10-08 / 20090252811 - CAPPED MESOPOROUS SILICATES | 1 |
Wen-Cheng Lai | TW | Hsinchu County | 2014-07-31 / 20140211458 - CANDLE STAND WITH FAUX FLAME | 1 |
Jia-Bin Lai | TW | Taipei City | 2012-11-01 / 20120278427 - Method for transmitting information between multiple electronic pages | 4 |
Maohu Lai | TW | Taipei City | 2012-06-14 / 20120146912 - Method for adjusting a display appearance of a keyboard layout displayed on a touch display unit | 1 |
Chung-Min Lai | TW | Hsinchu | 2015-07-02 / 20150188219 - Waterproof Part | 3 |
Wen-Cheng Lai | TW | Taichung City | 2012-06-14 / 20120146701 - CLOCK SYSTEM AND METHOD FOR COMPENSATING TIMING INFORMATION OF CLOCK SYSTEM | 1 |
Qianxi Lai | US | Carlsbad | 2014-03-13 / 20140070194 - WHITE ORGANIC LIGHT-EMITTING DIODE | 1 |
Chin-Ding Lai | TW | Taipei | 2012-07-05 / 20120169925 - WIRELESS AV TRANSMISSION AND RECEIVER MODULES, PORTABLE WIRELESS AV TRANSMISSION AND RECEIVER DEVICES, AND AV SOURCE AND PLAYBACK DEVICES | 2 |
Chao-Sung Lai | TW | Tao-Yuan City | 2010-02-04 / 20100025815 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Sheng-Chih Lai | US | Elmsford | 2015-08-27 / 20150243674 - HIGH ASPECT RATIO ETCHING METHOD | 3 |
Chao-Sung Lai | TW | Tao-Yuan | 2010-12-02 / 20100301399 - Sensitive field effect transistor apparatus | 1 |
Hong-Ming Lai | TW | Zhonghe City | 2012-06-14 / 20120146675 - TEST DEVICE | 1 |
Yu-Chieh Lai | TW | Taoyuan City | 2016-05-19 / 20160140977 - NOISE CANCELLATION METHOD | 1 |
Chong-Kuo Lai | TW | Taipei | 2014-04-24 / 20140111925 - ELECTRONIC DEVICE | 2 |
Chun-Chiang Lai | TW | Taipei | 2010-08-19 / 20100208423 - ELECTRONIC DEVICE CAPABLE OF EJECTING AN EXTERNAL DEVICE | 1 |
Chung-Kung Lai | TW | Taipei | 2011-01-13 / 20110008262 - DRUG DELIVERY SYSTEM TARGETING TO ESTROGEN RECEPTOR OVER-EXPRESSED CELLS | 1 |
Gi-Ming Lai | TW | Taipei | 2009-08-06 / 20090196885 - Cancer Treatment | 2 |
Chao-Sung Lai | TW | Taoyuan City | 2013-10-03 / 20130260479 - DEVICE AND METHOD FOR DETECTING EXISTENCE OF TARGET BIOMOLECULES IN A SPECIMEN | 3 |
Chin-Lun Lai | TW | New Taipei City | 2015-06-25 / 20150178580 - IDENTIFICATION METHOD AND APPARATUS UTILIZING THE METHOD | 1 |
Chun-Han Lai | TW | Hsinchu City | 2012-06-07 / 20120140314 - PHOTONIC CRYSTAL AND METHOD OF FABRICATING THE SAME | 1 |
Hsin-Chi Lai | TW | Taichung City | 2014-07-31 / 20140210514 - CONFIGURABLE LOGIC BLOCK AND OPERATION METHOD THEREOF | 4 |
Chung Woh Lai | SG | Singapore | 2014-06-05 / 20140154873 - DISLOCATION ENGINEERING USING A SCANNED LASER | 22 |
Horng-Ji Lai | TW | Taipei | 2012-02-16 / 20120039982 - Bio-Sheet for Eye Tissue Repair | 5 |
Jih-Sheng Lai | US | Blacksburg | 2015-07-02 / 20150183330 - MODULAR RECONFIGURABLE MEDIUM VOLTAGE TRANSFORMER FOR DATA CENTERS, VOLT/VAR CONTROL, AC AND DC CHARGING, AND VEHICLE-TO-GRID APPLICATIONS | 8 |
Chien-Mo Lai | TW | New Taipei City | 2011-10-06 / 20110242063 - Pen-like optical input device | 1 |
Jiin Lai | TW | New Taipei City | 2015-05-14 / 20150134889 - DATA STORAGE SYSTEM AND MANAGEMENT METHOD THEREOF | 6 |
Kuang-Chu Lai | TW | New Taipei City | 2014-06-05 / 20140153277 - ASSEMBLING STRUCTURE FOR LED LAMP MODULE | 8 |
Kun-Liang Lai | TW | New Taipei City | 2015-04-09 / 20150097573 - LOAD APPARATUS FOR TESTING | 4 |
Nien-An Lai | TW | New Taipei City | 2012-06-07 / 20120138444 - BUTTON MECHANISM AND ELECTRONIC DEVICE THEREWITH | 1 |
Hung-Cheng Lai | TW | Taipei | 2008-12-18 / 20080311570 - CANCER SCREENING METHOD | 1 |
Tzung-Hsien Lai | TW | Xizhi City | 2009-12-31 / 20090324751 - CHINESE HERB EXTRACT FOR TREATING DEMENTIA AND PREPARATION METHOD THEREOF | 1 |
Tzung-Hsien Lai | TW | New Taipei City | 2015-07-02 / 20150182572 - PLANT EXTRACT AND THE PROCESS FOR TREATING HEPATIC FIBROSIS AND LIVER CANCER | 1 |
I-Hsuan Lai | TW | Taipei | 2010-04-22 / 20100099053 - Structure of lighter with safety button | 1 |
I-Kuan Lai | TW | Taipei | 2012-04-05 / 20120083622 - METHOD AND APPARATUS FOR PREPARING AN ESTER | 3 |
James-Chyi Lai | TW | Taipei | 2011-01-20 / 20110013339 - ASSEMBLY OF MAGNETIC CAPACITOR WITH PACKAGING | 1 |
Jiin Lai | TW | Taipei | 2012-04-05 / 20120084485 - USB TRANSACTION TRANSLATOR AND AN ISOCHRONOUS-IN TRANSACTION METHOD | 13 |
Rixin Lai | US | Clifton Park | 2016-03-10 / 20160072375 - SYSTEM AND METHOD FOR SERIES CONNECTING ELECTRONIC POWER DEVICES | 16 |
Chee Keen Lai | SG | Singapore | 2015-07-02 / 20150182114 - Imager for Medical Device | 1 |
Jiunn-Yiing Lai | TW | Taipei | 2008-10-02 / 20080239411 - IMAGE SCANNER HAVING MULTIPLE SCANNING WINDOWS | 1 |
Jon W. Lai | US | Camarillo | 2010-04-22 / 20100096851 - Seal Actuated or Maintained by Differential Pressure | 1 |
Chung-Chiu Lai | TW | Zhubei City | 2011-10-13 / 20110252439 - METHOD FOR PROVIDING MULTIMEDIA STREAMING SERVICE AND RELATED WIRELESS PACKET RELAY APPARATUS AND WIRELESS SET-TOP BOX | 2 |
Chung-Chiu Lai | TW | Zheubei City | 2011-09-29 / 20110238854 - STREAMING DATA RELAY APPARATUS AND RELATED WIRELESS GATEWAY APPARATUS | 1 |
Jo Fei Lai | TW | Taipei | 2009-01-22 / 20090020672 - SUPPORTING APPARATUS | 1 |
Peter Lai | US | Cambridge | 2008-08-21 / 20080201320 - SYSTEM AND METHOD FOR SEARCHING ANNOTATED DOCUMENT COLLECTIONS | 1 |
Chiou-Chu Lai | TW | Hsinchu County | 2015-08-27 / 20150243939 - CAP ASSEMBLY FOR BATTERY | 10 |
Fang-Shi Jordan Lai | TW | Chia-Yi | 2015-04-09 / 20150097710 - ADC CALIBRATION | 13 |
Ming-Yi Lai | TW | Changhua County | 2014-10-02 / 20140291883 - Processing method of non-woven intrinsically with enhanced deodorant feature from bamboo | 12 |
Yuhua Lai | CN | Chang'An Town Dongguan City | 2015-07-02 / 20150183145 - PLASTIC-METAL COMPOSITE MATERIAL AND MANUFACTURING METHOD THEREOF | 1 |
Jui-Hsin Lai | TW | Taipei | 2013-07-04 / 20130169680 - SOCIAL SYSTEM AND METHOD USED FOR BRINGING VIRTUAL SOCIAL NETWORK INTO REAL LIFE | 4 |
Jun-Qing Lai | TW | Taipei | 2010-05-13 / 20100116149 - Flat-top grill heating means | 1 |
Chieh-Lung Lai | TW | Taichung | 2015-11-12 / 20150325556 - PACKAGE STRUCTURE AND METHOD FOR FABRICATING THE SAME | 4 |
Jui-Feng Lai | TW | Taichung | 2012-05-24 / 20120127693 - LIGHT-PERMEATING COVER BOARD, METHOD OF FABRICATING THE SAME, AND PACKAGE HAVING THE SAME | 1 |
Chun-Feng Lai | TW | Taoyuan Hsien | 2012-05-24 / 20120127639 - DISPLAY DEVICE | 1 |
Chien-Hua Lai | TW | Taipei | 2014-07-10 / 20140196098 - Video Entertainment System | 3 |
Jihn-Sung Lai | TW | Taipei | 2015-11-19 / 20150332146 - DEVICE AND METHOD FOR COMPARISON OF MULTIPLE TROPICAL CYCLONE ROUTES | 2 |
Chien-Hua Lai | TW | Taipei City | 2012-05-31 / 20120135287 - ELECTRONIC DEVICE | 2 |
Yu-Ting Lai | TW | Taipei City | 2014-07-10 / 20140195503 - METHOD AND SYSTEM FOR MANAGING CACHE FILES | 2 |
Jyh-Ting Lai | TW | Taipei | 2010-12-09 / 20100309181 - Integrated and Simplified Source Driver System for Displays | 2 |
Francis Anthony Lai | GB | Cardiff | / - | 2 |
Kuan-Ming Lai | TW | Taipei | 2009-04-30 / 20090109620 - HEAT-DISSIPATING DEVICE OF PORTABLE ELECTRONIC APPARATUS | 1 |
Ching-Lun Lai | TW | Taichung City | 2016-03-03 / 20160064486 - METHOD FOR FORMING SEMICONDUCTOR DEVICE STRUCTURE | 4 |
Justine Yeun Quai Lai | GB | Essex | 2012-01-05 / 20120004273 - GLYT1 TRANSPORTER INHIBITORS AND USES THEREOF IN TREATMENT OF NEUROLOGICAL AND NEUROPSYCHIATRIC DISORDERS | 7 |
Yi-Lin Lai | TW | New Taipei City | 2015-11-12 / 20150324283 - FLASH MEMORY CONTROL CHIP AND DATA STORAGE DEVICE AND FLASH MEMORY CONTROL METHOD | 10 |
Chee Hong Lai | JP | Kawasaki | 2009-09-03 / 20090219669 - CAPACITOR | 1 |
Gaochao Lai | JP | Osaka | 2012-05-24 / 20120129998 - METAL PIGMENT, METHOD FOR PRODUCING THE SAME, AND WATER BASE METALLIC RESIN COMPOSITION INCLUDING THE SAME | 7 |
Jie-Wei Lai | TW | Taipei | 2014-08-28 / 20140240047 - DIGITALLY-CONTROLLED POWER AMPLIFIER WITH BANDPASS FILTERING/TRANSIENT WAVEFORM CONTROL AND RELATED DIGITALLY-CONTROLLED POWER AMPLIFIER CELL | 13 |
Ken Kin-Ho Lai | GB | Edinburgh | 2010-12-02 / 20100303657 - OPERATING METHOD FOR FLUID WORKING MACHINE | 4 |
Chun-Chang Lai | TW | Hsichih | 2008-12-25 / 20080316699 - Plate combination type computer housing | 1 |
Hsien-Hao Lai | TW | Taoyuan City | 2016-04-14 / 20160105828 - Communication Device of Handling Network-based Internet Protocol Flow Mobility | 1 |
Janice Lai | CH | Basel | 2012-05-17 / 20120124682 - DHX36 / RHAU KNOCKOUT MICE AS EXPERIMENTAL MODELS OF MUSCULAR DYSTROPHY | 1 |
Yuan-Cheng Lai | TW | Hsinchu | 2015-05-14 / 20150131504 - POWER-SAVING DATA SCHEDULING SYSTEM IN LTE AND METHOD THEREOF | 3 |
Kuo-Hua Lai | TW | Taoyuan City | 2016-03-24 / 20160086394 - DRIVING BEHAVIOR ANALYSIS METHOD AND SYSTEM THEREOF | 2 |
Li-Jane Lai | TW | Taipei | 2010-05-27 / 20100132041 - INTERCEPTION-BASED CLIENT DATA NETWORK SECURITY SYSTEM | 1 |
Nelson Lai | US | Cambridge | 2009-10-08 / 20090254861 - DUAL DISPLAY CONTENT COMPANION | 1 |
Dongmei Lai | CN | Shanghai | 2012-05-17 / 20120122213 - METHOD FOR CULTURING STEM CELLS | 1 |
Yu-Jen Lai | TW | Taipei | 2009-03-12 / 20090065174 - Heat sink for an electrical device and method of manufacturing the same | 1 |
Chih-Hao Lai | TW | Taipei | 2009-03-05 / 20090061804 - Frequency synthesizer applied to a digital television tuner | 1 |
Chih-Hao Lai | TW | Guansi Township | 2010-06-24 / 20100156734 - Chip-type antenna for receiving FM broadcasting signal and a manufacturing method thereof | 2 |
Li-Li Lai | TW | Taipei | 2009-12-24 / 20090318046 - FABRIC WITH A MOISTUREPROOF, DUSTPROOF, AND ANTIBACTERIAL | 3 |
Lu-Ming Lai | TW | Taipei | 2011-03-31 / 20110072674 - TILT SENSOR | 5 |
Kin Man Lai | HK | Shatin | 2014-02-27 / 20140057033 - BREWED BEVERAGE APPLIANCE AND METHOD | 5 |
Yen-Cheng Lai | TW | Xindian City | 2012-05-17 / 20120120883 - REGION-BASED CLUSTERING MECHANISM FOR CHANNEL ACCESS IN VEHICULAR AD HOC NETWORKS | 1 |
Shui T. Lai | US | Windermere | 2015-12-31 / 20150374225 - SELF GUIDED SUBJECTIVE REFRACTION INSTRUMENTS AND METHODS | 6 |
Samuel K. Lai | US | Carrboro | 2015-09-24 / 20150265543 - NANOCRYSTALS, COMPOSITIONS, AND METHODS THAT AID PARTICLE TRANSPORT IN MUCUS | 7 |
Ming-Lu Lai | TW | Taipei | 2009-05-07 / 20090115681 - Antenna device | 1 |
Yhean-Sen Lai | US | Warren | 2011-08-04 / 20110188489 - MULTI-CHANNEL RECEIVER WITH IMPROVED AGC | 5 |
Gaifa Lai | US | Monmouth Junction | 2012-11-01 / 20120276118 - HETEROCYCLIC ASPARTYL PROTEASE INHIBITORS | 6 |
Ching-Yue Lai | US | Pennington | 2015-04-09 / 20150096598 - METHOD FOR CLEANING PASSAGEWAYS USING FLOW OF LIQUID AND GAS | 5 |
Zhong Lai | US | Scotch Plains | 2012-10-18 / 20120264777 - OXADIAZOLE BETA CARBOLINE DERIVATIVES AS ANTIDIABETIC COMPOUNDS | 2 |
Eseng Lai | US | Short Hills | 2010-10-14 / 20100260841 - Method of Treating Atherosclerosis, Dyslipidemias and Related Conditions | 1 |
Chiajen Lai | US | Kendall Park | 2010-11-18 / 20100292246 - FORMULATIONS OF 1-(4-BENZOYL-PIPERAZIN-1-YL)-2-[4-METHOXY-7-(3-METHYL-[1,2,4]TRIAZOL-1-YL- )-1H-PYRROLO[2,3-C]PYRIDIN-3-YL]-ETHANE-1,2-DIONE | 3 |
Wenyih Frank Lai | US | Bridgewater | 2016-05-05 / 20160122193 - Zeolite Synthesis with Dominant and Secondary Templates | 22 |
Pamela M. Lai | US | Parsippany | 2009-02-05 / 20090036821 - Iontophoretic Transdermal Delivery of Nicotine Salts | 1 |
Warren Yiu-Cho Lai | US | Chatham Township | 2009-03-19 / 20090075424 - Process for making microelectronic element chips | 1 |
Polin Lai | US | Plainsboro | 2011-11-24 / 20110286530 - Frame packing for video coding | 2 |
Chung-Yue Lai | US | Lawrenceville | / - | 1 |
Ming-Yee Lai | US | Short Hills | 2013-04-18 / 20130094444 - AUTOMATIC PROVISIONING OF AN M2M DEVICE HAVING A WIFI INTERFACE | 3 |
Henry Lai | US | West Windsor | 2010-08-12 / 20100205616 - APPLICATION WORKFLOW INTEGRATION SUBSYSTEM | 1 |
Yhean-Sen Lai | US | Somerset County | 2010-10-07 / 20100253400 - Phase-Locked Loop (PLL) Having Extended Tracking Range | 1 |
Wenyih F. Lai | US | Bridgewater | 2016-05-05 / 20160121315 - BASE STOCK PRODUCTION USING A HIGH ACTIVITY CATALYST | 17 |
Jie Lai | US | Belle Mead | 2013-01-10 / 20130010901 - Method and System for Decoding Single Antenna Interference Cancellation (SAIC) and Redundancy Processing Adaptation Using Burst Process | 4 |
Wai Sum Lai | US | Matawan | 2016-05-05 / 20160127390 - METHOD AND APPARATUS FOR DETECTING PORT SCANS IN A NETWORK | 4 |
Qianxi Lai | US | Vista | 2015-04-09 / 20150099890 - Polyphenylene Host Compounds | 6 |
Peter P. Lai | US | Rochester | 2012-05-17 / 20120124425 - Method and Apparatus Useful In Manufacturing Test Case Operations | 1 |
Min-Ta Lai | TW | Taoyuan County | 2012-06-07 / 20120140156 - PIXEL STRUCTURE AND DISPLAY PANEL | 2 |
Ray-Chien Lai | TW | Taoyuan City | 2016-03-17 / 20160079462 - PACKAGE STRUCTURE OF SOLAR PHOTOVOLTAIC MODULE | 1 |
Yi-Hsiang Lai | TW | Hsin-Chu | 2015-07-09 / 20150192727 - DISPLAY APPARATUS | 1 |
Teng-Shun Lai | TW | Taipei | 2009-03-12 / 20090065505 - COLLAPSIBLE AND DISPOSABLE CONTAINER | 1 |
Chin-Feng Lai | TW | New Taipei City | 2012-05-10 / 20120116178 - VITAL SIGN SENSING SYSTEM, VITAL SIGN OBTAINING DEVICE, AND DISPOSABLE DEVICE | 1 |
Hsin-Chi Lai | TW | New Taipei City | 2015-07-09 / 20150193063 - TOUCH PANEL CIRCUIT AND OPERATION METHOD THEREOF | 1 |
Yen-Chieh Lai | TW | Taipei | 2013-03-07 / 20130058562 - SYSTEM AND METHOD OF CORRECTING A DEPTH MAP FOR 3D IMAGE | 6 |
Te-Hsien Lai | TW | Taoyuan City | 2016-03-17 / 20160080210 - HIGH DENSITY SERIAL OVER LAN MANAGMENT SYSTEM | 1 |
Bing-Ren Lai | TW | Taichung | 2010-01-07 / 20100002087 - ANTI-SHAKE DEVICE FOR OPTICAL INSTRUMENT | 1 |
Ying-Tsung Lai | TW | Taichung | 2014-12-18 / 20140369775 - Quick-Change Attachment Configuration for a Hole Saw | 6 |
Li-Hung Lai | TW | Taichung | 2010-05-20 / 20100122727 - Method for fabricating III-V compound semiconductor solar cell and structure thereof | 1 |
Jin-Sheng Lai | TW | Taichung | 2008-09-18 / 20080223422 - Suspension umbrella operated easily and quickly | 1 |
Leo Lai | TW | Taichung | 2008-11-27 / 20080291704 - DRIVING DEVICE AND METHOD FOR PROVIDING AN AC DRIVING SIGNAL TO A LOAD | 1 |
Sun-Mou Lai | TW | Taichung | 2009-02-05 / 20090036589 - Polymer-blend nanocomposites using nanocompatibilizer and method of manufacture thereof | 1 |
Chih-Hsin Lai | TW | Taichung | 2014-03-20 / 20140080264 - METHOD FOR FABRICATING LEADFRAME-BASED SEMICONDUCTOR PACKAGE | 2 |
Chien-Hsun Lai | TW | Taichung | 2009-02-12 / 20090038165 - Cutting Apparatus | 1 |
Yan-Chin Lai | TW | Taichung | 2009-05-28 / 20090136829 - Method and apparatus for reducing lead sulfate compound used in lead-acid battery | 1 |
Shu-Tzu Lai | TW | Taichung | 2009-07-02 / 20090168201 - Imaging lens module | 1 |
Chien Fu Lai | TW | Taichung | 2015-12-17 / 20150361976 - Output shaft lubricating structure of liquid pressurizing pump | 2 |
Ying-Nan Lai | TW | Taichung | 2009-11-05 / 20090275379 - House advantage excluding game apparatus and method of implementing the same | 4 |
Ming-Feng Lai | TW | Taichung | 2009-11-12 / 20090278507 - CHARGING METHOD AND DEVICE | 1 |
Tang-Fang Lai | TW | Taichung | 2010-07-22 / 20100182778 - Focusing-type flashlight structure | 1 |
Chui-Teng Lai | TW | Taichung | 2010-09-16 / 20100229679 - Stepless positioning structure for telescopic tube | 1 |
Jih-Ching Lai | TW | Taichung | 2010-09-30 / 20100243928 - MODULAR GAS VALVE ARRANGEMENT | 1 |
Ting-Fang Lai | TW | Taichung | 2010-10-07 / 20100254138 - Light emitting device | 1 |
Chun-Chih Lai | TW | Taichung | 2012-03-29 / 20120074654 - TOOL HAVING A QUICK RELEASE DEVICE | 2 |
Ting-Cheng Lai | TW | Taichung | 2010-12-23 / 20100321141 - TRANSFORMER | 3 |
Hung Chang Lai | TW | Taichung | 2010-12-30 / 20100326638 - Waste heat recycling and gain system | 1 |
Chun-Hung Lai | TW | Taichung | 2011-01-06 / 20110001038 - IMAGE SENSOR DEVICE WITH SILICON MICROSTRUCTURES AND FABRICATION METHOD THEREOF | 1 |
Po Hao Lai | TW | Taichung | 2011-03-31 / 20110075939 - DATA PROCESSING DEVICE USING IMAGE AS DATA SOURCE AND DATA IMPUT METHOD THROUGH CAPTURING IMAGE | 1 |
Chien-Ming Lai | TW | Tan-Tzu Hsiang | 2011-03-31 / 20110076002 - Image Capturing Device and Method | 1 |
Tung Long Lai | TW | Zhubei City | 2010-11-04 / 20100277850 - Multi-Zone Electrostatic Chuck and Chucking Method | 1 |
Ming Tsan Lai | TW | Zhubei City | 2015-11-05 / 20150318179 - PLANARIZATION DEVICE AND PLANARIZATION METHOD USING THE SAME | 2 |
Ko-Yin Lai | TW | Zhubei City | 2015-07-16 / 20150200695 - Method and Apparatus for Processing a Multiple-Carrier Signal Provided with Subcarriers Distributed in a Band | 3 |
Tzu-Chien (reggie) Lai | TW | Taipei | 2010-04-01 / 20100082627 - OPTIMIZATION FILTERS FOR USER GENERATED CONTENT SEARCHES | 1 |
Jun Liang Lai | TW | Zhubei City | 2010-09-23 / 20100237886 - PROBE CARD | 1 |
Yen-Bo Lai | TW | Taipei | 2010-05-13 / 20100117964 - SILENT MOUSE | 1 |
Yi-Jun Lai | TW | Taipei | 2009-04-16 / 20090095871 - Support for computer peripheral device | 1 |
Ying-Lin Lai | TW | Taipei | 2014-02-13 / 20140046990 - ACCELEROMETER DATA COMPRESSION | 4 |
Yi-Shao Lai | TW | Taipei | 2009-04-16 / 20090096077 - Tenon-and-mortise packaging structure | 1 |
Chi-Feng Lai | TW | Dali City | 2012-05-10 / 20120114796 - METHOD OF MANUFACTURING POROUS VEGETABLE FILLER FOR USE IN FOAMING PROCESS | 1 |
Alan Lai | US | Westborough | 2015-10-01 / 20150280614 - ELECTRIC FIELD ACTIVATION OF SHAPE MEMORY CERAMICS | 4 |
Wei-Hsun Lai | TW | Taoyuan City | 2016-04-07 / 20160099364 - METHOD FOR FORMING DENDRITIC SILVER WITH PERIODIC STRUCTURE AS LIGHHT-TRAPPING LAYER | 1 |
Chun-Ming Lai | TW | Yuanli Township | 2012-09-27 / 20120243242 - LIGHTING MODULE AND POWER CONNECTING SET | 5 |
Yukun Lai | CN | Beijing | 2012-05-10 / 20120113098 - METHOD AND SYSTEM FOR RAPIDLY VECTORIZING IMAGE BY GRADIENT MESHES BASED ON PARAMETERIZATION | 1 |
Yuan-Bi Lai | TW | Taipei | 2009-04-16 / 20090100277 - Electrical power sharing circuit | 1 |
Yun-Long Lai | TW | Taipei | 2009-03-12 / 20090068358 - PLASTIC CODE WHEEL/STRIP FABRICATION METHOD | 1 |
Zhong Lai | US | Rahway | 2014-03-27 / 20140088124 - IMIDAZOLE DERIVATIVES | 1 |
Wen-Lung Lai | TW | Taoyuan City | 2016-03-24 / 20160086822 - PACKAGING SUBSTRATE HAVING A HOLDER, METHOD OF FABRICATING THE PACKAGING SUBSTRATE, PACKAGE STRUCTURE HAVING A HOLDER, AND METHOD OF FABRICATING THE PACKAGE STRUCTURE | 1 |
Chung-Ping Lai | TW | Taipei | 2012-05-03 / 20120110041 - MULTIMEDIA PLAYER AND METHOD OF OPERATING THE SAME | 1 |
Jan-Ji Lai | US | Westborough | 2015-10-29 / 20150306076 - TAZOBACTAM ARGININE ANTIBIOTIC COMPOSITIONS | 17 |
Fook Chuan Lai | MY | Sremban | 2016-03-17 / 20160075852 - COMPOSITION FOR USE AS A TWO COMPONENT BACK FILLED GROUT COMPRISING EXTRACTED SILICATE | 1 |
Chien-Ming Lai | TW | Tainan County | 2011-02-10 / 20110034019 - METHOD FOR FABRICATING SEMICONDUCTOR STRUCTURE | 9 |
Chih-Cheng Lai | CN | Shenzhen City | 2014-04-10 / 20140097727 - SECURING STRUCTURE FOR FAN SENSING ELEMENT | 1 |
Chien-Ting Lai | TW | Miao-Li County | 2015-09-17 / 20150261025 - LIQUID CRYSTAL DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME | 5 |
Chih-Chang Lai | TW | Hsinchu County | 2012-10-18 / 20120262414 - LAYOUT STRUCTURE OF CAPACITIVE TOUCH PANEL | 7 |
Chung Lai | HK | San Francisco | 2014-04-10 / 20140100905 - HOME TOUR AND OPEN HOUSE SCHEDULER | 1 |
Li Wen Lai | TW | Taichung City | 2014-06-19 / 20140168778 - COMPOSITE GRADED REFRACTIVE INDEX LAYER STRUCTURES AND ENCAPSULATION STRUCTURES COMPRISING THE SAME | 10 |
Hung-Chih Lai | TW | Taichung County | 2012-05-03 / 20120104085 - Length measurement system based on optical recognition pattern for length measurement | 1 |
Xinwu Lai | CN | Shanghai | 2012-05-03 / 20120102745 - HAIR REMOVAL DEVICE WITH CARTRIDGE RETENTION COVER | 1 |
Jiun Ren Lai | TW | Zhubei City | 2011-08-11 / 20110193235 - 3DIC Architecture with Die Inside Interposer | 2 |
Ze Kang Lai | AU | Glenfield, Nsw | 2014-12-04 / 20140352549 - Toaster Apparatus and Method | 2 |
Yu-Chien Lai | TW | Dacun Township | 2010-03-04 / 20100053538 - STRUCTURE OF POLARIZING TERAHERTZ WAVE DEVICE | 1 |
Yen-Ting Lai | TW | Taipei | 2010-05-20 / 20100125692 - COMPUTER INTERFACE KIT AND COMPUTER INTERFACE DEVICE THEREOF | 3 |
Mei-Lin Lai | TW | Hukou Township | 2008-11-13 / 20080278911 - Cooling fan and dynamic pressure bearing structure | 1 |
Dishi Lai | US | San Jose | 2014-03-20 / 20140082226 - BRIDGE BETWEEN TWO DIFFERENT CONTROLLERS FOR TRANSFERRING DATA BETWEEN HOST AND STORAGE DEVICE | 3 |
Wei-Chi Lai | TW | Hsinchu City | 2014-12-25 / 20140379735 - RECONFIGURABLE SORTER AND METHOD OF SORTING | 4 |
Tsung-Ming Lai | TW | New Taipei City | 2015-07-16 / 20150197981 - ROMAN CURTAIN AND STRUCTURE FOR INSTALLATION OF THE ROMAN CURTAIN | 1 |
Chien-Hung Lai | US | 2012-04-26 / 20120100944 - ELECTRICAL CONTROL BELT CONTINUOUSLY VARIABLE TRANSMISSION SYSTEM | 1 | |
Jonathan R. Lai | US | Roxbury | 2010-02-25 / 20100048422 - Methods of Producing Modified Assembly Lines and Related Compositions | 1 |
Chung-Ping Lai | TW | Newtaipei City | 2015-07-16 / 20150198886 - GOLD NANO-PARTICLES COATED LARGE FILM GRAPHENE AND GRAPHENE FLAKES AND METHODS FOR FORMING THE SAME | 1 |
Guoqiao Lai | CN | Wenyi Road | 2008-09-18 / 20080228083 - Non-Evasive Method and Apparatus of Detection of Organism Tissues | 1 |
Yongchuan Lai | CN | Beijing | 2011-06-23 / 20110152665 - METHOD AND APPARATUS FOR DESIGNING MRI GRADIENT PULSE WAVEFORM | 2 |
Lisa Karjiung Lai | CN | Shanghai | 2008-12-18 / 20080313049 - System and method for dynamic reordering of items in an online list | 1 |
Tzn-Yung Lai | CN | Taiwan | 2008-12-25 / 20080319358 - Massage Tool | 1 |
Qing Lai | CN | Shenzen | 2009-06-04 / 20090142659 - BATTERY ELECTRODE STRUCTURE | 1 |
Xinmin Lai | CN | Shangai | 2009-06-18 / 20090152253 - ONLINE WELD INSPECTION AND REPAIR METHOD FOR RESISTANCE WELDING AND WELD-BONDING | 1 |
Ka Ying Lai | CN | Hksar | 2009-07-30 / 20090192990 - Method and apparatus for realtime or near realtime video image retrieval | 1 |
Guojun Lai | CN | Pudong | 2009-10-15 / 20090257714 - Multimode Reflective Tap | 1 |
Rui-Rui Lai | CN | Guangzhou | 2009-11-12 / 20090278952 - VIDEO PROCESSING METHOD AND VIDEO PROCESSING SYSTEM | 1 |
Wei Lai | CN | Beijing | 2012-05-10 / 20120117052 - WEB FORUM CRAWLING USING SKELETAL LINKS | 4 |
Zhengrong Lai | CN | Guangdong | 2010-01-21 / 20100016021 - BASE BAND PROCESSING MODULE N+M BACKUP METHOD BASED ON SWITCHING AND DEVICE THEREOF | 1 |
Xiaolong Lai | CN | Shannxi | 2010-03-25 / 20100077454 - TRUSTED NETWORK CONNECT METHOD BASED ON TRI-ELEMENT PEER AUTHENTICATION | 1 |
Chunrong Lai | CN | Beijing | 2008-11-13 / 20080281771 - Data Partitioning and Critical Section Reduction for Bayesian Network Structure Learning | 1 |
Yu-Ping Lai | CN | Foshan | 2010-04-15 / 20100091474 - BATTERY COVER AND PORTABLE ELECTRONIC DEVICE HAVING SAME | 2 |
Zhengzheng Lai | CN | Shanghai | 2010-04-29 / 20100104882 - ALIPHATIC POLYESTER SHEET AND MOLDED BODY COMPOSED OF THE SAME | 1 |
Shunan Lai | CN | Shanghai | 2008-12-25 / 20080318949 - Pyrazolopyrimidinone Derivatives, Their Preparation And Their Use | 1 |
Kai Lai | CN | Shenzhen City | 2010-06-24 / 20100157855 - WIRELESS COMMUNICATION DEVICE | 1 |
Xinmin Lai | CN | Shanghai | 2015-02-05 / 20150034609 - RESISTANCE WELDING WITH MINIMIZED WELD EXPULSION | 4 |
Jing-Zhou Lai | CN | Shenzhen City | 2010-10-14 / 20100262294 - APPARATUS AND METHOD FOR CONTROLLING SCREEN | 1 |
Hou-Wen Lai | CN | Shenzhen City | 2012-10-04 / 20120252533 - SYSTEM AND METHOD FOR MANAGING LOCAL TIME OF CONTACT PERSON OF MOBILE PHONE | 7 |
Yuqiang Lai | CN | Guangdong Province | 2010-10-28 / 20100271997 - Apparatus for Dynamically Adjusting a Power Amplifier of a Mobile Terminal | 1 |
Rui-Xiang Lai | CN | Chiang Su | 2010-11-04 / 20100275427 - APPARATUS AND METHOD FOR ASSEMBLING LIQUID CRYSTAL DISPLAY | 1 |
Anping Lai | CN | Zhejiang | 2010-11-11 / 20100286437 - PREPATATION METHOD OF RIVASTIGMINE, ITS INTERMEDIATES AND PREPARATION METHOD OF THE INTERMEDIATES | 1 |
Kun Lai | CN | Guangzhou | 2008-10-30 / 20080267992 - Sars Virus Vaccine with Adenovirus Carrier and Preparation Method Thereof, and Use of Sars Virus S Gene for Preparation of Vaccine | 1 |
Weijian Lai | CN | Beijing | 2015-10-22 / 20150299682 - Polypeptides Having Endoglucanase Activity and Polynucleotides Encoding Same | 5 |
Xiaolong Lai | CN | Xi'An | 2012-10-11 / 20120257755 - METHOD AND SYSTEM FOR ESTABLISHING SECURE CONNECTION BETWEEN STATIONS | 11 |
Qisheng Lai | CN | Xiamen | 2009-02-05 / 20090032009 - Grill With Improved-Configuration Grease Collecting Box | 1 |
Bing Lai | CN | Nanjing | 2010-12-30 / 20100326828 - RAPID ELECTROPHORESIS BINDING METHOD AND RELATED KITS AND COMPOSITIONS | 1 |
Hau Wah Lai | CN | Kowloon | 2011-01-20 / 20110012788 - Miniature Circularly Polarized Folded Patch Antenna | 1 |
Zhengzheng Lai | CN | Jiangsu | 2011-02-03 / 20110028629 - POLYLACTIC ACID-BASED COMPOSITION AND FILM FORMED THEREOF | 1 |
Qisheng Lai | CN | Fujian | 2011-02-10 / 20110031234 - ELECTRIC GRILLING DEVICE WITH PARTIAL HIGH-TEMPERATURE HEATING FUNCTION | 1 |
Qingshui Lai | CN | Guangzhou City | 2011-03-10 / 20110059124 - THE QUALITY CONTROL METHOD AND APPLICATION OF A KIND OF GANODERMA LUCIDUM SPORE OIL FAT EMULSION | 1 |
Huaping Lai | CN | Beijing | 2011-03-10 / 20110056399 - ELECTRONIC DETONATOR CONTROL CHIP | 1 |
Wen-Bin Lai | CN | Shenzhen City | 2012-11-29 / 20120304011 - SERVER AND POWER SUPPLY TEST METHOD | 2 |
Mac Lai | CN | Shanghai | 2013-08-29 / 20130225472 - SOLID COMPOSITIONS CONTAINING GLYCOL ETHER AND WATER | 2 |
Wing Hong Lai | CN | Kwai Chung | 2010-05-13 / 20100116168 - Alkalescent Chemical Silver Plating Solution | 1 |
Mau Lam Lai | HK | Hong Kong | 2015-07-16 / 20150200290 - PLANAR MOSFETS AND METHODS OF FABRICATION, CHARGE RETENTION | 1 |
Katherine Jane Lai | US | Cambridge | 2012-01-26 / 20120023091 - System and Method for Enabling Website Owner to Manage Crawl Rate in a Website Indexing System | 2 |
Shih-Po Lai | TW | Tu-Cheng City | 2010-11-25 / 20100297492 - Cell Connector | 1 |
Ming-Shan Lai | TW | Taichung City | 2014-12-11 / 20140362043 - TOUCH PANEL | 1 |
Wei-Chih Lai | TW | New Taipei City | 2013-07-11 / 20130175681 - CHIP PACKAGE STRUCTURE | 4 |
Jui-Yang Lai | TW | Tao-Yuan | 2012-12-13 / 20120315265 - HYDROGEL-FORMING POLYMER, AND PREPARATION PROCESS AND USES THEREOF | 1 |
Chung-Wei Lai | TW | Hsin-Chu | 2014-07-31 / 20140209158 - SOLAR CELL | 2 |
Jui-Yang Lai | TW | Guishan Township | 2013-11-14 / 20130302885 - Method of Forming Cell Spheroids Cultured in Serum-Free Manner on Nanoscale Coatings of Hyaluronic Acid with High Molecular Weight | 1 |
Hsiu-Chang Lai | TW | Tu-Cheng | 2010-11-25 / 20100297887 - POWER SUPPLY AND CONNECTOR ASSEMBLY THEREOF | 8 |
Andiliy G. Lai | US | San Diego | 2015-09-03 / 20150246042 - RAF KINASE MODULATOR COMPOUNDS AND METHODS OF USE THEREOF | 10 |
Linke Lai | SG | Singapore | 2014-12-04 / 20140357878 - METHOD OF PRODUCING 5-HYDROXYMETHYLFURFURAL FROM CARBOHYDRATES | 1 |
Shih-Chia Lai | KY | Grand Cayman | 2014-12-04 / 20140357129 - ELECTRICAL CONNECTOR | 1 |
Chienan Lai | US | Fremont | 2014-12-04 / 20140355358 - Circuits and Methods for Efficient Execution of A Read or A Write Operation | 1 |
Chao-Jung Lai | TW | Kaohsiung City | 2015-07-16 / 20150199047 - TOUCH PANEL DEVICES, ELECTRONIC DEVICES, AND VIRTUAL INPUT METHODS THEREOF | 1 |
Yiyun Lai | CN | Zhangzhou | 2015-07-16 / 20150199050 - TOUCH PANEL | 1 |
Hung-Chih Lai | US | San Diego | 2015-09-03 / 20150248374 - TWIDDLE FACTOR GENERATION | 2 |
Chen-Chung Lai | TW | Guanxi Township | 2016-01-07 / 20160005650 - SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME | 9 |
Chih-Chung Lai | TW | Hsinchu City | 2015-11-12 / 20150322323 - WORKING FLUID AND MANUFACTURING METHOD OF METAL PARTICLES | 2 |
Wen-Chin Lai | TW | New Taipei City | 2015-09-10 / 20150257267 - PRINTED CIRCUIT BOARD AND METHOD THEREOF | 2 |
Zhiguo Lai | US | Acton | 2016-03-31 / 20160094826 - ANALOG IMAGE ALIGNMENT | 9 |
Cheng-Jung Lai | US | Belmont | 2010-09-02 / 20100222343 - PHOSPHOINOSITIDE 3-KINASE INHIBITORS WITH A ZINC BINDING MOIETY | 1 |
Pei-Jiun Lai | TW | Taipei City | 2010-02-04 / 20100028937 - TEST STRIP FOR DETECTING GASTRIC PROBLEMS AND DETECTING METHOD THEREOF | 1 |
Chih-Ho Lai | TW | Taichung City | 2015-07-23 / 20150202254 - METHOD FOR REDUCING RADIO-RESISTANCE OF PROSTATE CANCER CELLS AND/OR TREATING PROSTATE CANCER | 1 |
Cheng-Kuo Lai | TW | Taichung City | 2015-07-23 / 20150202254 - METHOD FOR REDUCING RADIO-RESISTANCE OF PROSTATE CANCER CELLS AND/OR TREATING PROSTATE CANCER | 1 |
Kun-Hui Lai | TW | Taipei City | 2012-05-31 / 20120134545 - Sending a Digital Image Method and Apparatus Thereof | 7 |
Alfred Lai | TW | Kaohsiung | 2014-12-04 / 20140352276 - Dual-Vortical-Flow Hybrid Rocket Engine | 1 |
Chih-Wei Lai | TW | Hsin-Chu | 2015-12-03 / 20150347663 - Adjusting Sizes of Connectors of Package Components | 11 |
Hsin-Chi Lai | TW | Taipei City | 2009-09-24 / 20090237276 - COMPUTER APPARATUS AND THE FUNCTION ACTIVATING METHOD THEREOF | 1 |
Chong Kuo Lai | TW | Taipei City | 2013-05-02 / 20130107477 - ELECTRONIC APPARATUS AND OBLIQUELY FASTENED CIRCUIT BOARD MODULE | 4 |
Karl Lai | HK | Hong Kong | 2014-12-04 / 20140352371 - COMBINATION KEY LOCK BOX WITH ANTI-PICK MECHANISM | 8 |
Hsin-Chou Lai | TW | Taichung City | 2013-05-30 / 20130133120 - HAND COVERING FOR GRIPPING HANDLES | 1 |
Yi-Chiang Lai | TW | Dayuan Township | 2013-08-01 / 20130194520 - STEREOSCOPIC IMAGE DISPLAYING SYSTEM AND METHOD | 4 |
Chao-Min Lai | TW | Hsinchu City | 2015-07-23 / 20150208026 - PLUGGABLE ELECTRONIC DEVICE AND METHOD FOR DETERMINING OUTPUT DATA OF PLUGGABLE ELECTRONIC DEVICE | 1 |
Marc R. Lai | US | Dover | 2014-07-24 / 20140207384 - Method and System for Transferring Analyte Test Data | 5 |
Chao Qiang Lai | US | Belmont | 2013-03-21 / 20130074202 - GENE SEQUENCES AND USES THEREOF IN PLANTS | 3 |
Wei Lai | US | Cambridge | 2012-09-27 / 20120244444 - BATTERIES AND ELECTRODES FOR USE THEREOF | 4 |
Justin Y. Lai | US | Cambridge | 2011-02-17 / 20110037488 - FLEXURAL MECHANISM FOR PASSIVE ANGLE ALIGNMENT AND LOCKING | 1 |
Ming-Yu Lai | TW | Taichung City | 2015-03-19 / 20150076159 - Pressure Vessel | 2 |
Ming-Chang Lai | TW | Taipei City | 2010-01-07 / 20100000721 - HEAT-DISSIPATING PIPE MODULE | 1 |
Zhen Lai | US | Webster | 2014-05-22 / 20140142216 - PROCESS OF MAKING POLYESTER LATEX WITH BUFFER | 24 |
Zhong Lai | US | East Brunswick | 2015-12-24 / 20150368265 - TETRACYCLIC HETEROCYCLE COMPOUNDS AND METHODS OF USE THEREOF FOR THE TREATMENT OF HEPATITIS C | 4 |
Yingjie Lai | US | Cupertino | 2014-07-24 / 20140206702 - IMIDAZOPYRIDINE COMPOUNDS, COMPOSITIONS AND METHODS OF USE | 10 |
Po-Chih Lai | TW | Kaohsiung City | 2014-07-17 / 20140197611 - SKATEBOARD ASSEMBLY AND SKATEBOARD HAVING THE SAME | 1 |
Zhonghua Lai | CN | Shenzhen | 2014-09-11 / 20140258281 - Method And Server For Searching For Nearby User In Social Networking Services | 1 |
Yen-Huei Lai | TW | Taichung City | 2013-05-30 / 20130135830 - Display Device with Flexible Substrate and Manufacturing Method Thereof | 1 |
Yen-Huei Lai | TW | New Taipei City | 2016-04-21 / 20160111690 - DISPLAY PANEL AND METHOD FOR FABRICATION THEREOF | 2 |
Jian-Hong Lai | TW | Taichung City | 2014-07-17 / 20140196560 - AUTOMATIC TRANSMISSION SYSTEM FOR BICYCLE | 1 |
Joanna Lai | US | Santa Clara | 2010-07-22 / 20100184276 - LOW-TEMPERATURE FORMATION OF POLYCRYSTALLINE SEMICONDUCTOR FILMS VIA ENHANCED METAL-INDUCED CRYSTALLIZATION | 1 |
Chin-Hsu Lai | TW | Taipei County | 2012-04-19 / 20120093494 - SMART PHONE WITH LENS | 3 |
Chia-Ta Lai | TW | Taipei City | 2013-10-17 / 20130273865 - Receiving Device And Method Thereof | 2 |
Hung-Wei Lai | TW | Hsinchu County | 2015-07-30 / 20150212155 - Integrated Circuit Testing Interface on Automatic Test Equipment | 2 |
Wen-Jang Lai | CN | Taichung City | 2012-04-12 / 20120089253 - GUIDING DEVICE FOR GUIDING MOBILE ROBOTIC VACUUM CLEANER TO CHARGING BASE | 1 |
Chengjung Lai | US | Belmont | 2015-07-23 / 20150203509 - PHOSPHOINOSITIDE 3-KINASE INHIBITORS WITH A ZINC BINDING MOIETY | 6 |
Hung-Ching Lai | TW | Hsin-Chu County | 2015-07-30 / 20150212255 - LIGHT SOURCE MODULE | 1 |
Hung-Yu Lai | TW | Hsin-Chu County | 2016-01-07 / 20160004333 - CAPACITIVE JOYSTICK DEVICE | 2 |
David Lai | US | Mountain View | 2016-02-25 / 20160054527 - LED PULL TABS FOR PLUGGABLE TRANSCEIVER MODULES AND ADAPTOR MODULES | 23 |
Yi-Hsiung Lai | TW | Hsinchu City | 2015-07-30 / 20150213257 - Password Authentication System and Password Authentication Method | 1 |
Chung-Li Lai | TW | Hsichih | 2009-11-19 / 20090287919 - Electronic Device | 1 |
Hsin-Yi Lai | TW | Taipei City | 2010-12-30 / 20100332832 - TWO-FACTOR AUTHENTICATION METHOD AND SYSTEM FOR SECURING ONLINE TRANSACTIONS | 1 |
Kuan-Kuo Lai | TW | Taoyuan County | 2015-07-30 / 20150214515 - PACKAGING FILM FOR BATTERY | 1 |
Wei-Chi Lai | TW | Wugu | 2012-04-05 / 20120081636 - BACKLIGHT MODULE AND DISPLAY APPARATUS | 1 |
Chun-Fu Lai | TW | Taoyuan County | 2015-07-30 / 20150215170 - NETWORK CARD WITH SEARCHING ABILITY, MONITORING DEVICE WITH THE NETWORK CARD, AND SEARCHING METHOD USED FOR THE SAME | 1 |
Ai-Lien Lai | TW | Taipei City | 2010-12-30 / 20100328733 - Tetra-mirror multi-reflection scanning module | 1 |
Ying-Nan Lai | TW | Taichiu | 2009-10-22 / 20090264186 - Apparatus For Recognizing Dice Dots | 2 |
Kenchin Lai | US | San Diego | 2014-11-27 / 20140351181 - REQUESTING PROXIMATE RESOURCES BY LEARNING DEVICES | 1 |
Yen-Shin Lai | TW | Taipei City | 2012-02-02 / 20120025749 - CONTROL SYSTEM OF THREE PHASE INDUCTION MOTOR DRIVER AND FIELD WEAKENING CONTROL METHOD THEREOF | 3 |
Chung-Chi Lai | TW | Taoyuan City | 2015-07-30 / 20150214917 - METHOD FOR PERFORMING DYNAMIC IMPEDANCE MATCHING AND A COMMUNICATION APPARATUS THEREOF | 1 |
Lilin Lai | US | Decatur | 2013-03-28 / 20130078276 - VECTORS EXPRESSING HIV ANTIGENS AND GM-CSF AND RELATED METHODS OF GENERATING AN IMMUNE RESPONSE | 2 |
Tianjian Lai | CN | Shenzhen | 2012-03-29 / 20120076282 - TELEPHONE NOTIFICATION METHOD AND SYSTEM, SIGNALING MONITORING UNIT AND SERVER | 1 |
Ching-San Lai | US | Carlsbad | 2014-11-27 / 20140350097 - TREATMENT OF HYPOTENSION ASSOCIATED WITH HEMODIALYSIS | 1 |
Chih-Chun Lai | TW | Taipei City | 2012-06-14 / 20120151543 - IPTV INTERACTIVE SYSTEM AND DEVICE AND INTERACTIVE METHOD THEREOF | 5 |
Yi-Chih Lai | TW | Taipei City | 2010-11-11 / 20100283569 - ELECTROMAGNETIC WINDING ASSEMBLY | 2 |
Kuei-Tsai Lai | TW | Taipei City | 2010-12-09 / 20100310692 - PLODDER MACHINE | 2 |
Ming-Fu Lai | TW | Miaoli | 2014-06-12 / 20140164680 - METHOD FOR SWITCHING OPERATION MODE, MEMORY CONTROLLER AND MEMORY STORAGE APPARATUS | 2 |
Rui-Yang Lai | TW | Taipei City | 2009-08-20 / 20090207128 - Digital Electrophoretic Display Panel Driving Method and Apparatus | 1 |
Chen-Hui Lai | TW | Taipei City | 2009-12-24 / 20090316405 - Configurable LED lighting device | 1 |
Hsiu-Chen Lai | TW | Chang Hua City | 2012-10-18 / 20120261541 - Angle Adjustable Support Device for Placing and Supporting an Electronic Equipment on a Table | 2 |
Hsiu-Chen Lai | TW | Changhua City | 2011-12-15 / 20110304249 - MODULAR DRAWER BOX STRUCTURE | 1 |
Fang-Shi Jordan Lai | TW | Chiayi | 2011-04-21 / 20110092041 - Phase Change Memory with Diodes Embedded in Substrate | 2 |
Fang-Shi Jordan Lai | TW | Chia-Yi | 2015-04-09 / 20150097710 - ADC CALIBRATION | 13 |
Li-Chang Lai | TW | Taipei City | 2010-06-24 / 20100158270 - Audio Output Apparatus Capable Of Suppressing Pop Noise | 1 |
Liangqing Lai | CN | Beijing | 2015-08-13 / 20150226280 - DAMPING RUBBER SPRING FOR AN AUTOMOBILE SUSPENSION | 2 |
Ming-Sheng Lai | TW | Hsinchu | 2014-09-18 / 20140267442 - METHOD AND APPARATUS FOR CONVERTING RGB DATA SIGNALS TO RGBW DATA SIGNALS IN AN OLED DISPLAY | 8 |
Ming-Lai Lai | US | Arcadia | 2015-08-13 / 20150223913 - SELF-LIGATING ORTHODONTIC BRACKET | 10 |
Chun-Hsiang Lai | US | Durham | 2012-03-22 / 20120071417 - METHODS OF INHIBITING CANCER CELL GROWTH WITH HDAC INHIBITORS AND METHODS OF SCREENING FOR HDAC10 INHIBITORS | 1 |
Shen-Fu Lai | TW | Taipei City | 2008-10-16 / 20080253588 - DIGITAL VOLUME CONTROLLER AND CONTROL METHOD THEREOF | 1 |
I-Kuan Lai | TW | Taipei City | 2008-10-30 / 20080264772 - Method and apparatus for esterification | 1 |
Chih-Hao Lai | TW | Taipei City | 2008-11-20 / 20080285625 - FREQUENCY SYNTHESIZER APPLIED TO FREQUENCY HOPPING SYSTEM | 1 |
Hsi-Mei Lai | TW | Taipei City | 2008-12-11 / 20080305124 - ORAL PERTUSSIS VACCINE AND METHOD FOR PRODUCING PERTUSSIS VACCINE | 1 |
Ming-Iu Lai | TW | Taipei City | 2008-12-18 / 20080309555 - METHOD FOR SETTING SMART ANTENNA AND SYSTEM THEREOF | 1 |
Jung-Chin Lai | TW | Taipei City | 2009-02-12 / 20090042531 - SIGNAL RECEIVER CIRCUIT | 1 |
Chih-Hsiang Lai | TW | Taipei City | 2009-06-11 / 20090144970 - FABRICATING AN ARRAY OF MEMS PARTS ON A SUBSTRATE | 1 |
Chai-Ling Lai | TW | Taipei City | 2009-06-25 / 20090161055 - PIXEL STRUCTURE AND LIQUID CRYSTAL DISPLAY PANEL | 1 |
Hsin-Chuan Lai | TW | Taipei City | 2009-07-09 / 20090175142 - METHOD AND SYSTEM OF RECORDING DATA ON A STORAGE MEDIUM | 1 |
Chih-Ming Lai | TW | Changhua County | 2016-03-31 / 20160093822 - ORGANIC ELECTRONIC DEVICE AND ELECTRIC FIELD-INDUCED CARRIER GENERATION LAYER | 11 |
Chih-Chang Lai | TW | Zhubei City | 2012-03-22 / 20120068947 - TOUCH DETECTION METHOD AND TOUCH DETECTOR USING THE SAME | 1 |
Horng Goung Lai | TW | Hsinchu City | 2012-03-22 / 20120068940 - ELECTRONIC DEVICE | 1 |
Erh-Kun Lai | TW | Taichung County | 2014-09-18 / 20140264524 - 3D SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF | 12 |
Polin Lai | US | Richardson | 2015-08-06 / 20150222928 - FRAME PACKING FOR VIDEO CODING | 1 |
Hong Lai | CN | Shenzhen | 2015-11-05 / 20150319003 - Method and Device for Charging Local Traffic on Wireless Side | 2 |
Chung-Lin Lai | TW | Taichung City | 2015-08-13 / 20150224822 - Wheel Hub | 1 |
Chien-Ming Lai | TW | Tainan City | 2016-04-14 / 20160104786 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 13 |
Ping-Li Lai | TW | Taichung City | 2013-07-11 / 20130178242 - METHOD AND DEVICE FOR OPTIMIZING A WIRELESS COMMUNICATION EQUIPMENT | 5 |
Yu-Tsung Lai | TW | Taichung City | 2014-11-20 / 20140342553 - Method for Forming Semiconductor Structure Having Opening | 4 |
Yu-Sheng Lai | TW | Hsinchu | 2015-08-13 / 20150228837 - PHOTODETECTOR AND METHOD OF FACRICATING THE SAME | 1 |
Wen-Chung Lai | TW | Taipei City | 2009-10-15 / 20090256614 - Apparatus and Method for Generating Clock Signal | 1 |
Eric H. Lai | US | Durham | 2009-12-10 / 20090306099 - Method of Screening for Drug Hypersensitivity Reaction | 1 |
Qinsheng Lai | CA | Toronto | 2012-03-08 / 20120060032 - SYSTEM, METHOD AND COMPUTER PRODUCT FOR SENDING ENCRYPTED MESSAGES TO RECIPIENTS WHERE THE SENDER DOES NOT POSSESS THE CREDENTIALS OF THE RECIPIENT | 1 |
Siaw Kang Lai | MY | Penang | 2014-05-29 / 20140149620 - Providing A Fine-Grained Arbitration System | 2 |
Changyi Lai | US | Painted Post | 2014-12-25 / 20140373571 - FUSED SILICA GLASS ARTICLE HAVING IMPROVED RESISTANCE TO LASER DAMAGE | 3 |
Shih-Yaw Lai | CN | Beijing | 2015-10-15 / 20150291774 - CROSSLINKED POLYETHYLENE COMPOSITION HAVING IMPROVED PROCESSABILITY | 2 |
Jackson Chi-Sun Lai | CA | Toronto | 2015-08-20 / 20150234446 - DYNAMIC SWITCHING OF POWER MODES FOR TOUCH SCREENS USING FORCE TOUCH | 1 |
Ka-Man Venus Lai | US | Tarrytown | 2016-04-28 / 20160115486 - NUCLEASE-MEDIATED DNA ASSEMBLY | 24 |
Shih-Hao Lai | US | Stoneham | 2013-05-16 / 20130122167 - BEVERAGE CARTRIDGE | 2 |
Shih-Wei Lai | TW | Taipei City | 2012-03-08 / 20120057011 - FINGER VEIN RECOGNITION SYSTEM AND METHOD | 1 |
Kuo-Jen Lai | TW | Jhonghe City | 2012-03-08 / 20120056797 - FREQUENCY-TUNABLE ANTENNA | 1 |
Jackson Lai | US | Rochester | 2011-09-01 / 20110210382 - DIGITAL RADIOGRAPHIC FLAT-PANEL IMAGING ARRAY WITH DUAL HEIGHT SEMICONDUCTOR AND METHOD OF MAKING SAME | 4 |
Chising Lai | US | Hopewell Junction | 2011-01-20 / 20110012617 - METHODS AND SYSTEMS FOR TESTING DIGITAL-TO-ANALOG CONVERTER/AMPLIFIER CIRCUITS | 1 |
Di Lai | US | Rochester | 2010-06-24 / 20100156929 - Ridge-based color gamut mapping | 1 |
Fang Lai | US | Painted Post | 2012-09-27 / 20120244568 - LABEL-FREE RIGID CELL ASSAY METHOD | 5 |
Szu-Hao Lai | TW | Kaohsiung City | 2015-09-17 / 20150263170 - SEMICONDUCTOR PROCESS FOR MODIFYING SHAPE OF RECESS | 9 |
Fangfang Lai | US | Toledo | 2015-08-20 / 20150233925 - Assays and Cell-Based Tests Using a Receptor Na/K-ATPase/Src Complex and Uses Thereof | 1 |
Ray Y. Lai | US | Palo Alto | 2012-02-23 / 20120047250 - SCALABILITY BREAKPOINT CALCULATOR FOR A SOFTWARE PRODUCT | 1 |
Yu-Ci Lai | TW | Taoyuan City | 2013-01-17 / 20130017705 - POWER SUPPLY STRUCTUREAANM LAI; YU-CIAACI Taoyuan CityAACO TWAAGP LAI; YU-CI Taoyuan City TWAANM CIOU; CHUEI-HANGAACI Taoyuan CityAACO TWAAGP CIOU; CHUEI-HANG Taoyuan City TWAANM LEE; DA-WEIAACI Taoyuan CityAACO TWAAGP LEE; DA-WEI Taoyuan City TW | 1 |
Po-Tung Lai | TW | Taoyuan City | 2012-03-22 / 20120067506 - FILM PROCESSING EQUIPMENT AND METHOD USING THE SAME | 1 |
Ming-Te Lai | TW | Taoyuan City | 2013-06-13 / 20130147760 - COMMUNICATION METHOD FOR CAPACITIVE TOUCH PANEL | 1 |
Mei-Yi Lai | TW | Taoyuan City | 2009-05-28 / 20090134783 - Materials for organic light-emitting diodes | 1 |
Chiung-Lin Lai | TW | Taoyuan City | 2013-01-31 / 20130026523 - CHIP PACKAGE AND METHOD FOR FORMING THE SAME | 1 |
Sing Keung Lai | US | New York | 2009-04-23 / 20090100870 - Square post gemstone setting | 1 |
Tu Fa Lai | TW | Taoyuan Hsien | 2014-10-23 / 20140313586 - HEAD-UP PROJECTION SYSTEM | 5 |
Kafal Lai | US | Poughkeepsie | 2008-11-20 / 20080284994 - REDUCING CONTAMINATION IN IMMERSION LITHOGRAPHY | 2 |
Wang Lin Lai | US | Princeton | 2012-03-08 / 20120056981 - INTER-LAYER DEPENDENCY INFORMATION FOR 3DV | 2 |
Jennifer Lai | US | Garrison | 2015-05-14 / 20150134736 - METHOD, FRAMEWORK, AND PROGRAM PRODUCT FOR FORMATTING AND SERVING WEB CONTENT | 12 |
Ching-Tsung Lai | TW | Taoyuan City | 2014-03-27 / 20140089936 - MULTI-CORE DEVICE AND MULTI-THREAD SCHEDULING METHOD THEREOF | 2 |
Po-Lin Lai | TW | Changhua County | 2012-02-23 / 20120043558 - ACTIVE DEVICE ARRAY SUBSTRATE AND METHOD FOR FABRICATING THE SAME | 1 |
Hung-So Lai | TW | Taoyuan Hsien | 2013-05-16 / 20130120127 - TIRE POSITION IDENTIFYING SYSTEM AND METHOD | 2 |
Ying-Chou Lai | TW | Nantou County | 2015-08-20 / 20150236150 - SEMICONDUCTOR DEVICE AND OPERATING METHOD THEREOF | 1 |
Jonathan Lai | US | New York | 2010-12-23 / 20100325066 - METHOD FOR EXECUTING A SINGLE TRANCHE SYNTHETIC ABS DERIVATIVE TRANSACTION | 2 |
Heng-Yi Lai | US | Manlius | 2009-09-17 / 20090232670 - Compressor muffler | 1 |
Jennifer C. Lai | US | Garrison | 2013-12-19 / 20130339276 - MULTI-TIERED APPROACH TO E-MAIL PRIORITIZATION | 4 |
Chi-Kuang Lai | TW | Taoyuan City | 2013-05-30 / 20130133935 - Touch Sensor Assembly and Method of Making the Same | 1 |
Chia-Chun Lai | TW | Taipei City | 2009-10-15 / 20090255156 - ILLUSIONARY LIGHT SOURCE DEVICE | 1 |
Yu-Chih Lai | TW | Taipei City | 2012-02-16 / 20120038765 - OBJECT SENSING SYSTEM AND METHOD FOR CONTROLLING THE SAME | 1 |
Jeffery Lai | TW | Taipei City | 2009-12-10 / 20090303675 - DESKTOP COMPUTER AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kuan-Ting Lai | TW | Tainan | 2012-02-16 / 20120037500 - HOLLOW TARGET ASSEMBLY | 1 |
Ming-Wei Lai | TW | Hsinchu County | 2014-11-20 / 20140341233 - PROCESSING CIRCUITS OF TELECOMMUNICATIONS DEVICES AND RELATED METHODS | 1 |
Ying-Lin Lai | TW | Taipei City | 2009-12-10 / 20090303123 - Hybrid GPS Receiving Method and Associated Apparatus | 1 |
Hsin-Chun Lai | TW | Taipei City | 2010-01-14 / 20100006027 - ELECTROSTATIC COATING APPARATUS | 1 |
Yongsen Lai | CN | Shenzhen | 2015-08-20 / 20150236868 - Intelligent Household System Based on Call Centre and Implementation Method Thereof | 1 |
Chien-Wen Lai | TW | New Taipei City | 2014-07-31 / 20140209444 - TOUCH PANEL | 8 |
Chien-Ming Lai | TW | Tainan City | 2016-04-14 / 20160104786 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 13 |
Hung-Yuan Lai | TW | Taipei City | 2010-03-25 / 20100075526 - ELECTRONIC DEVICE ASSEMBLY | 2 |
Hing Yin Lai | US | San Jose | 2015-08-27 / 20150238141 - WATCH WITH SEPARATE PROCESSOR AND DISPLAY HOUSING | 1 |
Ming-Yang Lai | TW | Taipei City | 2010-07-15 / 20100179098 - FUROST-5-ENE-3, 22, 26-TRIOL GLYCOSIDE COMPOUND FOR PREVENTING AND TREATMENT CANCER | 1 |
Juan-Shan Lai | TW | Taipei City | 2010-07-22 / 20100183066 - DIGITAL TO TIME CONVERTER AND DIGITAL TO TIME CONVERTING METHOD | 1 |
Wen-Jen Lai | TW | Taipei City | 2010-08-19 / 20100209636 - POLYLACTIDE-COATED PAPERBOARD | 1 |
Yu-Shu Lai | TW | Taipei City | 2013-09-26 / 20130253511 - MULTIPLE AXES EXTERNAL BONE FIXING MEMBER | 3 |
Horng-Ji Lai | TW | Taipei City | 2015-04-30 / 20150119750 - SYSTEM FOR MEASURING AND ANALYZING OCULAR TEMPERATURE, RECEIVING ANALYZER AND METHODS FOR USING THE SAME | 6 |
Hsin-Chou Lai | TW | Taichung County | 2012-02-09 / 20120031447 - CANE | 1 |
Wei-Yu Lai | TW | Taoyuan Shien | 2010-07-15 / 20100177110 - METHOD OF FAST COLORING A POLYGON | 1 |
Kee Weng Lai | TW | Taipei City | 2010-10-21 / 20100265056 - Vehicle Safety Belt Warning Device | 1 |
Samuel K. Lai | US | Baltimore | 2012-12-20 / 20120321719 - Sustained Delivery of Therapeutic Agents to an Eye Compartment | 2 |
Wan Hung Lai | TW | Taipei City | 2010-11-04 / 20100280433 - PROSTATE GLAND ELECTROCHEMICAL-THERAPEUTIC DEVICE | 1 |
Hsin-Chih Lai | TW | Taipei City | 2011-01-13 / 20110008772 - PROBES FOR DETECTING MYCOBACTERIUM TUBERCULOSIS AND MYCOBACTERIUM TUBERCULOSIS COMPLEX AND METHOD USING THE SAME | 1 |
Jui-Yang Lai | TW | Hsinchu County | 2015-10-01 / 20150274923 - NOVEL METHOD FOR MANUFACTURING NOVEL HOLLOW PARTICLES | 2 |
Chin Te Lai | TW | Taipei City | 2011-03-03 / 20110053549 - CAR STEREO UNIT WITH REMOVABLY COUPLED MOBILE COMMUNICATION DEVICE | 2 |
Yu-Hsi Lai | TW | Hualien | 2015-11-05 / 20150318366 - FABRICATING METHOD OF TRENCH GATE METAL OXIDE SEMICONDUCTOR FIELD EFFECT TRANSISTOR | 2 |
Kuan-Ting Lai | TW | Tao Yuan Shien | 2010-09-16 / 20100232513 - VIDEO COMPRESSION CIRCUIT AND METHOD THEREOF | 1 |
Wei-Ren Lai | TW | New Taipei City | 2015-08-27 / 20150243273 - ULTRASONIC TRANSDUCER WITH COMPOSITE CASE | 1 |
Yu-Lun Lai | TW | Tainan County | 2012-02-02 / 20120028363 - METAL POROUS MATERIAL, METHOD FOR PREPARING THE SAME AND METHOD FOR DETECTING NITROGEN-CONTAINING COMPOUNDS | 1 |
Ming-Quan Lai | CN | Shenzhen City | 2012-02-02 / 20120026086 - ELECTRONIC READING APPARATUS AND INPUT METHOD THEREOF | 1 |
Zhichang Lai | CN | Shanghai | 2012-02-02 / 20120026869 - METHOD FOR MEAURING IP NETWORK PERFORMANCE AND CONTROLLING QOS, AND APPARATUS AND SYSTEM THEREOF | 1 |
Chen-Ming Lai | TW | Tainan City | 2012-02-09 / 20120032351 - SEMICONDUCTOR PACKAGE | 2 |
Liang-Chuan Lai | TW | Taipei City | 2013-01-17 / 20130017961 - Biomarkers for predicting response of esophageal cancer patient to chemoradiotherapy | 2 |
Teng-Shan Lai | TW | Taipei City | 2011-04-21 / 20110091299 - FASTENING ASSEMBLY AND METHOD FOR MANUFACTURING FASTENING ASSEMBLY AT CASE | 1 |
Kung-Lung Lai | TW | Daya Dist. | 2012-10-18 / 20120264572 - Stair Exerciser Apparatus | 1 |
Yu Chen Lai | TW | Yangmei City | 2015-09-10 / 20150250398 - SENSOR MODULE FOR SIMULTANEOUSLY MEASURING ECG AND PULSE SIGNAL | 1 |
John Lai | US | Sunnyvale | 2012-01-26 / 20120023457 - PRESENTATION OF ADVERTISEMENTS BASED ON USER INTERACTIVITY WITH A WEB PAGE | 1 |
Mauro Lai | US | Tacoma | 2015-03-26 / 20150085458 - Reducing Far End Crosstalk in Single Ended Interconnects and Buses | 2 |
Paul K. Lai | US | San Jose | 2012-01-26 / 20120019950 - PAD BIT INJECTION DURING READ OPERATION TO IMPROVE FORMAT EFFICIENCY | 1 |
Po-Sheng Lai | TW | Yilan County | 2012-01-26 / 20120019481 - Controller of Contact Sensing Type Using Optical Principle for Controlling a Pointer on a Display Screen | 2 |
Horng-Goung Lai | TW | Hsinchu | 2012-01-26 / 20120019443 - TOUCH SYSTEM AND TOUCH SENSING METHOD | 1 |
Chun Kit Lai | US | Sunrise | 2012-02-09 / 20120032863 - MOBILE WIRELESS DEVICE WITH ENLARGED WIDTH PORTION MULTI-BAND LOOP ANTENNA AND RELATED METHODS | 3 |
Yi-Jen Lai | TW | Chang Hua City | 2015-05-07 / 20150125998 - METAL BUMPS FOR COOLING DEVICE CONNECTION | 9 |
Hung-Cheng Lai | TW | Taipei City | 2015-03-12 / 20150072947 - GENE BIOMARKERS FOR PREDICTION OF SUSCEPTIBILITY OF OVARIAN NEOPLASMS AND/OR PROGNOSIS OR MALIGNANCY OF OVARIAN CANCERS | 4 |
Yung Cheng Lai | TW | New Taipei City | / - | 1 |
Chien-Min Lai | TW | Taipei | 2014-06-26 / 20140174788 - SENSING ELECTRODE STRUCTURE AND TOUCH PANEL EMPLOYING THE SAME | 1 |
Cheng-Yi Lai | TW | Kaohsiung | 2009-06-25 / 20090161386 - OPTICAL FILM AND BACKLIGHT MODULE USING SAME | 1 |
Cheng-Yi Lai | TW | Taipei Hsien | 2010-10-21 / 20100265217 - OPTICAL TOUCH SYSTEM WITH DISPLAY SCREEN | 1 |
Cheng-Yi Lai | TW | Tu-Cheng | 2013-03-28 / 20130074624 - GEAR TRANSMISSION DEVICE WITH RESILIENT CONNECTION BETWEEN DRIVING GEAR AND DRIVING SHAFT | 14 |
Sanjaya Lai | US | South San Francisco | 2015-03-26 / 20150088855 - SHARING INFORMATION BETWEEN TENANTS OF A MULTI-TENANT DATABASE | 10 |
Yan-Cheng Lai | TW | Taichung City | 2013-01-03 / 20130007724 - FIRMWARE REPAIRABLE CUSTOMER PREMISES EQUIPMENT AND FIRMWARE REPAIRING METHOD THEREOF | 1 |
Sue Lai | US | Chicago | 2010-11-11 / 20100282636 - MICROWAVEABLE NESTED TRAYS | 3 |
Kuang-Chieh Lai | TW | Taichung City | 2012-01-26 / 20120017982 - Thin Film Silicon Solar Cell and Manufacturing Method Thereof | 1 |
Tzu-Sen Lai | TW | Taichung City | 2012-01-26 / 20120017418 - METHOD OF MAKING A MONITOR FRAME | 1 |
Xiaolong Lai | CN | Shaanxi | 2013-09-05 / 20130232551 - METHOD AND DEVICE FOR ANONYMOUS ENTITY IDENTIFICATION | 31 |
Jeffrey Lai | US | San Jose | 2013-05-09 / 20130117662 - UTILIZING SCROLLING DETECTION FOR SCREEN CONTENT ENCODING | 2 |
Chien-Chang Lai | TW | Nantou | 2008-09-25 / 20080229526 - System for cleaning a wafer | 1 |
Po-Cheng Lai | TW | Taipei City | 2011-05-05 / 20110100466 - ORGANIC SOLAR CELL AND METHOD FOR FORMING THE SAME | 1 |
Chien-Chih Lai | TW | Taipei City | 2015-09-10 / 20150250339 - PAPER CONTAINER | 1 |
Meng-Huang Lai | TW | Taipei City | 2014-03-06 / 20140062470 - THREE-DIMENSIONAL IN-PLANE MAGNETIC SENSOR | 2 |
Kevin Lai | US | San Diego | 2015-04-09 / 20150099708 - WNT COMPOSITIONS AND THERAPEUTIC USES OF SUCH COMPOSITIONS | 2 |
Cheng-Yang Lai | TW | New Taipei City | 2015-02-26 / 20150055290 - EXPANSION DOCK AND PORTABLE ELECTRONIC SYSTEM THEREWITH | 2 |
Edwin Wei-Lung Lai | US | Sunnyvale | 2015-11-12 / 20150322510 - TWO-PRIMER PCR FOR MICRORNA MULTIPLEX ASSAY | 2 |
Richard Lai | US | Plano | 2011-12-29 / 20110318471 - PROCESSING TECHNIQUE TO THAW PARTIALLY FROZEN FRUITS AND VEGETABLES AND INCORPORATING SOLIDS | 3 |
Hsinlin Lai | US | Austin | 2009-09-24 / 20090240713 - System and Method for Validating Enterprise Information Handling System Network Solutions | 2 |
Qing Zhang Lai | US | Austin | 2010-11-18 / 20100293230 - Multilingual Support for an Improved Messaging System | 1 |
Ho M. Lai | US | Spring | 2010-12-16 / 20100315135 - Redriver With Two Reference Clocks And Method Of Operation Thereof | 1 |
Ann J. Lai | US | Houston | 2011-03-24 / 20110069445 - Notebook Computer Docking Stations | 2 |
Wai-Ming Lai | US | Plano | 2010-09-30 / 20100246683 - Error Resilience in Video Decoding | 1 |
Chih-Chang Lai | TW | Taichung City | 2016-01-28 / 20160026294 - TOUCH PANEL AND METHOD FOR ARRANGING ELECTRODE THEREOF | 26 |
Chia-Hung Lai | TW | Kuei-Shan Hsiang | 2012-01-19 / 20120013897 - DISTANCE ADJUSTMENT SYSTEM FOR USE IN SOLAR WAFER INSPECTION MACHINE AND INSPECTION MACHINE PROVIDED WITH SAME | 1 |
Bo-Yu Lai | TW | Hsin-Chu | 2016-03-10 / 20160071846 - Structure of Fin Feature and Method of Making Same | 1 |
Tung-Ming Lai | TW | Taipei County | 2012-01-12 / 20120008364 - ONE TIME PROGRAMMABLE MEMORY AND THE MANUFACTURING METHOD AND OPERATION METHOD THEREOF | 1 |
Chih-Chang Lai | TW | Taichung City | 2016-01-28 / 20160026294 - TOUCH PANEL AND METHOD FOR ARRANGING ELECTRODE THEREOF | 26 |
Kui Man Lai | CN | Hong Kong | 2013-03-21 / 20130069479 - STATOR CORE | 2 |
Yi-Ru Lai | TW | New Taipei | 2014-08-07 / 20140223551 - ELECTRONIC DEVICE AND CONTROL METHOD FOR ELECTRONIC DEVICE | 8 |
Ying-Tso Lai | TW | New Taipei | 2016-03-17 / 20160079950 - VIA SYSTEM OF PRINTED CIRCUIT BOARD AND METHOD OF MAKING SAME | 6 |
Chu-Shan Lai | TW | Taipei | 2012-01-12 / 20120005858 - WHEEL ASSEMBLY WITH SINGLE FIXING ARM | 1 |
Yen-Hao Lai | TW | New Taipei | 2013-12-19 / 20130335915 - ELEVATING MECHANISM AND DOCKING STATION USING THE SAME | 1 |
Shou-Tsung Lai | TW | New Taipei | 2012-02-16 / 20120040542 - CABLE CONNECTOR ASSEMBLY WITH A PRINTED CIRCUIT BOARD TO CHANGE ARRANGEMENT OF WIRES | 1 |
Wei-Hung Lai | TW | Tainan City | 2010-03-04 / 20100051150 - BAG WITH A MECHANICAL MUSIC DEVICE | 1 |
Jung-Chieh Lai | TW | New Taipei | 2013-10-03 / 20130258563 - DUSTPROOF AND WATERPROOF STRUCTURE AND PORTABLE ELECTRONIC DEVICE USING THE SAME | 1 |
Chun-Hung Lai | TW | New Taipei | 2014-06-12 / 20140159880 - HANDHELD ELECTRONIC DEVICE AND CONTROL METHOD | 4 |
Chun-An Lai | TW | New Taipei | 2013-11-21 / 20130308241 - SURGE SUPPRESSION CIRCUIT | 1 |
Yuan Cheng Lai | TW | Taipei City | 2012-01-05 / 20120005754 - METHOD FOR RECORDING, RECOVERING, AND REPLAYING REAL TRAFFIC | 1 |
Yi-Sheng Lai | TW | Taichung City | 2012-01-05 / 20120004871 - POWER MONITORING DEVICE FOR IDENTIFYING STATE OF ELECTRIC APPLIANCE AND POWER MONITORING METHOD THEREOF | 1 |
Chung-Chi Lai | TW | Taoyuan County | 2014-01-09 / 20140009362 - MOBILE COMMUNICATION DEVICE AND IMPEDANCE MATCHING METHOD THEREOF | 2 |
Ching-Wen Lai | TW | New Taipei | 2014-01-30 / 20140032380 - COMPUTERIZED CARBON FOOTPRINT INVENTORY OF PRODUCTS AND COMPUTNG DEVICE FOR INVENTORYING CARBON FOOTPRINT OF THE PRODUCTS | 1 |
Wei-Ming Lai | TW | Taichung City | 2016-03-10 / 20160067847 - Movable spanner structure | 1 |
Ching-Chi Lai | TW | Taichung City | 2014-06-19 / 20140167462 - MULTI-PURPOSE SEAT FOR A CHILD | 1 |
Chiang-Wen Lai | TW | Taoyuan County | 2011-12-29 / 20110318670 - FUEL CELL MEA (MEMBRANE ELECTRODE ASSEMBLY) WITH A BORDER PACKAGING STRUCTURE | 17 |
Shih-En Lai | TW | Hsin-Chu City | 2015-09-17 / 20150259783 - ELECTRON BEAM APPARATUS FOR PATTERNED METAL REDUCTION AND METHOD FOR THE SAME | 1 |
Meng-Huang Lai | US | 2014-09-18 / 20140266184 - PLANARIZED THREE-DIMENSIONAL (3D) MAGNETIC SENSOR CHIP | 1 | |
Geoff Lai | US | Lakewood | 2016-04-14 / 20160100904 - APPARATUS AND METHOD FOR COLLECTING REUSABLE MATERIAL AND CLEANING SURGICAL INSTRUMENTS | 6 |
Henry Lai | TW | Taipei Hsien | 2015-09-17 / 20150259833 - KNITTING FABRIC | 1 |
Yi-Jen Lai | TW | Hsinchu City | 2015-09-17 / 20150262955 - SEMICONDUCTOR DEVICE STRUCTURE AND MANUFACTURING METHOD | 6 |
Xiaolong Lai | CN | Xi'An | 2012-10-11 / 20120257755 - METHOD AND SYSTEM FOR ESTABLISHING SECURE CONNECTION BETWEEN STATIONS | 11 |
Wen-Chi Lai | TW | Taichung City | 2016-03-24 / 20160081279 - Automatic Liquid Supply Planting Device | 1 |
Wei-Che Lai | TW | Taipei City | 2015-09-24 / 20150268390 - OPTICAL FILM HAVING MICROSTRUCTURE LAYER ON BOTH SIDES | 2 |
Jackie Lai | US | Sunnyvale | 2012-01-05 / 20120004778 - Vacation Faucet Apparatus and Method | 4 |
Te-Chuan Lai | TW | Gueishan Township | 2013-08-29 / 20130224580 - LITHIUM BATTERY HAVING ELECTRODE TABS WITH SAFE MODIFICATION | 2 |
Wei-Li Lai | TW | Taichung City | 2016-03-03 / 20160064453 - SELF-RECTIFYING RRAM CELL STRUCTURE AND RRAM 3D CROSSBAR ARRAY ARCHITECTURE | 1 |
Ya-Yun Lai | TW | Taichung | 2011-12-29 / 20110319485 - Composition Having Anti-Helicobacter Pylori Activity And Its Application To Inhibit Helicobacter Pylori | 1 |
Huang-Wen Lai | TW | New Taipei City | 2014-04-03 / 20140092295 - AUTOFOCUS SYSTEM AND AUTOFOCUS METHOD | 1 |
Chih-Ming Lai | TW | Hsin-Chu City | 2009-07-23 / 20090187866 - Electrical Parameter Extraction for Integrated Circuit Design | 1 |
Chai-Ling Lai | TW | Miaoli County | 2011-12-29 / 20110317085 - PIXEL ARRAY | 1 |
Hsin-Kai Lai | TW | Taipei | 2014-04-10 / 20140097151 - METHOD OF FORMING A TRANSPARENT CONDUCTIVE LAYER ON A SUBSTRATE | 3 |
Su-Wen Lai | TW | Taipei City | 2011-12-29 / 20110316420 - LED LIGHT SOURCE MODULE | 1 |
Chia-Han Lai | TW | Zhubei City | 2015-08-13 / 20150228537 - Contact Critical Dimension Control | 4 |
Chih-Ming Lai | TW | Hsin-Chu | 2015-03-19 / 20150079774 - Self-Alignment for using Two or More Layers and Methods of Forming Same | 3 |
Tzung-Shen Lai | TW | Yilan City | 2015-09-24 / 20150267353 - Automated Molding Machine for Ultra Small Draft Angle Slurry Molding Products | 1 |
Li-Chen Lai | TW | Taipei | 2011-12-22 / 20110314273 - DATA GRADING TRANSMISSION METHOD | 1 |
Chih-Ming Lai | TW | Taipei County | 2010-04-29 / 20100105228 - Circuit board module and connector protecting cover thereof | 2 |
Chih-Ming Lai | TW | Chu-Nan,miao-Li Hsien | 2009-08-27 / 20090213618 - ILLUMINATING DEVICE | 1 |
Chih-Ming Lai | TW | Taipei Hsien | 2012-03-22 / 20120071028 - CONNECTOR AND ELECTRONIC SYSTEM USING THE SAME | 2 |
Chih-Ming Lai | TW | Hsin-Tien | 2011-02-17 / 20110039447 - CONNECTOR WITH ELECTROMAGNETIC CONDUCTION MECHANISM | 2 |
Chih-Ming Lai | TW | Pingtung County | 2010-07-22 / 20100181577 - NITRIDE SEMICONDUCTOR SUBSTRATE | 6 |
Hung Ching Lai | TW | Hsin-Chu | 2014-12-04 / 20140354953 - TRACKING DEVICE AND OPTICAL ASSEMBLY THEREOF | 5 |
Chih-Chen Lai | TW | New Taipei | 2015-11-12 / 20150325753 - METHOD FOR MANUFACTURING LED DIE | 67 |
Wen-Hsuan Lai | TW | New Taipei City | 2015-09-24 / 20150266123 - HEATING UNIT AND VACUUM WELDING MACHINE INCLUDING THE SAME | 1 |
Wen-Feng Lai | TW | New Taipei City | 2015-09-24 / 20150266123 - HEATING UNIT AND VACUUM WELDING MACHINE INCLUDING THE SAME | 1 |
Wen-Tien Lai | TW | New Taipei City | 2015-09-24 / 20150266123 - HEATING UNIT AND VACUUM WELDING MACHINE INCLUDING THE SAME | 1 |
Kuang-Chieh Lai | TW | Taipei | 2011-04-21 / 20110088765 - Solar Cell Structure | 1 |
Jingwen Lai | CN | Shenzhen | 2015-09-24 / 20150269880 - MULTI-PURPOSE DISPLAY METHOD AND SYSTEM | 3 |
Kuang-Chieh Lai | TW | Houli Township | 2011-09-08 / 20110214722 - THIN FILM SOLAR CELL | 3 |
Chih-Ming Lai | TW | Changhua County | 2016-03-31 / 20160093822 - ORGANIC ELECTRONIC DEVICE AND ELECTRIC FIELD-INDUCED CARRIER GENERATION LAYER | 11 |
Chih-Ming Lai | TW | Hsinchu City | 2016-03-03 / 20160064322 - DESIGNED-BASED INTERCONNECT STRUCTURE IN SEMICONDUCTOR STRUCTURE | 33 |
Yiqiang Lai | CN | Beijing | 2016-05-05 / 20160125786 - OVER-DRIVING METHOD, CIRCUIT, DISPLAY PANEL AND DISPLAY APPARATUS | 5 |
Yi-Che Lai | TW | Taichung Hsien | 2014-09-18 / 20140264928 - SEMICONDUCTOR PACKAGE AND FABRICATION METHOD THEREOF | 13 |
Chih-Ming Lai | TW | Hukou | 2009-10-08 / 20090249625 - METHOD FOR JOINTING A SEMICONDUCTOR ELEMENT AND A HEAT PIPE | 1 |
Chun-Chih Lai | TW | New Taipei City | 2016-03-31 / 20160089034 - MEASUREMENT DEVICE WITH ELECTROENCEPHALOGRAPHY AND ELECTROCARDIOGRAPHY FUNCTIONALITIES | 5 |
Te-Chuan Lai | TW | Taoyuan County | 2015-10-22 / 20150303508 - PACKING DEVICE FOR ELECTRODE SHEETS AND PACKING METHOD THEREOF | 2 |
Chih-Ming Lai | TW | Taipei | 2011-05-19 / 20110116519 - APPARATUS AND METHOD FOR CONVERTING LASER ENERGY | 3 |
Po-Hung Lai | TW | Hsinchu County | 2013-06-13 / 20130146516 - AUTOMATIC OZONE WATER OUTPUT DEVICE | 1 |
Chien-Liang Lai | TW | Hsinchu County | 2015-02-05 / 20150039253 - METHOD FOR IDENTIFYING ELECTRIC APPLIANCE AND APPARATUS AND SYSTEM THEREOF | 3 |
Bin Lai | CN | Fuzhou City | 2013-11-21 / 20130306452 - Touch Panel And Manufacturing Method Thereof | 3 |
Chih-Ming Lai | TW | Miao-Li Hsien | 2009-03-26 / 20090077845 - BILLBOARD | 1 |
Chao-Ting Lai | TW | New Taipei | 2016-03-03 / 20160063234 - ELECTRONIC DEVICE AND FACIAL RECOGNITION METHOD FOR AUTOMATICALLY LOGGING INTO APPLICATIONS | 1 |
Ching-Hao Lai | TW | Taichung County | 2013-06-27 / 20130163875 - IMAGE RECOGNITION METHOD AND COMPUTER PROGRAM PRODUCT THEREOF | 3 |
Zhichang Lai | US | Bridgewater | 2011-12-15 / 20110305307 - NETWORK NODE, COMMUNICATION SYSTEM, AND METHOD FOR TRANSMITTING CLOCK PACKET THROUGH TUNNEL | 1 |
Chia-Hung Lai | TW | Tainan City | 2014-11-13 / 20140333435 - METHOD AND SYSTEM FOR REMINDING READER OF FATIGUE IN READING WHILE USING ELECTRONIC DEVICE | 1 |
Han-Sheong Lai | US | San Jose | 2014-09-18 / 20140279184 - SYSTEM AND METHOD OF INTELLIGENTLY RECOMMENDING BASED ON SOCIAL MEDIA | 1 |
Hongxi Lai | CN | Fujian | 2015-05-07 / 20150126552 - 2-AMINATED METHYLENE OR 2-ESTERIFIED METHYLENE TANSHINONE DERIVATIVES, AND PREPARATION METHOD AND APPLICATION THEREOF | 7 |
Hui-Lung Lai | TW | Southern Taiwan Science Park | 2010-02-11 / 20100033436 - Touch-Controlled Liquid Crystal Display and Touch Panel thereof | 3 |
Hui-Lung Lai | TW | Sinshih Township | 2009-10-15 / 20090255723 - PRINTED CIRCUIT BOARD WITH GROUND GRID | 1 |
Han-Chung Lai | TW | Taoyuan Hsien | 2010-04-22 / 20100096636 - THIN FILM TRANSISTOR ARRAY HAVING STORAGE CAPACITOR | 6 |
Han-Chung Lai | TW | Hsinchu | 2010-03-04 / 20100051955 - THIN FILM TRANSISTOR ARRAY SUBSTRATE | 3 |
Han-Chung Lai | TW | Taoyuan County | 2013-02-07 / 20130033866 - LED PACKAGE STRUCTURE | 2 |
Han-Chung Lai | TW | Jhongli City | 2008-08-21 / 20080198616 - LIGHT EMITTING DIODE FOR AUTOMOTIVE LAMP | 1 |
Kuan-Kang Lai | TW | Hsinchu City | 2014-07-10 / 20140193070 - NOISE ESTIMATION APPARATUS AND METHOD THEREOF | 1 |
Ming-Iu Lai | TW | Taipei | 2013-12-19 / 20130338853 - NAVIGATION DEVICE AND METHOD FOR AUTO-DOCKING OF A ROBOT | 12 |
Da-Wei Lai | SG | Singapore | 2015-11-26 / 20150340481 - LATCH-UP ROBUST SCR-BASED DEVICES | 26 |
Zhongwu Lai | CN | Guangzhou | 2014-09-18 / 20140265107 - SHEET-LIKE MEDIUM STACKING APPARATUS | 2 |
Wei-Chih Lai | TW | Tainan City | 2014-01-09 / 20140008613 - STACKED SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING THE SAME | 2 |
Chin-Lung Lai | TW | Taipei | 2011-10-27 / 20110260971 - MULTI-FUNCTION MOUSE DEVICE | 8 |
Peter Paul Lai | US | Rochester | 2014-07-03 / 20140186031 - TESTING AN OPTICAL NETWORK | 2 |
Chih-Ming Lai | TW | Taichung | 2014-07-03 / 20140187387 - Stair Exerciser Apparatus | 1 |
Cheng-Hao Lai | TW | Tainan City | 2014-06-19 / 20140166081 - SOLAR CELL AND SOLAR CELL MODULE | 1 |
Shih-Chi Lai | TW | Pa-Te City | 2011-02-17 / 20110037678 - MULTIFUNCTIONAL ANTENNA CHIP | 2 |
Tsai-Ya Lai | TW | Hsinchu City | 2014-05-15 / 20140135657 - Management system and method for damage risk of tissue pressure | 1 |
Mei-Hua Lai | TW | New Taipei City | 2013-09-19 / 20130243584 - CENTRIFUGAL FAN | 1 |
His-Piao Lai | TW | New Taipei City | 2013-09-19 / 20130241056 - WELL-THROUGH TYPE DIODE ELEMENT/COMPONENT AND MANUFACTURING METHOD FOR THEM | 1 |
Nan-Ming Lai | US | Weehawken | 2016-04-21 / 20160109562 - Laser Rangefinder Sensor | 3 |
Cheng-Chang Lai | TW | Hsinchu | 2015-05-21 / 20150137084 - TRIPTYCENE DERIVATIVES HAVING SYMMETRIC OR ASYMMETRIC SUBSTITUENTS AND ORGANIC LIGHT EMITTING DIODE USING THE SAME | 4 |
Kin Man Lai | CN | Hong Kong | 2015-09-10 / 20150252518 - GARMENT TREATMENT SYSTEM | 14 |
Yi-Chi Lai | TW | Taipei | 2015-01-29 / 20150030441 - FAN BLADE STRUCTURE AND CENTRIFUGAL FAN USING THE SAME | 1 |
Fong-O Lai | TW | Taichung | 2015-02-05 / 20150033515 - SNAP HOOK HAVING SAFETY LOCKING MECHANISM | 1 |
Xinzhong Lai | CN | Changshu | 2013-09-19 / 20130245080 - BENZOTHIAZOLONE COMPOUND | 1 |
Wei-Chi Lai | TW | Luzhu Township | 2014-10-16 / 20140307839 - RECEIVER WITH INPHASE-QUADRATURE IMBALANCE COMPENSATION AND INPHASE-QUADRATURE IMBALANCE COMPENSATION METHOD THEREOF | 2 |
Henry Lai | CA | Calgary | 2014-05-08 / 20140129990 - INTERACTIVE INPUT SYSTEM HAVING A 3D INPUT SPACE | 2 |
Alex Lai | CN | Shenzhen | 2013-08-22 / 20130214716 - PORTABLE APPLIANCE MOTOR CONTROL WITH SPEED-BASED CURRENT LIMITATION | 1 |
William Lai | HK | Hong Kong | 2015-02-05 / 20150033588 - ARTICLE OF FOOTWEAR WITH A DYNAMICALLY RESPONSIVE ELEMENT FOR KICKING A BALL | 1 |
Chang-Hung Lai | TW | Taipei City | 2014-03-06 / 20140060550 - DETACHABLE ADJUSTABLE MOUTH MASK | 2 |
Wen-Cheng Lai | TW | Tai-Chung City | 2009-01-01 / 20090002233 - METHODS AND APPARATUSES FOR SEARCHING FOR SATELLITE SIGNALS | 1 |
Cheng-Yuan Lai | TW | Taipei City | 2015-02-05 / 20150036206 - DISPLAY | 1 |
Siew Kong Lai | SG | Singapore | 2010-09-23 / 20100241031 - Analyte Test Device Integral With Lancet Firing Mechanism | 1 |
Wen-Cheng Lai | TW | Taipei | 2010-09-30 / 20100245199 - MAGNETIC ANTENNA | 1 |
Stone Lai | CN | Guang Dong | 2014-06-19 / 20140167514 - Method and Apparatus for Powering a Battery-Operable Device with an External Source of Power | 2 |
Hung-Wei Lai | TW | Kaohsiung City | 2014-05-08 / 20140125371 - STAND ALONE MULTI-CELL PROBE CARD FOR AT-SPEED FUNCTIONAL TESTING | 1 |
Wen-Cheng Lai | TW | Taishan Township | 2011-01-13 / 20110006962 - POROUS MAGNETIC ANTENNA | 1 |
Chunze Lai | US | Kendall Park | 2014-06-12 / 20140158536 - Sensor Apparatus | 1 |
Yovita Sulaiman Lai | SG | Singapore | 2010-06-24 / 20100161689 - METHOD OF UPDATING/MODIFYING A STAND ALONE NON-NETWORK CONNECTIBLE DEVICE | 1 |
Russell W. Lai | US | Rancho Palos | 2014-06-12 / 20140159934 - JAM ASSIGNMENT MANAGER | 1 |
Weng Hong Lai | SG | Singapore | 2009-03-26 / 20090080132 - ANTENNA DIODES WITH ELECTRICAL OVERSTRESS (EOS) PROTECTION | 1 |
Aaric Chee Meng Lai | SG | Singapore | 2008-12-25 / 20080319936 - Engineering expert system | 1 |
Ruenn Chai Lai | SG | Singapore | 2015-01-22 / 20150024011 - USE OF EXOSOMES TO PROMOTE OR ENHANCE HAIR GROWTH | 2 |
Gai Leong Lai | SG | Singapore | 2011-05-12 / 20110108969 - INTEGRATED CIRCUIT PACKAGING SYSTEM WITH LEADS AND METHOD OF MANUFACTURE THEREOF | 1 |
Wei-Lung Lai | US | Cupertino | 2012-12-27 / 20120331553 - DYNAMIC SIGNATURE CREATION AND ENFORCEMENT | 2 |
Kuan-Cheng Lai | TW | Zhubei City | 2014-05-15 / 20140132165 - Light Emitting Device Array Billboard and Row Switch Circuit and Control Method Thereof | 2 |
Jan-Ji Lai | US | Lexington | 2014-06-12 / 20140163048 - COMPOSITIONS WITH INCREASED STABILITY FOR INHIBITING TRANSIENT RECEPTOR POTENTIAL ION CHANNEL TRPA1 | 1 |
Szu Cheng Lai | SG | Singapore | 2014-10-30 / 20140319317 - PHOTO-SENSOR | 5 |
Chao-Min Lai | TW | Tao Yuan County | 2012-06-07 / 20120140122 - RECEIVING APPARATUS AND RECEIVING METHOD THEREOF | 1 |
Edward Lai | AU | New South Wales | 2010-08-19 / 20100206175 - Reservoir Level Sensing | 1 |
Edward Lai | AU | Glenfield | 2012-08-02 / 20120192851 - Oven with Interface Device | 3 |
Joseph Cho Sam Lai | AU | Australian Capital Territory | 2009-12-10 / 20090303053 - Method and System for Controlling Termites | 1 |
Kon Lai | AU | Lalor | 2013-08-15 / 20130207381 - FLUID COUPLING | 2 |
Kon Lai | AU | Victoria | 2012-01-12 / 20120006441 - PROTECTIVE SHEATH | 1 |
Yu-Peng Lai | TW | Taoyuan County | 2014-01-02 / 20140006678 - PORTABLE ELECTRONIC DEVICE AND ACCESSORY DEVICE THEREOF, AND OPERATING METHOD FOR THE PORTABLE ELECTRONIC DEVICE | 11 |
Yuan-Cheng Lai | TW | Hsinchu City | 2013-08-08 / 20130205158 - DECISION METHOD CONSIDERING TIME AND POWER CONSUMPTION FOR OFFLOADING COMPUTATION AND COMPUTING SYSTEM | 1 |
Lu-Ming Lai | TW | Tucheng City | 2010-09-23 / 20100237383 - Photoelectric Transmitting or Receiving Device and Manufacturing Method Thereof | 2 |
Lu-Ming Lai | TW | Chung-Li City | 2013-09-26 / 20130249387 - LIGHT-EMITTING DIODES, PACKAGES, AND METHODS OF MAKING | 1 |
Lee Wang Lai | SG | Singapore | 2011-03-17 / 20110062583 - STACKED DIE PACKAGE FOR PERIPHERAL AND CENTER DEVICE PAD LAYOUT DEVICE | 2 |
Chin-Te Lai | TW | Tucheng | 2010-03-18 / 20100068941 - Electrical connector having retainer for securing terminals disposed therein | 1 |
Keng Heng Lai | SG | Singapore | 2011-02-10 / 20110032348 - DEFECT MONITORING IN SEMICONDUCTOR DEVICE FABRICATION | 1 |
Hing Tim Lai | SG | Singapore | 2009-03-19 / 20090073426 - Multiple Surface Inspection System and Method | 2 |
Poh Yoke Lai | SG | Singapore | 2008-11-13 / 20080279178 - PORT REDUCTION FOR VOICE OVER INTERNET PROTOCOL ROUTER | 1 |
Yicheng Lai | SG | Singapore | 2015-06-11 / 20150160409 - METHOD OF FABRICATING A FIBRE DEVICE | 3 |
Cheng-Jia Lai | US | Sunnyvale | 2015-01-29 / 20150029863 - Network Congestion Control with Awareness of Random Packet Losses | 1 |
Kim Thuy Thi Lai | US | San Jose | 2015-02-05 / 20150036240 - HARD DISK DOUBLE LUBRICATION LAYER | 1 |
Wen-Ting Lai | TW | Shetou Township | 2014-06-12 / 20140164604 - NETWORK DEVICE AND NETWORK DEVICE RECOGNITION METHOD | 1 |
Yao-Sheng Lai | TW | Taipei City | 2015-09-24 / 20150267313 - ELECTRODEPOSITED COPPER FOIL | 3 |
Chi-An Lai | TW | Taipei City | 2015-02-05 / 20150039802 - SERIAL-PARALLEL INTERFACE CIRCUIT WITH NONVOLATILE MEMORY | 1 |
Yue Lai | US | Sunnyvale | 2015-02-05 / 20150039946 - METHOD AND SYSTEM FOR A HIGH AVAILABILITY FRAMEWORK | 1 |
Chin Nguk Lai | MY | Bayan Lepas | 2014-07-10 / 20140191417 - Multi-Chip Package Assembly with Improved Bond Wire Separation | 1 |
Chih-Yin Lai | TW | Taipei City | 2013-11-14 / 20130301201 - PORTABLE ELECTRONIC DEVICE AND CRADLE | 2 |
Kelvin Yi-Tse Lai | TW | Changhua County | 2015-09-24 / 20150268185 - SENSING SYSTEM AND SENSOR CHIP THEREOF | 2 |
Yuan-Cheng Lai | TW | Taipei | 2013-09-26 / 20130250482 - Dielectric Ceramic Material and Multilayer Ceramic Capacitor Using the Same | 1 |
Jeng-Ming Lai | TW | New Taipei City | 2015-05-28 / 20150146381 - ELECTRONIC DEVICE WITH COMPONENT DETACHING FUNCTION | 3 |
David Lai | US | Mountain View | 2016-02-25 / 20160054527 - LED PULL TABS FOR PLUGGABLE TRANSCEIVER MODULES AND ADAPTOR MODULES | 23 |
Jacky Hin Hang Lai | HK | Sai Kung | 2014-07-17 / 20140201067 - SYSTEM AND METHOD FOR FACILITATING A TRANSACTION | 1 |
Yu-Chin Lai | TW | Guishan Township | 2014-12-11 / 20140364624 - METHOD FOR MANUFACTURING HYDROPHILIC SILICONE MACROMER | 2 |
Wang Lin Lai | US | Richardson | 2014-07-03 / 20140184744 - DEPTH CODING | 9 |
Chi-Shih Lai | TW | Panchiao | 2009-07-30 / 20090190360 - LIGHT-EMITTING DECORATING DEVICE | 3 |
Lily Lai | CA | Richmond | 2013-07-25 / 20130191487 - METHOD, APPARATUS AND COMPUTER PROGRAM PRODUCT FOR RECEIVING DIGITAL DATA FILES | 1 |
Chao-Han Lai | TW | Tainan | 2013-07-25 / 20130190243 - PHARMACOLOGICAL TREATMENT OF AORTIC ANEURYSM DEVELOPMENT | 1 |
Yu-Chia Lai | TW | Zhunan Township | 2015-08-13 / 20150228599 - SELF-ALIGNMENT STRUCTURE FOR WAFER LEVEL CHIP SCALE PACKAGE | 6 |
Chun-Hung Lai | JP | Kamakura | 2014-09-04 / 20140247667 - PARTITIONED ERASE AND ERASE VERIFICATION IN NON-VOLATILE MEMORY | 5 |
Chun-Ming Lai | TW | Hsinchu County | 2013-09-26 / 20130251343 - METHOD FOR PERFORMING FLUENT PLAYBACK CONTROL IN RESPONSE TO DECODING STATUS, AND ASSOCIATED APPARATUS | 1 |
Yi-Lin Lai | TW | Taipei | 2011-04-21 / 20110093647 - System And Method For Controlling Flash Memory | 5 |
Shih-Chi Lai | TW | Taoyuan Hsien | 2014-07-17 / 20140197997 - ANTENNA STRUCTURE | 1 |
Chuan-Kung Lai | TW | Taipei Hsien | 2014-05-29 / 20140146445 - PORTABLE INPUT DEVICE | 1 |
Hongxi Lai | CN | Long Yan | 2013-07-11 / 20130178470 - HETEROCYCLIC AMINOBERBAMINE DERIVATIVES, THE PREPARATION PROCESS AND USE THEREOF | 1 |
Jim Lai | US | Santa Monica | 2013-10-03 / 20130257031 - Interlocking Foam Book | 1 |
Ming-Chen Lai | TW | New Taipei City | 2013-10-24 / 20130278741 - EAR HANGING TYPE EYE CONTROL DEVICE | 2 |
Wai Hing Lai | HK | Hong Kong | 2013-07-11 / 20130174747 - COOKING APPLIANCE | 1 |
Mei-Chun Lai | TW | Zhudong Township | 2015-02-19 / 20150049303 - Photochromic Composite Lens | 1 |
Shin-Chi Lai | TW | Kaohsiung City | 2013-07-04 / 20130173680 - Fixed-Coefficient Variable Prime Length Recursive Discrete Fourier Transform System | 2 |
Jin Lai | US | Seattle | 2013-07-04 / 20130173540 - GATHERING TRANSACTION DATA ASSOCIATED WITH LOCALLY STORED DATA FILES | 1 |
Kee-Hung Lai | HK | Hong Kong | 2014-05-29 / 20140149188 - METHODS, APPARATUS AND SYSTEMS FOR GREEN SHIPPING PRACTICE ASSESSMENT | 1 |
Tzu-Chien Lai | TW | Taipei City | 2014-12-04 / 20140355190 - ELECTRONIC DEVICE | 3 |
Wen-Cheng Lai | TW | Taoyuan Hsien | 2015-02-26 / 20150055331 - LAMP STAND WITH FAUX FLAME | 2 |
Pengjie Lai | US | San Jose | 2016-03-17 / 20160079852 - SWITCHING CONVERTER AND ASSOCIATED DISCHARGE METHOD | 4 |
Chi-Hung Lai | TW | New Taipei City | 2015-02-19 / 20150049427 - HINGE ASSEMBLY AND ELECTRONIC DEVICE THEREWITH | 2 |
Chih-Chen Lai | TW | New Taipei | 2015-11-12 / 20150325753 - METHOD FOR MANUFACTURING LED DIE | 67 |
Chi-Shih Lai | TW | Taipei Hsien | 2008-08-21 / 20080198599 - Combined glowing decorative structure | 2 |
Yaw-Shen Lai | TW | Taipei Hsien | 2010-06-24 / 20100156332 - COMPENSATING SYSTEM AND METHOD FOR COGGING TORQUE OF MOTOR | 1 |
Yun-Long Lai | TW | Taipei Hsien | 2010-07-01 / 20100165507 - ENCODER MODULE WITH A SLIDING ASSEMBLY | 1 |
Chung-Min Lai | TW | Taipei Hsien | 2011-05-12 / 20110109409 - Waveguide Orthomode Transducer | 2 |
Tien-Ko Lai | TW | Taipei Hsien | 2009-02-05 / 20090035968 - Connector and printed circuit board | 2 |
Cheng-Tien Lai | TW | Taipei Hsien | 2009-04-16 / 20090097241 - LED LAMP WITH A HEAT SINK ASSEMBLY | 2 |
Chi-Yuan Lai | TW | Taipei Hsien | / - | 1 |
Wen-Kuei Lai | TW | Taipei Hsien | 2009-10-29 / 20090270708 - SPORTS CLOTHES | 1 |
Jeng-Ming Lai | TW | Taipei Hsien | 2011-07-21 / 20110174402 - FLOW RESISTANCE DEVICE | 3 |
Pei-Ling Lai | TW | Taipei Hsien | 2011-04-14 / 20110084909 - Electronic apparatus and method of changing keyboard thereof | 3 |
Chun-Ming Lai | TW | Taipei Hsien | 2010-05-06 / 20100112862 - USB CONNECTOR AND ITS FABRICATION METHOD | 1 |
Yu-Cheng Lai | TW | Taoyuan | 2015-02-19 / 20150047881 - MASKING LAYER FORMED BY APPLYING DEVELOPABLE PHOTOSENSITIVE RESIN COMPOSITIONS ON PANEL STRUCTURE | 1 |
Liang-Hsing Lai | TW | Hsin-Chu | 2014-03-06 / 20140060629 - SOLAR CELL AND METHOD FOR FABRICATING THE SAME | 2 |
Guan-Lin Lai | TW | Hsinchu | 2014-06-26 / 20140175337 - Modified Maleimide Oligomer, Preparation Method Thereof and Composition Containing the Same | 1 |
Ya-Ping Lai | TW | Zhudong Township | 2014-06-26 / 20140178388 - MULTIVALENT ANTIBODY FRAGMENTS AND TRIMERIZED COMPLEXES THEREOF | 2 |
Kafai Lai | US | Hopewell Junction | 2011-04-28 / 20110096313 - Constrained Optimization Of Lithographic Source Intensities Under Contingent Requirements | 3 |
Ming-Sheng Lai | TW | Taipei City | 2013-06-27 / 20130163279 - BACKLIGHT MODULE | 1 |
Kafai Lai | US | Poughkeepsie | 2016-03-24 / 20160085896 - METHOD FOR DESIGNING TOPOGRAPHIC PATTERNS FOR DIRECTING THE FORMATION OF SELF-ASSEMBLED DOMAINS AT SPECIFIED LOCATIONS ON SUBSTRATES | 16 |
Ming-Yen Lai | TW | Hsinchu | 2014-07-24 / 20140207958 - VIRTUAL PRIVATE NETWORK COMMUNICATION SYSTEM, ROUTING DEVICE AND METHOD THEREOF | 1 |
Tung-Yen Lai | TW | Taipei | 2014-04-10 / 20140099756 - THIN FILM TRANSISTOR AND FABRICATING METHOD | 2 |
Cheng-Cheng Lai | TW | Changhua | 2013-06-27 / 20130161410 - WATER DISPENSER OF DRINKING FOUNTAIN | 1 |
Chun Hei Lai | CA | Markham | 2014-07-24 / 20140207943 - METHOD AND SYSTEM FOR MANAGING A VPN CONNECTION | 1 |
Cheng-Jia Lai | US | San Jose | 2015-01-22 / 20150023366 - ADAPTIVE MARKING FOR WRED WITH INTRA-FLOW PACKET PRIORITIES IN NETWORK QUEUES | 1 |
Bo-Kuai Lai | US | Woodridge | 2013-10-10 / 20130264680 - NANOLAMINATES OF Al2O3/TiO2 WITH GIANT DIELECTRIC CONSTANT LOW-LEAKAGE-LOW LOSS-EXTENDED FREQUENCY OPERATION FOR NEW-GENERATION NANOELECTRONICS AND ENERGY STORAGE DEVICES | 1 |
Ming Chun Lai | TW | New Taipei City | 2015-02-26 / 20150056870 - POGO PIN CONNECTOR | 1 |
Chih-Yu Lai | TW | Tainan City | 2015-12-31 / 20150380447 - DEEP TRENCH ISOLATION SHRINKAGE METHOD FOR ENHANCED DEVICE PERFORMANCE | 13 |
Wei-Chih Lai | TW | Chiayi County | 2008-12-25 / 20080315226 - LIGHT EMITTING DIODE, OPTOELECTRONIC DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Wei-Chih Lai | TW | Kao Hsiung County | 2011-01-13 / 20110009168 - DISPLAY MODULE FOR MOBILE COMMUNICATION DEVICE | 1 |
Wei-Chih Lai | TW | Taipei | 2012-11-22 / 20120292468 - FASTENING MODULE | 4 |
Yao-Jen Lai | TW | Cingshuei Township | 2012-03-15 / 20120060976 - Cutter Assembly for an Electric Pencil Sharpener | 2 |
Wei-Ting Lai | TW | Taipei | 2011-04-14 / 20110085288 - All-In-One Desktop Computer | 1 |
Hung-Chi Lai | TW | Kaohsiung | 2008-08-28 / 20080208944 - DIGITAL SIGNAL PROCESSOR STRUCTURE FOR PERFORMING LENGTH-SCALABLE FAST FOURIER TRANSFORMATION | 1 |
Deng-Horng Lai | TW | Kaohsiung | 2013-08-08 / 20130203512 - BIAXIAL SUSPENSION TYPE DYNAMIC SIMULATOR | 3 |
Wei-Chi Lai | TW | Kaohsiung | 2010-08-19 / 20100208478 - AUTOMOTIVE HEADLIGHT SYSTEM AND ADAPTIVE AUTOMOTIVE HEADLIGHT SYSTEM WITH INSTANT CONTROL AND COMPENSATION | 1 |
Li-Hsiang Lai | TW | Kaohsiung | 2010-10-07 / 20100254170 - DC to AC inverter | 2 |
Ssu-Hao Lai | TW | Kaohsiung | 2011-04-14 / 20110085342 - HEAT DISSIPATING DEVICE FOR LIGHTING MODULE | 3 |
Xiaoming Lai | CA | Ottawa | 2015-11-19 / 20150334771 - DISCONTINUOUS TRANSMISSION FOR A MOBILE PHONE NETWORK NODE | 5 |
Chun Kit Lai | US | Coral Springs | 2013-10-31 / 20130285860 - MOBILE WIRELESS DEVICE WITH MULTI-BAND LOOP ANTENNA WITH ARMS DEFINING A SLOTTED OPENING AND RELATED METHODS | 1 |
Hongxi Lai | CN | Longyan | 2014-12-11 / 20140364490 - 1-OXO/ACYLATION-14-ACYLATED ORIDONIN DERIVATIVE, PREPARATION METHOD THEREFOR AND APPLICATION THEREOF | 3 |
Jinmei Lai | CN | Beijing | 2016-05-05 / 20160122908 - POLYER/FILLER/METAL COMPOSITE FIBER AND PREPARATION METHOD THEREOF | 5 |
Ming-Chun Lai | TW | New Taipei | 2013-07-25 / 20130189855 - CONNECTOR ASSEMBLY | 2 |
Chun Kit Lai | US | La Jolla | 2015-02-26 / 20150054711 - System and Method for a Mobile Antenna with Adjustable Resonant Frequencies and Radiation Pattern | 1 |
Tsun Yin Lai | US | Culver City | 2014-09-11 / 20140256630 - VEGF-SPECIFIC CAPTURE AGENTS, COMPOSITIONS, AND METHODS OF USING AND MAKING | 2 |
Sing Yeung Lai | US | Santa Clara | 2014-03-13 / 20140071149 - COLOR MANAGEMENT FOR WEB SERVER BASED APPLICATIONS | 2 |
Wei-Ming Lai | TW | Taoyuan City | 2015-04-09 / 20150097268 - INDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF | 2 |
Chien-Feng Lai | TW | Hsinchu County | 2013-06-20 / 20130155561 - OVER VOLTAGE PROTECTION CIRCUIT AND DRIVER CIRCUIT USING THE SAME | 1 |
Sheng-Tang Lai | TW | Hsinchu | 2015-06-25 / 20150177424 - LENS MODULE AND IMAGE APPARATUS | 3 |
Chen-Chung Lai | TW | Hsinchu City | 2016-04-28 / 20160118350 - INTERCONNECT ARRANGEMENT WITH STRESS-REDUCING STRUCTURE AND METHOD OF FABRICATING THE SAME | 2 |
Jen-Cheng Lai | TW | Taoyuan County | 2015-10-22 / 20150300750 - ELECTRONIC DEVICE AND HEAT DISSIPATION PLATE | 3 |
Chia-Chu Lai | TW | Taichung Hsien | 2013-06-20 / 20130154765 - CROSS-COUPLED BANDPASS FILTER | 1 |
Sean D. Lai | US | Richardson | 2014-07-24 / 20140207398 - Transient Normalization for Appliance Classification, Disaggregation, and Power Estimation in Non-Intrusive Load Monitoring | 1 |
Yicheng Lai | TW | Taoyuan City | 2011-01-20 / 20110012556 - Wireless Chargeable Game Device | 1 |
Kuan Yu Lai | TW | Changhua County | 2008-08-21 / 20080198709 - RANDOM ACCESS CONTROL METHOD AND OPTICAL DISC DRIVE | 1 |
Ming-Yi Lai | TW | Changhua County | 2014-10-02 / 20140291883 - Processing method of non-woven intrinsically with enhanced deodorant feature from bamboo | 12 |
Ying-Lan Lai | TW | Changhua County | 2010-03-04 / 20100056246 - GAME SYSTEM CAPABLE OF INCREASING SUPER SYMBOL | 1 |
Yen-Ju Lai | TW | Douliou City | 2015-02-26 / 20150054326 - HEADREST ASSEMBLY AND CHAIR ASSEMBLY INCLUDING THE SAME | 1 |
Hong-Jen Lai | TW | Hsinchu City | 2013-06-20 / 20130152990 - SOLID-LIQUID INTERDIFFUSION BONDING STRUCTURE OF THERMOELECTRIC MODULE AND FABRICATING METHOD THEREOF | 1 |
Kuo-Chen Lai | TW | Changhua County | 2010-03-04 / 20100052646 - CURRENT MIRROR WITH IMMUNITY FOR THE VARIATION OF THRESHOLD VOLTAGE AND THE GENERATION METHOD THEREOF | 1 |
Chia-Liang Lai | TW | Changhua County | 2010-03-25 / 20100073045 - FREQUENCY DETECTION CIRCUIT AND DETECTION METHOD FOR CLOCK DATA RECOVERY CIRCUIT | 3 |
Jyu Yuan Lai | TW | Changhua County | 2010-07-08 / 20100172492 - METHOD FOR SCHEDULING ELLIPTIC CURVE CRYPTOGRAPHY COMPUTATION | 1 |
Jui-Wen Lai | TW | Taipei City | 2015-02-26 / 20150055505 - SELECTION METHOD FOR RAPIDLY OBTAINING HOTSPOT INFORMATION | 1 |
Huan-Wen Lai | TW | Tainan City | 2013-10-10 / 20130267045 - SHOWER HEAD APPARATUS AND METHOD FOR CONTROLLIGN PLASMA OR GAS DISTRIBUTION | 1 |
Kuanyu Lai | TW | Changhua County | 2012-09-13 / 20120233362 - BUFFER MANAGEMENT METHOD AND OPTICAL DISC DRIVE | 4 |
Chane-Yu Lai | TW | Changhua County | 2010-12-16 / 20100313927 - APPARATUS FOR CONVERTING ULTRAVIOLET (UV) LIGHT INTO ELECTRICITY | 1 |
Wen-Kuei Lai | TW | Changhua County | 2011-01-20 / 20110012859 - RESISTANCE TYPE TOUCH PANEL | 1 |
Che-Hung Lai | TW | Changhua County | 2011-05-12 / 20110110173 - SIGNAL GENERATING CIRCUIT AND RELATED STORAGE APPARATUS | 1 |
Ko-Yin Lai | TW | Hsinchu County | 2014-12-04 / 20140355659 - RECEIVING APPARATUS AND METHOD FOR ACCELERATING EQUALIZATION CONVERGENCE | 4 |
Chih-Yi Lai | TW | New Taipei City | 2013-06-13 / 20130147870 - PRINTING METHOD FOR THREE-DIMENSIONAL PAINTING BY UV DIGITAL PRINTING DEVICE | 1 |
Wen-Shang Lai | TW | Changhua County | 2013-06-13 / 20130147392 - POWER PROCESSING APPARATUS AND METHOD OF RELEASING THE RESIDUAL POWER THEREOF | 1 |
Chun-Hau Lai | TW | Kaohsiung | 2015-01-22 / 20150023027 - LIGHT GUIDE ELEMENT AND LAMP FOR CONTROLLING LIGHT BEAM ANGLE | 1 |
Kok-Kong Lai | TW | Hsin-Chu | 2013-10-10 / 20130268707 - MICRO SD CARD ADAPTER DEVICE | 1 |
Yi-Nan Lai | TW | Taipei | 2015-01-22 / 20150021989 - DIRECT-CURRENT UNINTERRUPTIBLE POWER SUPPLY SYSTEM AND DEVICE | 1 |
Tsung-Cheng Lai | TW | Taipei | 2013-06-27 / 20130160359 - MONITORING PLATFORM, STRUCTURE AND MONITORING SYSTEM OF PLANTING BED | 2 |
Chia-Chu Lai | TW | Taichung | 2015-07-02 / 20150188510 - CIRCUIT STRUCTURE | 7 |
Chia-Han Lai | TW | Hsinchu County | 2015-06-25 / 20150179512 - Method of Integrated Circuit Fabrication | 2 |
Yao-Yu Lai | TW | Taipei City | 2014-05-01 / 20140116864 - BUTTON STRUCTURE | 2 |
Yu-Sheng Lai | TW | Zhudong Township | 2013-10-17 / 20130273610 - Method of Manufacturing Nanoparticle Chain | 1 |
Jin Lai | TW | Taipei | 2010-03-11 / 20100064159 - METHOD AND CONTROLLER FOR POWER MANAGEMENT | 1 |
Chih-Hung Lai | US | Cerritos | 2013-10-17 / 20130274283 - PREMATURE-TERMINATION-CODONS READTHROUGH COMPOUNDS | 1 |
Ming Lai | US | Dublin | 2014-06-26 / 20140176904 - Ophthalmic Aberrometer Capable of Subjective Refraction | 5 |
Reed Lai | TW | Miaoli | 2013-10-24 / 20130276614 - PLECTRUM SLEEVE | 1 |
Yen-Lin Lai | TW | Tainan City | 2016-04-07 / 20160099381 - EPITAXY BASE, SEMICONDUCTOR LIGHT EMITTING DEVICE AND MANUFACTURING METHODS THEREOF | 11 |
Cheng-Te Lai | TW | Hsinchu | 2013-10-24 / 20130278583 - DISPLAY APPARATUS AND DISPLAY METHOD THEREOF | 1 |
Chia-Shou Lai | TW | New Taipei City | 2013-10-24 / 20130278672 - METHOD FOR UV INKJET PRINTER TO GENERATE IRREGULAR TRANSPARENT MATTE PARTICLE SURFACE AND COMPLETED PRINTING OBJECT THEREOF | 1 |
Chien-Nan Lai | TW | Tu-Cheng | 2013-10-03 / 20130258502 - LENS BARREL AND LENS MODULE | 9 |
Yun-Tzuo Lai | TW | Hsinchu | 2013-05-23 / 20130132642 - SOLID STATE DRIVE | 2 |
Yi-Sheng Lai | TW | Hsinchu City | 2013-05-23 / 20130132423 - METHOD AND SYSTEM FOR DETECTING AN APPLICANCE BASED ON USERS' FEEDBACK INFORMATION | 1 |
Chia-Hung Lai | TW | Hsinchu City | 2015-01-22 / 20150021700 - SHALLOW TRENCH ISOLATION STRUCTURE AND METHOD OF FORMING THE SAME | 1 |
Ming-Hui Lai | TW | Taoyuan County | 2015-01-22 / 20150021517 - CATHODE MATERIAL WITH OXYGEN VACANCY AND MANUFACTURING PROCESS THEREOF | 1 |
Chien-Chih Lai | TW | Changhua County | 2013-05-23 / 20130131524 - BLOOD PRESSURE MEASUREMENT SYSTEM | 2 |
Hsin-I Lai | TW | Taipei City | 2015-05-28 / 20150146102 - METHOD AND DEVICE FOR CONTROLLING CHANNEL-SWITCHING AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM FOR STORING THE METHOD | 2 |
Kuen Yu Lai | US | San Jose | 2015-02-26 / 20150058975 - METHOD AND APPARATUS FOR SELECTIVELY SNOOPING AND CAPTURING DATA FOR SECURE COMPUTER INTERFACES | 1 |
Kun-Yu Lai | TW | Kaohsiung City | 2013-05-23 / 20130129974 - ZINC OXIDE ANTI-REFLECTION LAYER HAVING A SYRINGE-LIKE STRUCTURE AND METHOD FOR FABRICATING THE SAME | 1 |
Jonathan R. Lai | US | Bronx | 2014-12-04 / 20140356354 - THERAPY FOR FILOVIRUS INFECTION | 2 |
Chung-Fu Lai | TW | Sanchong | 2016-05-12 / 20160134108 - ACTIVE DROOP CURRENT SHARING AMONG POWER SUPPLY UNITS | 1 |
Chien-Yuan Lai | TW | New Taipei City | 2015-12-31 / 20150378394 - DOCKING DEVICE AND ELECTRONIC SYSTEM THEREWITH | 5 |
Chun-Chi Lai | TW | Taichung | 2015-09-24 / 20150266171 - SWITCHING DEVICE FOR USE IN A RATCHET WRENCH | 7 |
Dah Prong Lai | TW | New Taipei City | 2013-05-16 / 20130120895 - Wind Power Negative Ion Generator | 1 |
Chien-Feng Lai | TW | Hukou Township | 2013-05-16 / 20130119963 - BOOTSTRAP CIRCUIT AND ELECTRONIC DEVICE APPLYING THE SAME | 1 |
Chun-Chi Lai | TW | Taipei | 2011-05-05 / 20110106424 - Navigation method and human-machine interface apparatus thereof | 3 |
Guangda Lai | CN | Guangzhou | 2013-05-09 / 20130117310 - SYSTEMS AND METHODS FOR GENERATING AND DISPLAYING HIERARCHICAL SEARCH RESULTS | 2 |
Ernest Lai | US | Honolulu | 2013-05-09 / 20130116762 - ORAL SURGERY RECOVERY MASK | 1 |
Katharine Lai | US | West Orange | 2013-05-09 / 20130115319 - Herbal composition for lowering likelihood of stroke and methods for healing stroke patients | 1 |
Shui T. Lai | US | Encinitas | 2012-07-05 / 20120169998 - SUBJECTIVE WAVEFRONT REFRACTION USING CONTINUOUSLY ADJUSTABLE WAVE PLATES OF ZERNIKE FUNCTION | 21 |
Yang Lai | TW | Taichung City | 2013-05-09 / 20130112701 - STORAGE BOX | 1 |
Shin-Yu Lai | TW | Taipei City | 2013-10-24 / 20130281517 - CYCLOPROPANECARBOXYLATE ESTERS OF PURINE ANALOGUES | 1 |
Ming-Hsiung Lai | TW | Taoyuan County | 2013-05-09 / 20130114209 - HEAT MANAGEMENT DEVICE AND ELECTRONIC APPARATUS | 3 |
Hsin-Yuan Lai | TW | Taichung City | 2016-05-19 / 20160138635 - Telescopic Rod | 4 |
Chun-Chi Lai | TW | Taipei City | 2012-07-05 / 20120169677 - LIQUID CRYSTAL DISPLAY AND LIQUID CRYSTAL DISPLAY PANEL THEREOF | 4 |
Kuo-Hsin Lai | TW | Hsinchu County | 2016-04-07 / 20160098316 - ERROR PROCESSING METHOD, MEMORY STORAGE DEVICE AND MEMORY CONTROLLING CIRCUIT UNIT | 14 |
Li-Wen Lai | TW | Hsinchu Hsien | 2012-12-13 / 20120314417 - OPTICAL LENS AND LIGHT-EMITTING MODULE USING THE SAME | 3 |
Chun-Yu Lai | TW | Taoyuan County | 2014-07-03 / 20140187222 - Status Switching Method for Mobile Device | 2 |
Chieh-Lung Lai | TW | Hsinchu Hsien | 2009-05-28 / 20090135599 - LIGHT SOURCE DEVICE | 1 |
Chen-Po Lai | TW | Hsinchu County | 2014-03-06 / 20140065500 - Integrated Design of Burner, Reformer and Heat Exchangers for the Solid Oxide Fuel Cell | 2 |
Mei-Chun Lai | TW | Hsinchu County | 2013-04-25 / 20130100663 - LIGHT-GUIDE MODULE | 1 |
Wei-Jen Lai | TW | Jhubei City | 2016-02-04 / 20160034083 - TOUCH SENSING DEVICE | 3 |
Yi Lai | US | Columbia | 2014-08-21 / 20140234255 - MICRODYSTROPHIN PEPTIDES AND METHODS FOR TREATING MUSCULAR DYSTROPHY USING THE SAME | 2 |
Yung-Wei Lai | TW | Jhongli City | 2013-04-25 / 20130098675 - METHOD AND APPARATUS FOR APPLICATION OF ANTI-STICTION COATING | 1 |
Ming-Hsiao Lai | TW | Ta Tsuen Hsiang | 2011-07-21 / 20110174646 - BARREL | 1 |
Ming-Hsiao Lai | TW | Chang-Hua Hsien | 2012-02-23 / 20120045610 - GLASSWARE STRUCTURE WITH METAL CLADDING | 5 |
Jiasheng Lai | CN | Boluo County | 2015-03-05 / 20150064011 - FAN AND IMPELLER THEREOF | 1 |
Tsung-Te Lai | TW | New Taipei City | 2013-10-31 / 20130284715 - HEATING SYSTEM FOR HEATING SEMICONDUCTOR MATERIAL DISPOSED IN A CRUCIBLE | 1 |
Yuan-Fang Lai | TW | Taoyuan County | 2013-10-24 / 20130279208 - POWER CONVERTER AND CONTROLLING METHOD | 2 |
Chih-Ming Lai | TW | Hsinchu City | 2016-03-03 / 20160064322 - DESIGNED-BASED INTERCONNECT STRUCTURE IN SEMICONDUCTOR STRUCTURE | 33 |
Wen Kun Lai | CN | Guangdong Province | 2013-04-18 / 20130091909 - LOCK CYLINDER WITH JOGGLE JOINT STRUCTURE | 1 |
Feng-Ju Lai | TW | Taipei City | 2015-12-31 / 20150373988 - METHOD OF FABRICATING ANTIMICROBIAL COMPLEX SURFACE | 5 |
Ming-I Lai | TW | Tainan City | 2013-04-11 / 20130091481 - METHOD OF SCHEMATIC DRIVEN LAYOUT CREATION | 1 |
Sean Lai | US | Richardson | 2015-03-05 / 20150066413 - METHOD FOR CORRECTING ERRORS ASSOCIATED WITH ASYNCHRONOUS TIMING OFFSETS BETWEEN TRANSMIT AND RECEIVE CLOCKS IN MRI WIRELESS RADIOFREQUENCY COILS | 1 |
Jui-Yu Lai | TW | Changhua County | 2013-11-07 / 20130293942 - METHOD FOR OPTIMIZING HYPERFINE APERIODIC OPTICAL SUPERLATTICE | 1 |
Li-Hung Lai | TW | Hsinchu Hsien | 2012-12-13 / 20120314417 - OPTICAL LENS AND LIGHT-EMITTING MODULE USING THE SAME | 3 |
Weijan Lai | CN | Beijing | 2013-11-14 / 20130302879 - Processes for Treating Textile with Polypeptide Having Cellulolytic Enzyme Enhancing Activity | 2 |
Ko-Yin Lai | TW | Hsinchu Hsien | 2011-01-06 / 20110002422 - Apparatus for Detecting Digital Video Signal Parameters and Method Thereof | 4 |
Xuefeng Lai | CN | Beijing | 2015-03-05 / 20150067366 - Electronic Apparatus And Information Processing Method | 1 |
Jen-Yuan Lai | TW | Tainan City | 2015-03-05 / 20150067386 - INTEGRATION NETWORK DEVICE AND SERVICE INTEGRATION METHOD THEREOF | 1 |
Chee K. Lai | US | Littleton | 2013-11-07 / 20130296244 - VARIANT OF ANTIHEMOPHILIC FACTOR VIII HAVING INCREASED SPECIFIC ACTIVITY | 1 |
Ya-Chieh Lai | US | Mountain View | 2013-04-04 / 20130086541 - SYSTEM AND METHOD FOR AUTOMATED REAL-TIME DESIGN CHECKING | 1 |
Chung Ping Lai | TW | Hsinchu Hsien | 2011-01-20 / 20110013339 - ASSEMBLY OF MAGNETIC CAPACITOR WITH PACKAGING | 1 |
Wen-Lung Lai | TW | Taoyuan | 2013-04-04 / 20130083503 - PACKAGING SUBSTRATE HAVING A HOLDER, METHOD OF FABRICATING THE PACKAGING SUBSTRATE, PACKAGE STRUCTURE HAVING A HOLDER, AND METHOD OF FABRICATING THE PACKAGE STRUCTURE | 1 |
Chien-Hung Lai | TW | New Taipei City | 2014-06-19 / 20140166503 - METHOD AND DEVICE FOR MEASURING HEMATOCRIT | 1 |
Yan-Da Lai | TW | New Taipei City | 2016-05-12 / 20160130336 - ANTI-VEGF ANTIBODIES AND USE THEREOF | 1 |
Chien-He Lai | TW | Tainan City | 2016-01-28 / 20160026059 - METHOD FOR CONTROL OF ELECTROCHROMIC DEVICE | 1 |
Xiaolue Lai | US | San Jose | 2013-03-28 / 20130080126 - SHOOTING PNOISE CIRCUIT SIMULATION WITH FULL SPECTRUM ACCURACY | 1 |
Feng-Liang Lai | TW | Tainan City | 2015-07-30 / 20150214290 - Semiconductor Device and Method of Manufacture | 2 |
Jiun-Yo Lai | TW | Taichung City | 2013-01-31 / 20130028167 - MULTIPLE-HOP MULTI-INPUT MULTI-OUTPUT AMPLIFY-AND-FORWARD RELAY WIRELESS COMMUNICATION SYSTEM AND METHOD APPLICABLE THERETO | 10 |
Hsincheng Lai | TW | Tainan City | 2016-03-31 / 20160092618 - METHOD FOR REJECTING TUNING DISTURBANCES TO IMPROVE LAMP FAILURE PREDICTION QUALITY IN THERMAL PROCESSES | 1 |
Jhih-Wun Lai | TW | Tainan City | 2014-11-13 / 20140332056 - DEVICE FOR GENERATING ELECTRIC POWER AND ABSORBING HEAT | 1 |
Ming-Derg Lai | TW | Tainan City | 2011-07-07 / 20110166199 - RNAi COMPOUND TARGETED TO THROMBOSPONDIN-1 AND APPLICATIONS THEREOF | 1 |
Pao-Tsung Lai | TW | Tainan City | 2014-09-11 / 20140256129 - SEMICONDUCTOR FILM DEPOSITION APPARATUS AND METHOD WITH IMPROVED HEATER COOLING EFFICIENCY | 1 |
Trevor Lai | US | Wayland | 2015-03-12 / 20150074527 - Remote Control Devices and Related Devices and Systems | 1 |
Chih-Chun Lai | TW | Taipei City 104 | 2010-05-06 / 20100110892 - NETWORK SYSTEM, ADJUSTING METHOD OF DATA TRANSMISSION RATE AND COMPUTER PROGRAM PRODUCT THEREOF | 1 |
Hun-Yeu Lai | TW | New Taipei City | 2014-07-31 / 20140215296 - METHOD AND SYSTEM FOR PRESENTING A WEBPAGE | 1 |
Chi-Fang Lai | TW | New Taipei City | 2013-03-28 / 20130076473 - TRANSFORMER | 2 |
Hau W. Lai | HK | Shatin | 2013-03-28 / 20130076453 - STUB ARRAY MICROSTRIP LINE PHASE SHIFTER | 1 |
Alexin Lai | CN | Chengdu | 2013-03-28 / 20130075031 - Ramped, Variable Power UV Adhesive Cure Process for Improved Alignment | 1 |
Kuo-Chih Lai | TW | Tainan City | 2015-02-19 / 20150050799 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 15 |
Shin-Chi Lai | TW | Tainan City | 2016-05-12 / 20160129906 - AUTOMATIC TRACKIG COLLISION AVOIDANCE SYSTEM AND METHOD THEREOF | 1 |
Jiann-Shiun Lai | TW | New Taipei City | 2016-05-12 / 20160130336 - ANTI-VEGF ANTIBODIES AND USE THEREOF | 4 |
Jenn-Haung Lai | TW | Taipei City | 2013-03-21 / 20130072720 - PHARMACEUTICAL COMPOSITION FOR TREATMENT OF OSTEOARTHRITIS | 1 |
Jiasheng Lai | TW | New Taipei City | 2014-05-29 / 20140147260 - HEAT DISSIPATING FAN | 1 |
Ying Fai Lai | CN | Hong Kong | 2013-03-21 / 20130072082 - TWISTABLE AND CONNECTABLE BLOCK | 1 |
Hsin-Yi Lai | TW | New Taipei City | 2015-01-15 / 20150019852 - VERIFICATION METHOD FOR SYSTEM EXECUTION ENVIRONMENT | 1 |
Stephen William Lai | CA | Calgary | 2016-03-17 / 20160076354 - METHOD AND APPARATUS FOR DETECTING DOWNHOLE TORSIONAL VIBRATION BASED ON MEASUREMENT OF SURFACE TORQUE | 2 |
Jia-Sheng Lai | TW | New Taipei City | 2014-05-29 / 20140147282 - FAN STRUCTURE | 1 |
Po-Hung Lai | TW | New Taipei City | 2014-07-17 / 20140199369 - PHARMACEUTICAL COMPOSITION | 1 |
Erics Lai | US | Menlo Park | 2016-05-05 / 20160128226 - RACK AIRFLOW CONDUIT | 1 |
Xiaokang Lai | CN | Beijing | 2015-01-15 / 20150019149 - Real-time power distribution method and system for lithium battery and redox flow battery energy storage systems hybrid energy storage power station | 1 |
Chun Kit Lai | US | Cupertino | 2016-05-05 / 20160127972 - RECONFIGURABLE ANTENNA SYSTEM | 1 |
Wei-Lieh Lai | TW | New Taipei City | 2015-11-12 / 20150326133 - MULTI-MODE ACTIVE CLAMPING POWER CONVERTER | 4 |
Frederick Chee-Kiong Lai | CA | Halifax | 2015-03-12 / 20150072666 - Teleconferencing with multiple headsets coupled to a single mobile telephone | 1 |
Kuo-Tsai Griffin Lai | US | Allentown | 2013-03-14 / 20130065998 - Silicone Containing Compositions and Uses Thereof | 1 |
Chung-Wen Lai | TW | New Taipei | 2016-05-05 / 20160125810 - ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL STRUCTURE AND DRIVING MECHANISM | 1 |
Jin-Sheng Lai | TW | Taipei | 2014-09-11 / 20140252191 - Lifting Device for Umbrella | 6 |
Wei-Hsiang Lai | TW | Tainan City | 2008-10-23 / 20080260918 - Manufacturing method of three-dimensional food by rapid prototyping | 1 |
Wen-Hsien Lai | TW | Gueishan Hsiang | 2013-11-14 / 20130303072 - COMPUTER CASE WITH VENTILATION AND HEAT DISSIPATION EFFECTS | 1 |
Horng-Goung Lai | TW | Hsin-Chu City | 2013-03-14 / 20130063348 - POINTING DEVICE WITH MULTIPLE VIEW ANGLES | 1 |
Chang-Hsin Lai | TW | New Taipei City | 2014-03-27 / 20140084938 - SENSING ELEMENT AND SIGNAL SENSING DEVICE WITH THE SAME | 2 |
Hau Wah Lai | HK | Shatin | 2013-03-14 / 20130063310 - SYMMETRICAL PARTIALLY COUPLED MICROSTRIP SLOT FEED PATCH ANTENNA ELEMENT | 1 |
Ciro Lai | IT | Trieste | 2011-02-24 / 20110041869 - DENTAL FLOSS PACKAGE | 1 |
June Lai | CN | Hong Kong | 2013-03-14 / 20130063004 - WATERPROOF CASE | 1 |
Chien Wen Lai | TW | Hsinchu City | 2016-03-24 / 20160085906 - Method and Apparatus for Integrated Circuit Mask Patterning | 5 |
Chiang-Wen Lai | TW | Taichung | 2013-03-21 / 20130073225 - PRESSURE GAUGE AND METHOD OF MEASURING PRESSURE | 2 |
Mei-Chun Lai | TW | Zhubei City | 2015-01-15 / 20150016148 - LIGHT GUIDING PLATE AND LIGHT GUIDING DEVICE INCLUDING THE SAME | 1 |
Juin-Yih Lai | TW | Tao-Yuan | 2015-07-16 / 20150197644 - Anti-Biofouling Networks And Applications thereof | 5 |
Juin-Yih Lai | TW | Chung-Li | 2011-11-24 / 20110284743 - Method for Characterizing a Membrane in a Wet Condition By Positron Annihilation Spectrometer and Sample Holder Thereof | 1 |
Juin-Yih Lai | TW | Zhongli City | 2013-11-21 / 20130306560 - PVDF MEMBRANES HAVING A SUPERHYDROPHOBIC SURFACE | 1 |
Da-Wei Lai | SG | Singapore | 2015-11-26 / 20150340481 - LATCH-UP ROBUST SCR-BASED DEVICES | 26 |
Angela Lai | AU | New South Wales | 2011-04-28 / 20110098200 - Methods using dsdna to mediate rna interference (rnai) | 1 |
Benjamin Lai | US | San Diego | 2015-01-22 / 20150024476 - CELL SORTING SYSTEM AND METHODS | 3 |
King-Chung Lai | US | San Diego | 2010-03-04 / 20100054621 - DUAL LOOKUP TABLE DESIGN FOR EDGE-DIRECTED IMAGE SCALING | 2 |
King-Chuang Lai | US | San Diego | 2010-04-01 / 20100080459 - CONTENT ADAPTIVE HISTOGRAM ENHANCEMENT | 1 |
Yuping Lai | US | San Diego | 2010-07-01 / 20100166708 - ANTIMICROBIAL AND ANTI-INFLAMMATORY THERAPIES AND COMPOSITIONS | 1 |
Andiliy G. Lai | US | San Diego | 2015-09-03 / 20150246042 - RAF KINASE MODULATOR COMPOUNDS AND METHODS OF USE THEREOF | 10 |
Jing-Yu Lai | US | San Diego | 2015-02-26 / 20150057431 - COMPOSITIONS FOR TREATING A DISEASE OR CONDITION ASSOCIATED WITH ABNORMAL ANGIOGENESIS | 6 |
Qing Lai | CN | Guangdong | 2015-01-15 / 20150016002 - POWER BATTERY ASSEMBLY AND ELECTRIC VEHICLE COMPRISING THE SAME | 1 |
Wei-Hsiang Lai | TW | Tainan | 2009-05-21 / 20090126343 - Internal Detonation Reciprocating Engine | 2 |
Henry Lai | TW | New Taipei City | 2013-11-21 / 20130309930 - FABRIC STRUCTURE | 1 |
Fei-Lung Lai | TW | New Taipei City | 2015-03-19 / 20150079704 - FLUID DEPOSITION APPARTUS AND METHOD | 8 |
Cheng-Wei Lai | TW | New Taipei City | 2013-11-21 / 20130307361 - FAN MOTOR SILICON STEEL SHEET STRUCTURE AND FAN MOTOR THEREOF | 1 |
Chiou-Chu Lai | TW | Hsinchu County | 2015-08-27 / 20150243939 - CAP ASSEMBLY FOR BATTERY | 10 |
Hsing-Wen Lai | TW | Hsinchu County | 2009-01-22 / 20090021910 - ELECTRONIC APPARATUS HAVING A DETACHABLE FILTER | 1 |
Liang-Chuan Lai | TW | Hsinchu County | 2009-01-29 / 20090026525 - MEMORY AND METHOD FOR FABRICATING THE SAME | 2 |
Chin-Nan Lai | TW | Tapei | 2009-12-10 / 20090303672 - CABLE BOX AND PERSONAL COMPUTER HAVING SAME | 1 |
Meng-Hsiang Lai | TW | Hsinchu County | 2010-08-26 / 20100214066 - Chip and Transmitter for Wireless Communication System | 1 |
Tsung-Mu Lai | TW | Hsinchu County | 2014-12-11 / 20140361358 - NONVOLATILE MEMORY STRUCTURE | 6 |
Wei-Jen Lai | TW | Hsinchu County | 2013-03-14 / 20130063979 - ELECTRICAL CONNECTOR AND BACKLIGHT MODULE USING THE SAME | 2 |
Rixin Lai | US | Clifton Park | 2016-03-10 / 20160072375 - SYSTEM AND METHOD FOR SERIES CONNECTING ELECTRONIC POWER DEVICES | 16 |
Chi-Chang Lai | TW | Hsinchu County | 2016-04-21 / 20160110203 - COMPUTER SYSTEM FOR NOTIFYING SIGNAL CHANGE EVENT THROUGH CACHE STASHING | 11 |
Bo Shiun Lai | US | Chicago | 2013-02-28 / 20130053427 - Conjugate constructs, delivery, and use for treatment of disease | 1 |
Chia-Liang Lai | TW | Hsinchu County | 2016-03-24 / 20160087636 - CLOCK GENERATING APPARATUS AND FRACTIONAL FREQUENCY DIVIDER THEREOF | 2 |
Chia-Liang Lai | TW | New Taipei City | 2016-03-31 / 20160089034 - MEASUREMENT DEVICE WITH ELECTROENCEPHALOGRAPHY AND ELECTROCARDIOGRAPHY FUNCTIONALITIES | 5 |
Bin Lai | CN | Fuzhou | 2016-02-18 / 20160048229 - TOUCH PANEL WITH FLEXIBLE TOUCH SENSOR AND METHOD FOR MANUFACTURING THE SAME | 8 |
Tai-Hsiang Lai | TW | Hsinchu City | 2014-01-30 / 20140027856 - ELECTROSTATIC DISCHARGE PROTECTION DEVICE | 4 |
Chin-Shan Lai | TW | Miaoli County | 2013-10-24 / 20130280800 - Filter Test Strip | 2 |
Tim Hing Lai | SG | Singapore | 2016-05-05 / 20160125583 - SYSTEMS AND METHODS FOR AUTOMATICALLY VERIFYING CORRECT DIE REMOVAL FROM FILM FRAMES | 1 |
Hanh Lai | US | Arlington | 2016-05-05 / 20160123942 - GAS CURTAIN AT INLET FOR TRACE DETECTORS | 1 |
Pin-Chen Lai | TW | Taoyuan County | 2016-05-05 / 20160123652 - AIR CONDITIONER VENTILATION AND PRE-COOLING DEVICE | 1 |
Ming-Sheng Lai | TW | Hsin-Chu City | 2010-12-16 / 20100315374 - DISPLAY DEVICE AND METHOD OF APPLYING THE SAME | 3 |
Kuo-Ping Lai | TW | Changhua | 2012-08-30 / 20120217858 - Drawer of computer desk | 1 |
Ming-Sheng Lai | TW | Hsinchu | 2014-09-18 / 20140267442 - METHOD AND APPARATUS FOR CONVERTING RGB DATA SIGNALS TO RGBW DATA SIGNALS IN AN OLED DISPLAY | 8 |
Kok-Keong Lai | MY | Kuala Lumpur | 2013-02-21 / 20130046913 - MULTIMEDIA STORAGE CARD SYSTEM | 1 |
Yao-Chun Lai | TW | New Taipei City | 2014-06-19 / 20140166503 - METHOD AND DEVICE FOR MEASURING HEMATOCRIT | 1 |
Jian Ping Lai | US | Wallingford | 2014-04-03 / 20140093927 - Variant Sucrose Transporter Polypeptides That Enable Faster Sucrose Utilization In Bacteria | 3 |
Joseph Lai | US | San Pedro | 2016-05-05 / 20160125563 - System and Method for Smart Passenger and Freight Transportation | 2 |
Shih-Hsiang Lai | TW | New Taipei City | 2016-05-05 / 20160122866 - EVAPORATION SYSTEM AND EVAPORATION METHOD | 1 |
Chun-Cheng Lai | TW | Miaoli | 2013-02-21 / 20130043985 - MOTOR CONTROL SYSTEM AND THE METHOD OF CONTROLLING MOTOR | 1 |
Yi-Ting Lai | TW | Banciao City | 2009-06-11 / 20090145776 - PENICILLIN G BIOSENSOR, SYSTEMS COMPRISING THE SAME, AND MEASUREMENT USING THE SYSTEMS | 1 |
Yongwen Lai | CN | Zijin County | 2015-02-05 / 20150037148 - FAN AND MOTOR MECHANISM THEREOF | 1 |
Chen-Wei Lai | TW | Taoyuan | 2014-05-15 / 20140131179 - Multi-Level Rotary Switch | 1 |
Ken Kaung Lai | US | San Jose | 2014-05-15 / 20140130739 - ATOMIC LAYER DEPOSITION APPARATUS | 2 |
Szu-Wei Lai | TW | Miao-Li County | 2013-08-22 / 20130215050 - COMPOSITE LAYER STRUCTURE AND TOUCH DISPLAY DEVICE HAVING THE SAME THEREOF | 2 |
Ching-Ming Lai | TW | Taipei | 2013-05-23 / 20130127248 - ALTERNATING CURRENT (AC) TO DIRECT CURRENT (DC) CONVERTER DEVICE | 3 |
Chin-Nan Lai | TW | New Taipei City | 2013-02-14 / 20130037701 - STANDBY CIRCUIT | 1 |
Kung-Lung Lai | TW | Taichung | 2014-07-03 / 20140187387 - Stair Exerciser Apparatus | 1 |
Kuo-Ming Lai | TW | Taichung | 2014-07-31 / 20140213675 - BIOPOLYMERIC MATERIAL INCLUDING MODIFIED NATURAL FIBRES AND THE METHOD FOR MANUFACTURING THE SAME | 1 |
Jian-An Andy Lai | US | Troy | 2013-02-07 / 20130034502 - Color Changing Cosmetic | 1 |
Jay Lai | TW | Taoyuan City | 2014-12-25 / 20140376858 - Self-Alignment Due to Wettability Difference of an Interface | 2 |
Jun-Liang Lai | TW | Zhubei | 2016-05-19 / 20160143141 - MULTILAYER CIRCUIT BOARD | 7 |
Jun-Liang Lai | TW | Hsinchu Hsiang | 2009-01-08 / 20090009198 - PROBING DEVICE | 1 |
Karl Lai | HK | Tal Po | 2008-10-16 / 20080250825 - Security padlock having a secondary locking system | 1 |
Anthony Wai Yuen Lai | HK | Tokwawan | 2008-10-23 / 20080259506 - ESD, cross talk and noise pickup minimizing scheme for CPP and TMR devices | 1 |
Kuan-Ying Lai | TW | Chiayi City | 2015-01-15 / 20150018755 - SUBSTANCE DELIVERY DEVICE AND SUBSTANCE DELIVERY METHOD USING THE SAME | 1 |
Kok Vui Lai | HK | Shatin | 2009-01-01 / 20090003949 - Chuck Assembly | 1 |
Wing Chiu Derek Lai | HK | Kwai Chung | 2009-08-06 / 20090195088 - APPARATUS FOR GENERATING AMPLIFIED COOLING AIR FLOWS | 1 |
Yijian Lai | CN | Shanghai | 2015-05-14 / 20150133568 - METHOD FOR PREPARING GRAPHENE FROM BIOMASS-DERIVED CARBONACEOUS MESOPHASE | 4 |
Ching Lung Lai | HK | Hong Kong Sar | 2009-10-08 / 20090253123 - Hepatitis B variants with reduced sensitivity to therapeutic compounds, their detection and uses thereof | 1 |
Alex C. Lai | US | Menlo Park | 2014-12-25 / 20140379741 - IDENTIFYING ENTITIES BASED ON FREE TEXT IN MEMBER RECORDS | 1 |
Wai-Yan Stephen Lai | HK | Kowloon | 2009-11-12 / 20090278786 - Methods and Apparatus of Dynamic Backlight Control | 1 |
Karl Lai | HK | Hong Kong | 2014-12-04 / 20140352371 - COMBINATION KEY LOCK BOX WITH ANTI-PICK MECHANISM | 8 |
Chen-Wei Lai | TW | Kaohsiung Hsien | 2008-10-23 / 20080259471 - Variable focus device | 1 |
Chunqui Lai | US | Libertyville | 2013-01-17 / 20130018045 - New Chemical Entities To Be Used For Wee1 Inhibition For The Treatment Of Cancer | 1 |
Karl Lai | HK | Tai Po | 2016-04-14 / 20160102476 - COMBINATION PADLOCK WITH ANTI-PICK AND ANTI-PEEK MECHANISM | 5 |
Eric Lai | HK | Tai Po | 2010-04-22 / 20100095718 - High security, dual-mode padlock construction | 2 |
Yuk Shing Lai | HK | Homantin | 2010-09-16 / 20100232780 - POSITIONING DEVICE FOR A REFERENCE SURFACE | 1 |
Yuk Shing Lai | HK | Kowloon | 2011-12-22 / 20110311210 - HIGHLY TACTILE SHUTTER RELEASE | 4 |
Wai Fong Lai | HK | New Territories | 2010-12-02 / 20100302770 - Light Tube | 1 |
Hau Wah Lai | HK | Lam Tin | 2010-12-30 / 20100328171 - Rollable and/or Foldable Antenna Systems and Methods for Use Thereof | 1 |
Hon Keung Lai | HK | Ma On Shan | 2011-01-06 / 20110000503 - ACOUSTIC CLEANING SYSTEM FOR ELECTRONIC COMPONENTS | 2 |
Tsung-Wei Lai | TW | Taoyuan City | 2014-07-31 / 20140215453 - METHODS FOR APPLICATION MANAGEMENT IN AN ELECTRONIC DEVICE SUPPORTING HARDWARE ACCELERATION | 1 |
Chin-Chun Lai | TW | Taoyuan Hsien | 2011-05-12 / 20110110800 - FAN AND THREE-PHASE MOTOR THEREOF | 1 |
Yuan-Fang Lai | TW | Taoyuan Hsien | 2012-05-03 / 20120104857 - HIGH-VOLTAGE POWER SUPPLY MODULE AND POWER SUPPLY SYSTEM | 6 |
Yu-Shan Lai | TW | Taoyuan Hsien | 2010-05-20 / 20100125350 - PROJECTION APPARATUS AND PROJECTION SYSTEM COMPRISING THE SAME | 1 |
Ching-Ming Lai | TW | Taichung City | 2013-01-17 / 20130016547 - Simplified Multilevel DC Converter Circuit StructureAANM Liao; Yi-HungAACI Erlun TownshipAACO TWAAGP Liao; Yi-Hung Erlun Township TWAANM Lai; Ching-MingAACI Taichung CityAACO TWAAGP Lai; Ching-Ming Taichung City TW | 1 |
Kuek Peow Lai | SG | Singapore | 2013-01-17 / 20130016404 - SYSTEM AND METHOD FOR EDGE IDENTIFICATION TO POSITION COMPONENTS OF A SCANNERAANM YAP; CHOON HWEEAACI SingaporeAACO SGAAGP YAP; CHOON HWEE Singapore SGAANM TAN; LIAN CHYE SIMONAACI SingaporeAACO SGAAGP TAN; LIAN CHYE SIMON Singapore SGAANM LAI; KUEK PEOWAACI SingaporeAACO SGAAGP LAI; KUEK PEOW Singapore SG | 2 |
Hsiang-Ling Lai | TW | Miaoli County | 2013-12-05 / 20130323360 - PROBIOTICS-CONTAINING SOYBEAN OLIGOSACCHARIDE PRODUCT AND PREPARATION THEREOF | 1 |
Jong-Yen Lai | TW | Taoyuan Hsien | 2008-12-04 / 20080295888 - CONCENTRATION PHOTOVOLTAIC MODULE | 1 |
Cheng-Chung Lai | TW | Taichung | 2013-01-17 / 20130015270 - Finger-operated switchAANM Lai; Cheng-ChungAACI TaichungAACO TWAAGP Lai; Cheng-Chung Taichung TW | 1 |
Chi Yuan Lai | TW | Taoyuan Hsien | 2008-09-18 / 20080223565 - Flow distributor for heat transfer device | 1 |
Yu-Chun Lai | TW | Taoyuan Hsien | 2012-12-27 / 20120326820 - MAGNETIC UNIT | 4 |
Chien-Fa Lai | TW | Taichung City | 2013-01-17 / 20130014654 - Reverse Tension Mechanism for a Strapping MachineAANM Lai; Chien-FaAACI Taichung CityAACO TWAAGP Lai; Chien-Fa Taichung City TW | 2 |
Vincent Lai | TW | Taoyuan Hsien | 2008-12-25 / 20080315732 - Electrically operated lifting cover device for a host computer | 2 |
Bao-Kun Lai | TW | Taoyuan Hsien | 2008-11-20 / 20080282484 - Reactive yellow dye composition | 1 |
Chien-Pan Lai | TW | New Taipei | 2016-03-03 / 20160062996 - MULTIMEDIA EQUIPMENT AND METHOD FOR HANDLING MULTIMEDIA SITUATION | 4 |
Hsin-Chang Lai | TW | New Taipei | 2015-03-26 / 20150089210 - ELECTRONIC DEVICE AND LOW BATTERY BOOT-UP METHOD | 2 |
Che-Yi Lai | TW | New Taipei City | 2013-01-24 / 20130021212 - EXTERNAL CASING STRUCTURE FOR PROVIDING AN ANTENNA FUNCTION | 1 |
Yi-An Lai | TW | New Taipei City | 2013-01-24 / 20130020337 - CONTAINER SEAL | 1 |
Yu-Cheng Lai | TW | Tainan City | 2013-01-24 / 20130020186 - TOUCH SENSING APPARATUS | 1 |
Te-Hsien Lai | TW | Banciao City | 2012-01-26 / 20120023210 - SERVER SYSTEM AND OPERATION METHOD THEREOF | 1 |
Qing Lai | CN | Shenzhen | 2014-07-17 / 20140197777 - ELECTRICITY SUPPLY SYSTEM | 11 |
Wen-Liang Lai | TW | Pingtung County | 2015-04-02 / 20150090902 - Method for Analyzing Mushrooms | 1 |
Canfeng Lai | US | Fremont | 2015-11-19 / 20150332941 - METHODS AND APPARATUS FOR PROCESSING SUBSTRATES USING AN ION SHIELD | 10 |
Lu-Ming Lai | TW | Kaohsiung | 2015-04-02 / 20150091108 - PACKAGE STRUCTURE AND MANUFACTURING METHOD THEREOF | 1 |
Wei-Han Lai | US | Erie | 2015-04-02 / 20150092972 - FUNCTIONAL HEADWEAR | 1 |
Jennifer Lai | AU | Brighton | 2015-04-02 / 20150095250 - SUPPORTING INFORMATION TRANSFER DURING ORGANIZATIONAL CHANGES | 1 |
David C. Lai | US | Mountain View | 2010-12-23 / 20100325432 - COUNTERFEIT PREVENTION STRATEGY FOR PLUGGABLE MODULES | 2 |
Peng Hsiang Lai | HK | Wanchai | 2016-05-05 / 20160123573 - Luminous Floor | 1 |
Jackie Lai | US | Mountain View | 2008-09-04 / 20080210474 - Motor vehicle having a touch screen | 1 |
Angela C.w. Lai | US | Mountain View | 2016-05-19 / 20160142460 - DISTRIBUTED ON-DEMAND MEDIA TRANSCODING SYSTEM AND METHOD | 5 |
Ting-Yuan Lai | TW | New Taipei | 2014-06-19 / 20140165458 - SOLID MEDIUM OF ANTRODIA CINNAMOMEA AND METHOD OF CULTURING ANTRODIA CINNAMOMEA | 1 |
Jun-Liang Lai | TW | Hsinchu | 2014-08-21 / 20140232421 - Probe card of low power loss | 1 |
Willy Lai | US | Palo Alto | 2015-04-02 / 20150095777 - INITIALLY ESTABLISHING AND PERIODICALLY PREFETCHING DIGITAL CONTENT | 1 |
Calbert Lai | US | Mountain View | 2015-12-17 / 20150363564 - Remote Medical Analysis | 2 |
Angela Chunwah Lai | US | Mountain View | 2015-09-17 / 20150262250 - HYBRID ADVERTISING CAMPAIGN | 3 |
Hung-Chung Lai | TW | Taipei | 2014-08-21 / 20140235455 - USE OF HOXA9 GENE AS A BIOMARKER FOR THE DETECTION OF HEPATOCELLULAR CARCINOMA | 1 |
Wei-Chu Lai | TW | Yilan County | 2014-08-07 / 20140222420 - DATA PROCESSING METHOD THAT SELECTIVELY PERFORMS ERROR CORRECTION OPERATION IN RESPONSE TO DETERMINATION BASED ON CHARACTERISTIC OF PACKETS CORRESPONDING TO SAME SET OF SPEECH DATA, AND ASSOCIATED DATA PROCESSING APPARATUS | 1 |
Bruce Lai | TW | Taipei City | 2013-01-10 / 20130008988 - PORTABLE GRINDING DEVICE | 1 |
Peter Lai | US | Mountain View | 2014-05-15 / 20140132622 - MULTI-LAYERED SLIDE TRANSITIONS | 3 |
Hsiu-Chen Lai | US | Diamond Bar | 2015-04-09 / 20150096953 - TOOL-FREE DIY SHELVE | 1 |
Ying-Liang Lai | TW | Taichung City | 2014-01-02 / 20140000417 - Metric and English Socket Wrench | 2 |
Horng-Cherng Lai | TW | Taoyuan County | 2014-05-29 / 20140145927 - Method for Providing Identification Information and Related Electronic Device | 1 |
Cheng-Jia Lai | US | Mountain View | 2011-12-15 / 20110305170 - SWITCHABLE CONFERENCE MULTICAST STREAMING WITH DYNAMIC ASYMMETRY | 4 |
Sujen Lai | US | Boston | 2016-05-19 / 20160137667 - Piperidinone Derivatives as MDM2 Inhibitors for the Treatment of Cancer | 1 |
Wei-Jen Lai | TW | Tucheng City | 2012-07-26 / 20120189958 - IMAGE TRANSFER PROCESS | 2 |
Cheng-Shun Lai | TW | Tainan City | 2013-01-10 / 20130012464 - GLYCYRRHIZINATES OF MORPHINAN DERIVATIVES | 1 |
Cheng-Shun Lai | TW | Sinying City | 2009-12-24 / 20090318489 - Aporphine compounds and pharmaceutical use thereof | 2 |
Liang-Wei Lai | TW | Taoyuan County | 2014-06-05 / 20140150824 - AIR-BLOWN CLEANING SYSTEM FOR PHOTOMASKS AND METHOD THEREOF | 1 |
Ping-Chi Lai | TW | Taoyuan County | 2014-12-18 / 20140369482 - COMMUNICATION ADMINISTRATION SYSTEM | 4 |
Chao-Rong Lai | CN | Shenzhen | 2014-11-06 / 20140326486 - PRINTED CIRCUIT BOARD | 5 |
Jing Lai | CN | Shenzhen | 2016-01-07 / 20160007067 - Live System, Method Based On Mobile Terminal And Mobile Terminal | 3 |
Yizhe Lai | CN | Shenzhen | 2014-05-08 / 20140129228 - Method, System, and Relevant Devices for Playing Sent Message | 1 |
Yi-Hsuan Lai | TW | New Taipei City | 2015-04-09 / 20150097781 - Multifunction electronic device | 1 |
Zhiyong Lai | CN | Shenzhen | 2014-05-22 / 20140143277 - METHOD AND DEVICE FOR MATCHING FRIEND RELATIONSHIP CHAIN IN INSTANT MESSAGING TOOL | 3 |
Sanjaya Lai | US | San Francisco | 2016-02-25 / 20160057148 - Computer Implemented Methods And Apparatus For Providing Access To An Online Social Network | 2 |
Huafang Lai | US | Chandler | 2012-12-27 / 20120329994 - Production of a Monoclonal Antibody Therapeutic Against West Nile Virus in Plants | 1 |
Jin-Wang Lai | US | Springborro | 2012-04-19 / 20120093938 - ORALLY DISINTEGRATING TABLETS COMPRISING DIPHENHYDRAMINE | 6 |
Jin-Wang Lai | US | Springboro | 2015-01-29 / 20150030676 - STABLIZED MODIFIED RELEASE FOLIC ACID DERIVATIVE COMPOSITION, ITS THERAPEUTIC USE AND METHODS OF MANUFACTURE | 13 |
Chron-Si Lai | US | Blacklick | 2016-02-04 / 20160029681 - METHOD OF ENHANCING BIOAVAILABILITY OF DHA AND OTHER LIPID-SOLUBLE NUTRIENTS | 21 |
Ya-Chieh Lai | US | Sunnyvale | 2012-10-25 / 20120272201 - METHOD AND SYSTEM FOR MODEL-BASED DESIGN AND LAYOUT OF AN INTEGRATED CIRCUIT | 5 |
Chiukin Steve Lai | US | Sunnyvale | 2011-05-05 / 20110104897 - CONTACT CLEAN BY REMOTE PLASMA AND REPAIR OF SILICIDE SURFACE | 2 |
Yen-Shin Lai | TW | Taipei | 2014-06-26 / 20140177281 - POWER CONVERTING SYSTEM AND CONTROL METHOD THEREOF | 2 |
Wei-Jen Lai | TW | Yilan County | 2011-01-20 / 20110012571 - LOAD DRIVING APPARATUS | 1 |
Jung-Pin Lai | TW | New Taipei City | 2013-05-30 / 20130138237 - METHOD FOR OPERATING AN AUTOMATIC HANDLING SYSTEM APPLIED TO MANY WAFER PROCESSING APPARATUSES | 2 |
John T. Lai | US | Broadview Heights | 2009-01-01 / 20090005529 - S-S'-bis-(Alpha, Alpha'-Disubstituted-Alpha"-Acetic Acid) - Trithiocarbonates and Derivatives as Initiator - Chain Transfer Agent - Terminator for Controlled Radical Polymerizations and the Process for Making the Same | 1 |
John Ta-Yuan Lai | US | Broadview Heights | 2016-03-10 / 20160068620 - Itaconic Acid Polymers | 10 |
Michael Lai | US | Sunnyvale | 2012-05-03 / 20120110561 - STRUCTURE LAYOUT OPTIMIZATIONS | 2 |
Li-Ching Lai | US | Upper Arlington | 2011-01-06 / 20110003339 - Pathogenic Escherichia Coli Associated Protein | 1 |
Yu-Ju Lai | CN | Taipei | 2012-12-20 / 20120321731 - PHARMACEUTICAL COMPOSITION FOR TREATING DIABETES | 1 |
Paul Cheuk Wai Lai | CN | Hong Kong | 2014-08-28 / 20140242241 - BEVERAGE SYSTEM WITH AERATION | 1 |
Chiukin (steven) Lai | US | Sunnyvale | 2016-02-25 / 20160056077 - METHOD FOR VOID-FREE COBALT GAP FILL | 5 |
Changcai Lai | CN | Shenzhen | 2013-01-03 / 20130003832 - Simplified Bilateral Intra Smoothing Filter | 2 |
Qifeng Lai | CN | Beijing | 2014-12-04 / 20140357296 - LOCATING METHOD AND LOCATING SYSTEM | 4 |
Erh-Kun Lai | TW | Hsinchu | 2015-05-21 / 20150137250 - STRING SELECT LINE (SSL) OF THREE-DIMENSIONAL MEMORY ARRAY AND METHOD OF FABRICATING THE SAME | 5 |
Po-Yao Lai | TW | Industrial Park | 2010-08-26 / 20100215931 - ITO layer structure | 1 |
Zheren Lai | CN | Shanghai | 2013-12-12 / 20130328854 - OUTPUT SHORT CIRCUIT PROTECTION FOR DISPLAY BIAS | 1 |
Jui Hsieh Lai | TW | Taoyuan City | 2016-04-28 / 20160116335 - Optical Spectroscopy Device, Process of Making the Same, and Method of Using the Same | 15 |
Chien-Kuang Lai | TW | Taoyuan | 2014-05-08 / 20140124245 - EMBEDDED PRINTED CIRCUIT BOARD AND METHOD FOR MANUFACTURING SAME | 4 |
Erh-Kun Lai | TW | Taichung | 2011-01-06 / 20110003446 - Semiconductor Device and Method for Manufacturing the Same | 7 |
Wei-Chih Lai | TW | Hsinchu City | 2015-04-16 / 20150102472 - SEMICONDUCTOR DEVICE WITH SHIELDING LAYER IN POST-PASSIVATION INTERCONNECT STRUCTURE | 1 |
Chih-Chang Lai | TW | Tai Ping City, Tai Chung County | 2015-04-16 / 20150103044 - CAPACITIVE TOUCH PANEL | 1 |
Chih-Sung Lai | TW | New Taipei City | 2014-04-03 / 20140093165 - METHOD AND APPARATUS FOR RECOGNIZING COLOR | 3 |
Yvonne Lai | US | Bloomington | 2015-04-16 / 20150105324 - COMPOSITIONS AND METHODS FOR TREATING PTSD AND RELATED DISEASES | 1 |
Yi-An Lai | TW | Taoyuan Shien | 2014-07-03 / 20140185218 - TABLET COMPUTER | 1 |
Chin-Chuan Lai | TW | Hsinchu | 2015-11-05 / 20150316828 - ELECTROPHORETIC DISPLAY | 2 |
Ying Hoi Lai | CN | Hong Kong | 2012-12-06 / 20120306042 - MgS Solar-Blind UV Radiation Detector | 1 |
Yi-Hsien Lai | TW | Kaohsiung City | 2012-12-06 / 20120306022 - Metal oxide semiconductor transistor layout with higher effective channel width and higher component density | 1 |
Zhiping Lai | SA | Thuwal | 2015-06-04 / 20150151281 - MULTI-METALLIC NANOMATERIALS FROM NI, AG, PD WITH PT'S CATALYTIC ACTIVITY | 3 |
Yung-Hsiao Lai | US | Fremont | 2015-08-06 / 20150222910 - ON-DEVICE MULTIPLEXING OF STREAMING MEDIA CONTENT | 9 |
Jing Lai | CN | Shenzhen City | 2014-05-29 / 20140147101 - IMAGE ROTATION METHOD AND SYSTEM FOR VIDEO PLAYER | 1 |
Xiuxing Lai | CN | Dong Guan | 2012-12-06 / 20120304711 - Combination and key operated locks with indicators | 1 |
Tung-Lung Lai | TW | New Taipei City | 2016-05-12 / 20160134767 - METHOD FOR APPLYING CLOUD-BASED TIME-LAPSE IMAGING SYSTEMS | 1 |
Erh-Kun Lai | TW | Taichung City | 2016-04-21 / 20160111366 - SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD OF THE SAME | 18 |
Kao-Ting Lai | TW | Hsin-Chu | 2014-01-09 / 20140008736 - FinFET with High Mobility and Strain Channel | 2 |
Jin-Mei Lai | TW | Taipei | 2012-11-29 / 20120302624 - BIOMARKER FOR IDENTIFYING SUBGROUP OF EARLY-STAGE LUNG ADENOCARCINOMA PATIENTS | 1 |
Yu-Tsung Lai | TW | Tainan City | 2014-11-27 / 20140349476 - MANUFACTURING METHOD FOR FORMING A SEMICONDUCTOR STRUCTURE | 3 |
Ching-Juh Lai | US | Bethesda | 2016-05-19 / 20160137722 - HUMANIZED MONOCLONAL ANTIBODIES THAT SPECIFICALLY BIND AND/OR NEUTRALIZE JAPANESE ENCEPHALITIS VIRUS (JEV) AND THEIR USE | 8 |
Ping-Hsu Lai | TW | Taoyuan County | 2014-01-30 / 20140027261 - CAPACITIVE TOUCH CONTROL SENSOR | 4 |
Sookmee Lai | JP | Kakegawa | 2014-06-05 / 20140154624 - POSITIVE WORKING PHOTOSENSITIVE MATERIAL | 2 |
Sookmee Lai | JP | Shizuoka-Ken | 2013-12-19 / 20130337380 - POSITIVE PHOTOSENSITIVE MATERIAL | 1 |
Chien-Wen Lai | TW | New Taipei City | 2014-07-31 / 20140209444 - TOUCH PANEL | 8 |
Chih-Feng Lai | TW | Changhua City | 2012-11-29 / 20120297938 - PLIERS WITH QUICKLY ADJUSTABLE GRIPPING JAWS | 1 |
Hsiang-Yuan Lai | TW | Bade City | 2013-05-23 / 20130126637 - ATOMIZER WITH LIQUID SUPPLEMENT CONTAINER | 1 |
Yi-Ru Lai | TW | New Taipei | 2014-08-07 / 20140223551 - ELECTRONIC DEVICE AND CONTROL METHOD FOR ELECTRONIC DEVICE | 8 |
Albert J. Lai | US | San Francisco | 2015-04-23 / 20150112925 - MAINTAINING CHANNELS LISTS | 1 |
Jennifer Lai | US | Garrison | 2015-05-14 / 20150134736 - METHOD, FRAMEWORK, AND PROGRAM PRODUCT FOR FORMATTING AND SERVING WEB CONTENT | 12 |
Dennis Sai Kit Lai | HK | Wanchai | 2012-11-22 / 20120296454 - SYSTEM AND METHOD FOR ANALYZING POSTURES | 1 |
Chia Yin Kevin Lai | US | Portland | 2014-07-10 / 20140195790 - PROCESSOR WITH SECOND JUMP EXECUTION UNIT FOR BRANCH MISPREDICTION | 2 |
Shih-Lun Lai | TW | Hsinchu County | 2012-11-22 / 20120293759 - SWITCHABLE THREE-DIMENSIONAL DISPLAY | 1 |
Zhiguo Lai | US | Acton | 2016-03-31 / 20160094826 - ANALOG IMAGE ALIGNMENT | 9 |
Chun-Hung Lai | TW | Kaohsiung | 2015-04-23 / 20150113228 - PROCESSOR, CACHE MEMORY OF THE PROCESSOR AND CONTROL METHOD OF THE PROCESSOR | 1 |
Yu-Jun Lai | TW | Taipei City | 2012-11-22 / 20120292529 - STROBOSCOPIC OPTICAL IMAGE MAPPING SYSTEM | 1 |
Tso-Liang Lai | TW | Taichung City | 2012-11-22 / 20120292306 - Floor-Heating Apparatus | 1 |
Cheng-Wei Lai | TW | Taichung | 2013-12-05 / 20130319714 - Pneumatic Driven Wrench | 5 |
Li-Yun Lai | TW | Panchiao | 2012-07-19 / 20120184143 - SECURING STRUCTURE OF A COVER FOR AN ELECTRIC CONNECTOR | 1 |
Lung-Kuan Lai | TW | Taoyuan County | 2015-08-27 / 20150243860 - LIGHT-EMITTING DEVICE | 2 |
Brooke Mesler Lai | US | Fremont | 2013-12-26 / 20130340942 - EDGE SEAL FOR LOWER ELECTRODE ASSEMBLY | 1 |
Chun-Ming Lai | TW | Taoyuan County | 2015-10-22 / 20150298152 - Spray Coating System with Constant Pressure and Stirring Function | 2 |
Hsien Hui Lai | TW | Hsin-Chu City | 2012-11-15 / 20120287345 - System and Method for Automatically Tuning Video Signals | 1 |
Rongfeng Lai | CN | Beijing | 2015-04-16 / 20150106702 - Cross-Lingual Input Method Editor | 2 |
Chi-Ching Lai | TW | Taichung City | 2015-04-30 / 20150119285 - MAGNETIC-ASSISTED RAPID APTAMER SELECTION METHOD FOR GENERATING HIGH AFFINITY DNA APTAMER | 1 |
Bor-Wen Lai | TW | Hsinchu County | 2015-04-30 / 20150115367 - SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF | 1 |
Li-Shyue Lai | TW | Hsin-Chu | 2015-04-30 / 20150115372 - METAL GATE FINFET DEVICE | 1 |
Chi-Tung Lai | TW | Hsinchu | 2015-04-30 / 20150117135 - SLURRY FEED SYSTEM AND METHOD OF PROVIDING SLURRY TO CHEMICAL MECHANICAL PLANARIZATION STATION | 1 |
Wei-Yu Lai | TW | Hsinchu City | 2015-04-30 / 20150117986 - MECHANISMS FOR CHRAGING GAS INTO CASSETTE POD | 1 |
Yin Man Lai | HK | Hkust | 2015-04-30 / 20150118409 - RELEASE FILM WITH ENHANCED MECHANICAL PROPERTIES AND METHOD IN PREPARING THEREOF | 1 |
Wing Cheong Lai | HK | Hung Hom | 2015-04-30 / 20150118409 - RELEASE FILM WITH ENHANCED MECHANICAL PROPERTIES AND METHOD IN PREPARING THEREOF | 1 |
Ching-Wen Lai | TW | Hsinchu City | 2008-12-25 / 20080320199 - MEMORY AND CONTROL APPARATUS FOR DISPLAY DEVICE, AND MEMORY THEREFOR | 1 |
Hsiang-Ling Lai | TW | Hsinchu City | 2014-03-06 / 20140066361 - PEPTIDES AND USE THEREOF IN THE INHIBITION OF ANGIOTENSIN CONVERTING ENZYME | 3 |
Chi Shao Lai | TW | Hsinchu City | 2016-04-14 / 20160103619 - PROCESSOR AND METHOD FOR ACCESSING MEMORY | 3 |
Yu-Sheng Lai | TW | Hsinchu City | 2012-09-13 / 20120229106 - REGULATOR | 4 |
Yi-Ying Lai | TW | Hsinchu City | 2010-06-10 / 20100142048 - LIGHT SOURCE MODULE FOR GENERATING POLARIZED LIGHT | 1 |
Shang-Hong Lai | TW | Hsinchu City | 2015-05-21 / 20150138185 - Method for building a three-dimensional model and apparatus thereof | 8 |
Chao-Hsu Lai | TW | Hsinchu City | 2010-12-09 / 20100308348 - LIGHT-EMITTING DEVICE AND THE MANUFACTURING METHOD THEREOF | 1 |
Kao-Ting Lai | TW | Hsinchu City | 2013-06-27 / 20130161650 - DEVICE WITH SELF ALIGNED STRESSOR AND METHOD OF MAKING SAME | 2 |
Wan-Chen Lai | TW | Hsinchu City | 2009-02-26 / 20090052483 - OPTOELECTRONIC SEMICONDUCTOR PACKAGE AND METHOD FOR ATTACHING HEAT DISSIPATION ELEMENT THERETO | 1 |
Sheng-Tang Lai | TW | Hsinchu City | 2010-04-22 / 20100097710 - FIXED FOCUS LENS | 1 |
Chin-Chun Lai | TW | Hsinchu City | 2010-08-26 / 20100212686 - CYLINDER-TYPE COSMETIC CONTAINER STRUCTURE | 1 |
Sung-Ming Lai | TW | Hsinchu City | 2012-01-12 / 20120007526 - Rotation Speed Control Circuit, Rotation Speed Control Method and Fan System | 3 |
Rui-Yang Lai | TW | Hsinchu City | 2010-10-28 / 20100271360 - Bi-Stable Display, Frame Updating Method and Timing Control Method thereof | 1 |
Da-Wei Lai | TW | Hsinchu City | 2013-06-27 / 20130163129 - ELECTROSTATIC DISCHARGE (ESD) PROTECTION AND OPERATING METHOD THEREOF | 4 |
Kou-Rueh Lai | TW | Hsinchu City | 2012-07-05 / 20120170288 - LIGHT EMITTING DIODE LAMP AND METHOD FOR FABRICATING THE SAME | 4 |
Yuan-Tai Lai | TW | Hsinchu City | 2011-07-21 / 20110175698 - INDUCTOR WITH FERROMAGNETIC METAL FILM | 2 |
Chieh-Lung Lai | TW | Hsinchu City | 2012-10-11 / 20120256228 - DIE-BONDED LED | 3 |
Wei-Chen Lai | TW | Taichung City | 2012-11-08 / 20120283070 - TREADMILL FOLDABLE INTO A CHAIR | 1 |
Chia-Hung Lai | US | 2014-01-09 / 20140008164 - TRANSPARENT SHELL STRUCTURE FOR LUGGAGE AND THE LIKE | 1 | |
Timothy Lai | US | Belmont | 2012-11-08 / 20120284608 - OPTIMIZED DISPLAY OF THE AD LANDING PAGE | 2 |
Chih-Lung Lai | TW | Taichung City | 2015-12-24 / 20150367467 - SERVO-DRIVEN TOOL UNCLAMPING SYSTEM FOR A MACHINE TOOL | 1 |
Yu-Wen Lai | TW | New Taipei | 2015-12-24 / 20150369989 - BACKLIGHT MODULE AND DISPLAY DEVICE | 1 |
Chien-Chang Lai | TW | Chu-Pei City | 2014-01-16 / 20140016124 - OPTICAL INSPECTION DEVICE | 3 |
Jin-Wang Lai | US | Edison | / - | 2 |
Ying Tse Lai | TW | Taichung | 2011-06-16 / 20110141588 - OPTICAL DEVICE AND LENS COLLISION PREVENTING METHOD THEREOF | 1 |
Chien-Jen Lai | US | Cambridge | 2011-06-16 / 20110140009 - Efficient High-Harmonic-Generation-Based EUV Source Driven by Short Wavelength Light | 1 |
Rebecca Y. Lai | US | Lincoln | 2016-02-18 / 20160047803 - SELF-ASSEMBLED MONOLAYERS AND METHODS FOR USING THE SAME IN BIOSENSING APPLICATIONS | 6 |
Leo Lai | CN | Guangdong Province | 2012-03-29 / 20120073096 - INSERT BUCKLE HEAD AND INSERT BUCKLE | 2 |
Yu-Ching Lai | TW | Taipei | 2011-04-07 / 20110082262 - Ultra-High Molecular Weight Polyethylene (UHMWPE)Inorganic Nanocomposite Material and High Performance Fiber Manufacturing Method Thereof | 1 |
Anthony P. Lai | US | Pleasanton | 2011-06-09 / 20110138282 - SYSTEM AND METHOD FOR SYNCHRONIZING STATIC IMAGES WITH DYNAMIC MULTIMEDIA CONTENTS | 1 |
Wen-Te Lai | TW | Shindian | 2011-06-30 / 20110155452 - DEVICE HOUSING AND METHOD FOR MAKING SAME | 11 |
Jung-Pin Lai | TW | Taipei County | 2011-04-07 / 20110081222 - MULTI-TRACK HANDLING AND STORAGE APPARATUS AND METHOD THEREOF | 2 |
Wei-Jen Lai | TW | Taipei County | 2010-06-24 / 20100159779 - MULTIFUNCTIONAL ANTISTATIC NON-WOVEN FABRIC AND FABRICATION METHOD THEREOF | 1 |
William Lai | US | Philadelphia | 2015-09-24 / 20150265172 - Devices and Methods for Noninvasive Measurement of Intracranial Pressure | 4 |
Ying-Hsu Lai | TW | Taipei County | 2010-02-11 / 20100031827 - TEA MAKER | 1 |
Siao-Huei Lai | TW | Taipei County | 2009-10-01 / 20090244377 - Display controller for screens with user-defined frames | 3 |
Wang Lin Lai | US | San Jose | 2016-02-25 / 20160057434 - Method of Palette Index Signaling for Image and Video Coding | 2 |
Yung-Hsiao Lai | TW | Taipei County | 2009-08-13 / 20090204630 - DIGITAL VIDEO APPARATUS AND RELATED METHOD FOR GENERATING INDEX INFORMATION | 3 |
Hsin-Chun Lai | TW | Taipei | 2011-06-09 / 20110132768 - Method for forming imprinting roller | 1 |
Chunqiu Lai | US | Libertyville | 2016-03-03 / 20160060257 - PYRROLO [2,3-B] PYRIDINE CDK9 KINASE INHIBITORS | 11 |
Tien-Te Lai | TW | Taipei County | 2009-01-15 / 20090013440 - Rear vision helmet visor structure | 1 |
Chin-Hsin Lai | TW | Taoyuan Hsien | 2014-10-02 / 20140292463 - Transformer Device | 1 |
Yi-Hsien Lai | TW | New Taipei City | 2014-10-02 / 20140290426 - HANDHELD OPERATION DEVICE | 1 |
Yu-Jen Lai | TW | Taoyuan | 2013-08-15 / 20130207526 - Display Device with Frame Configuration | 1 |
Tzu-Chieh Lai | CN | Shenzhen | 2016-05-19 / 20160140922 - GOA CIRCUIT AND LIQUID CRYSTAL DISPLAY DEVICE APPLIED TO LIQUID CRYSTAL DISPLAYS | 1 |
Shien-Neng Lai | TW | Taipei County | 2009-01-01 / 20090003586 - SIGNAL PROCESSOR AND METHOD FOR CANCELING ECHO IN A COMMUNICATION DEVICE | 1 |
Cheng-Yi Lai | TW | Tu-Cheng | 2013-03-28 / 20130074624 - GEAR TRANSMISSION DEVICE WITH RESILIENT CONNECTION BETWEEN DRIVING GEAR AND DRIVING SHAFT | 14 |
Chih-Chen Lai | TW | Tu-Cheng | 2013-12-05 / 20130320557 - SEMICONDUCTOR PACKAGE HAVING RELIABLE ELECTRICAL CONNECTION AND ASSEMBLING METHOD | 48 |
Yen Ying Lai | TW | Taipei County | 2008-12-18 / 20080310295 - METHODS FOR EXTRA APPENDING DATA IN A MULTIPLE LAYER DISC | 1 |
Chih-Hung Lai | TW | New Taipei | 2015-06-04 / 20150155632 - ANTENNA STRUCTURE AND WIRELESS COMMUNICATION DEVICE USING THE ANTENNA STRUCTURE | 4 |
Jin-Wang Lai | US | Vandalia | 2011-06-02 / 20110129530 - Compressible-Coated Pharmaceutical Compositions and Tablets and Methods of Manufacture | 1 |
Yung-Chih Lai | TW | Miaoli County | 2011-06-02 / 20110127980 - VOLTAGE CONVERTING CIRCUIT AND METHOD THEREOF | 1 |
Shiau-Wu Lai | TW | Taipei County | 2008-09-18 / 20080225240 - PROJECTION SYSTEM AND PLASTIC COLOR WHEEL ASSEMBLY THEREOF | 1 |
June Lai | CN | Hong Kong Sar | 2015-11-26 / 20150341072 - WATERPROOF CASE | 4 |
Wei-Shen Lai | TW | Taipei County | 2010-05-27 / 20100131187 - POI RECOMMENDATION APPARATUS AND METHODS, AND STORAGE MEDIA | 3 |
Kuei-Yuan Lai | TW | Taoyuan | 2011-05-26 / 20110125434 - METHOD AND SYSTEM FOR ESTIMATING BATTERY PERCENTAGE | 1 |
John Lai | CA | Richmond | 2011-05-26 / 20110125188 - SHAPE-MEMORY SELF-RETAINING SUTURES, METHODS OF MANUFACTURE, AND METHODS OF USE | 1 |
Chun-An Lai | TW | Keelung City | 2014-03-06 / 20140064940 - CASING OF A TURBOCHARGER | 1 |
Heng Ju Lai | TW | Taichung City | 2011-05-26 / 20110124741 - RADIOSENSITIZER COMPOSITIONS COMPRISING SCHISANDRA CHINENSIS(TURCZ.)BAILL AND METHODS FOR USE | 1 |
I Cheng Lai | TW | Taichung City | 2011-05-26 / 20110124741 - RADIOSENSITIZER COMPOSITIONS COMPRISING SCHISANDRA CHINENSIS(TURCZ.)BAILL AND METHODS FOR USE | 1 |
Li-Li Lai | TW | Taipei City | 2013-03-07 / 20130055949 - HYGIENIC PROTECTION APPARATUS FOR TOUCH PANEL DEVICE | 19 |
Ming-Chun Lai | TW | Tu-Cheng City | 2010-12-02 / 20100304618 - CONNECTOR ASSEMBLY | 4 |
Ming Der Lai | TW | Taipei | 2011-05-26 / 20110123352 - ELECTRIC PUMP WITH TIME SETTING AND ENHANCED OIL-PIPE TRANSFER CAPABILITY | 1 |
Chien Hung Lai | TW | Taipei County | 2010-12-30 / 20100326845 - METHOD AND ELECTROCHEMICAL SENSING STRIP WITH SCREEN-PRINTED THREE ELECTRODES FOR DETERMINING CONCENTRATION OF DISSOLVED OXYGEN IN A SOLUTION | 1 |
George Y. Lai | US | Del Mar | 2011-05-26 / 20110120977 - Alloy, Overlay, and Methods Thereof | 1 |
Kun-Hui Lai | TW | Taipei Hsien | 2011-09-22 / 20110228496 - Cable-Organizing Circuit Board and an Electronic Device Incorporating the Same | 4 |
Chung-Ping Lai | TW | Hsinchu County | 2011-05-26 / 20110120626 - METHOD OF PRODUCING ULTRA FINE SURFACING BULK SUBSTRATE | 1 |
Erh-Kun Lai | US | Elmsford | 2014-09-18 / 20140264546 - DAMASCENE CONDUCTOR FOR 3D ARRAY | 41 |
Po-Lin Lai | US | Los Angeles | 2009-11-26 / 20090290637 - Methods and Apparatus for Adaptive Reference Filtering | 1 |
Dah-Prong Lai | TW | Taipei County | 2013-07-18 / 20130181545 - DRIVE DEVICE CAPABLE OF GENERATING A DRIVING OUTPUT BASED ON A MAGNETIC FIELD | 3 |
Huang-Wen Lai | TW | Taipei County | 2011-06-30 / 20110157458 - METHOD AND APPARATUS FOR FOCUSING | 3 |
Thomas Lai | US | Bellevue | 2011-06-16 / 20110145662 - COORDINATION OF ERROR REPORTING AMONG MULTIPLE MANAGED RUNTIMES IN THE SAME PROCESS | 2 |
Ming-Lai Lai | US | Arcadia | 2015-08-13 / 20150223913 - SELF-LIGATING ORTHODONTIC BRACKET | 10 |
Chih-Yu Lai | TW | Tainan City | 2015-12-31 / 20150380447 - DEEP TRENCH ISOLATION SHRINKAGE METHOD FOR ENHANCED DEVICE PERFORMANCE | 13 |
Chin-Lun Lai | TW | Jhonghe City | 2008-12-04 / 20080298687 - Human image recognition system | 1 |
Yi-An Lai | TW | Kuei Shan Hsiang | 2009-04-02 / 20090085868 - Composite notebook | 1 |
Rui-Ling Lai | TW | Changhua County | 2009-04-02 / 20090085664 - POWER AMPLIFIER HAVING AN ADAPTIVE AMPLIFYING MECHANISM | 1 |
Yu-Chi Lai | TW | Taoyuan | 2009-06-18 / 20090153066 - Light emitting diode circuit having even current | 1 |
Shia-Chih Lai | TW | Taoyuan | 2008-12-04 / 20080300809 - Defect inspecting method and device thereof | 1 |
Cheng-Chung Lai | TW | Taoyuan | 2008-12-11 / 20080304125 - System and Method of the Optical Delay Line | 1 |
Juin-Yih Lai | TW | Taoyuan | 2009-07-02 / 20090165707 - Adjustable Film Applicator | 1 |
Lai-Chen Lai | TW | Taoyuan | 2010-02-04 / 20100026637 - TOUCH CONTROL ELECTRONIC DEVICE AND OPERATING METHOD THEREOF | 1 |
Jung Chi Lai | TW | Taoyuan | 2014-11-13 / 20140336857 - METHOD FOR AUTOMATIC ADJUSTMENT OF PEDELEC | 5 |
Wen-Cheng Lai | TW | Taoyuan | 2011-08-18 / 20110199012 - Driving Circuit For Lighting Lamp And Cold Cathode Fluorescent Lamp Using Driving Circuit Thereof | 5 |
Alex Ching Lai | US | Menlo Park | 2016-03-03 / 20160065628 - CALCULATING AN ENTITY'S LOCATION SIZE VIA SOCIAL GRAPH | 5 |
Shih-Hsiang Lai | TW | Taipei County | 2010-02-25 / 20100044696 - THIN FILM TRANSISTOR AND LIQUID CRYSTAL DISPLAY | 1 |
Chien-Mo Lai | TW | Taipei County | 2010-05-20 / 20100123655 - Optical trace detecting module | 3 |
Wei-Chih Lai | TW | Taipei County | 2011-05-05 / 20110101925 - FEEDBACK-ADJUSTABLE CHARGING SYSTEM AND METHOD THEREOF | 1 |
Yuan-Yan Lai | US | North Hills | 2011-03-03 / 20110053859 - METHODS TO REDUCE THE EFFECTS OF SLEEP DEPRIVATION | 1 |
Min-Ken Lai | US | Redwood City | 2015-10-22 / 20150301846 - Automated Network Configuration of Virtual Machines in a Virtual Lab Environment | 3 |
Richard Lai | US | Redondo Beach | 2015-05-07 / 20150122994 - PASSIVE MILLIMETER WAVE IMAGE CONVERTER | 3 |
Yingjie Lai | US | Cupertino | 2014-07-24 / 20140206702 - IMIDAZOPYRIDINE COMPOUNDS, COMPOSITIONS AND METHODS OF USE | 10 |
Jane F. Lai | US | Los Altos Hills | 2010-11-18 / 20100293053 - PRICE-BASED DELAY OF AN ADVERTISEMENT | 1 |
Polin Lai | US | Los Angeles | 2010-11-04 / 20100278267 - METHODS AND APPARATUS FOR VIDEO ENCODING AND DECODING USING PARAMETRIC FILTERING | 2 |
Tat Leung Lai | US | Torrance | 2013-06-13 / 20130151904 - Memory-Module Extender Card for Visually Decoding Addresses from Diagnostic Programs and Ignoring Operating System Accesses | 4 |
Aaron Lai | US | Alameda | 2010-10-28 / 20100274699 - ECONOMIC INTELLIGENCE | 4 |
Joseph Lai | US | Rancho Palos Verdes | 2010-09-30 / 20100251314 - TOTAL BANDWIDTH CONDITIONING DEVICE | 2 |
Keji Lai | US | Menlo Park | 2010-08-26 / 20100218286 - Modulated microwave microscopy and probes used therewith | 1 |
Chee Hong Lai | US | Cupertino | 2010-08-05 / 20100197251 - POWER AMPLIFIER COMPRISING A SLOTTED POWER COMBINER | 1 |
Vikki Mei-Whey Lai | US | Orange | 2010-07-22 / 20100185701 - METHOD AND SYSTEM FOR ENABLING LIFE CYCLE MAINTENANCE OF HIERARCHICAL DATABASE SCHEMAS IN MODELING TOOL | 1 |
Dennis Lai | US | Cerritos | 2010-06-24 / 20100157881 - BLIND IDENTIFICATION OF ADVANCED MODULATION AND CODING MODES | 5 |
Ken Kaung Lai | US | Milpitas | 2012-01-12 / 20120006265 - ATOMIC LAYER DEPOSITION APPARATUS | 6 |
Joseph Lai | US | Los Angeles | 2014-11-06 / 20140331270 - DYNAMICALLY CONFIGURABLE FREQUENCY BAND SELECTION DEVICE BETWEEN CATV DISTRIBUTION SYSTEM AND CATV USER | 4 |
Tony Lai | US | Rowland Heights | 2010-03-25 / 20100071858 - Window blind with controlling axle | 3 |
Sujen Lai | US | Burlingame | 2014-10-23 / 20140315895 - PIPERIDINONE DERIVATIVES AS MDM2 INHIBITORS FOR THE TREATMENT OF CANCER | 6 |
Felix Lai | US | Hayward | 2010-02-18 / 20100040680 - MULTIPARTICULATE SELECTIVE SEROTONIN AND NOREPINEPHRINE REUPTAKE INHIBITOR FORMULATION | 1 |
Peng-Cheng Lai | US | Los Altos | 2015-04-02 / 20150091905 - METHOD USING 3D GEOMETRY DATA FOR VIRTUAL REALITY IMAGE PRESENTATION AND CONTROL IN 3D SPACE | 5 |
Felix S. Lai | US | Hayward | 2010-01-07 / 20100003322 - ENTERIC COATED HYDROPHOBIC MATRIX FORMULATION | 2 |
Albert Lai | US | Davis | 2009-12-24 / 20090317391 - Cancer Related Genes (PTPE) | 6 |
John W. Lai | US | San Bruno | 2015-10-29 / 20150305751 - Tissue Compression Device with Deflecting Pressure Element | 2 |
Henry Lai | US | Milpitas | 2009-11-05 / 20090276287 - SYSTEM FOR PREDICTIVE ANALYTICS USING REAL-WORLD PHARMACEUTICAL TRANSACTIONS | 1 |
Stefan Lai | US | Woodside | 2009-08-27 / 20090213645 - Method and apparatus for accessing a multi-mode programmable resistance memory | 1 |
Albert Lai | US | Emeryville | 2009-08-27 / 20090214546 - Novel therapeutic targets in cancer | 1 |
Wing-Cheong Gilbert Lai | US | Santa Clara | 2009-03-26 / 20090081866 - VAPOR DEPOSITION OF TUNGSTEN MATERIALS | 1 |
Jim Lai | US | City Of Industry | 2008-12-11 / 20080303212 - Three-Dimensional Puzzle Maze | 1 |
Yung-Te Lai | US | Cupertino | 2008-11-20 / 20080288900 - DETERMINATION OF SINGLE-FIX RECTIFICATION FUNCTION | 1 |
Peter Lai | US | Palo Alto | 2008-11-06 / 20080275727 - SYSTEM AND METHOD FOR ECONOMICAL REPRESENTATION OF PRODUCTS USING INTELLIGENCE CLUSTERING | 2 |
Kwok Fai Lai | US | Pal Alto | 2008-10-30 / 20080264340 - MOVING INTERLEAVED SPUTTER CHAMBER SHIELDS | 1 |
Zheren Lai | US | Foothill Ranch | 2008-10-23 / 20080258691 - OVER VOLTAGE AND OVER CURRENT PROTECTION INTEGRATED CIRCUIT | 1 |
David Lai | US | South San Francisco | 2008-09-25 / 20080232790 - Camera monitor | 1 |
Ken K. Lai | US | Milpitas | 2008-09-18 / 20080227291 - FORMATION OF COMPOSITE TUNGSTEN FILMS | 1 |
Daniel Tai-Nin Lai | US | Los Altos | 2008-09-11 / 20080219426 - Conference Call Access | 1 |
Jim Lai | US | Industry | 2008-09-11 / 20080220219 - Combination Floor Drawing Mats | 1 |
Wing-Cheong Lai | US | Santa Clara | 2008-08-28 / 20080206987 - PROCESS FOR TUNGSTEN NITRIDE DEPOSITION BY A TEMPERATURE CONTROLLED LID ASSEMBLY | 2 |
Edwin Lai | US | Menlo Park | 2014-05-08 / 20140128397 - SYNTHETIC LETHAL TARGETING OF GLUCOSE TRANSPORT | 2 |
Wun-Jhen Lai | TW | New Taipei | 2014-10-23 / 20140317703 - DESKTOP SHARING METHOD AND SYSTEM | 1 |
Shih-Yu Lai | TW | Taoyuan Hsien | 2013-10-24 / 20130280029 - AXIAL FAN AND CONTROL METHOD THEREOF | 1 |
Edwin Wei-Lung Lai | US | Menlo Park | 2015-05-14 / 20150133325 - METHODS OF DETECTING BLADDER CANCER | 2 |
Shih-Chia Lai | TW | Taipei County | 2012-02-16 / 20120040558 - ELECTRICAL CONNECTOR PROVIDED WITH A GROUNDING TERMINAL STRUCTURE | 5 |
Jun-Yu Lai | TW | Taipei County | 2010-10-14 / 20100260071 - ROUTING METHOD AND ROUTING PATH RECOVERY MECHANISM IN WIRELESS SENSOR NETWORK ENVIRONMENT | 1 |
Bin Lai | CN | Fuzhou | 2016-02-18 / 20160048229 - TOUCH PANEL WITH FLEXIBLE TOUCH SENSOR AND METHOD FOR MANUFACTURING THE SAME | 8 |
Liyang Lai | US | Wilsonville | 2014-08-21 / 20140237310 - Test Architecture for Characterizing Interconnects in Stacked Designs | 6 |
Wen-Te Lai | TW | Shindian | 2011-06-30 / 20110155452 - DEVICE HOUSING AND METHOD FOR MAKING SAME | 11 |
Ying-Chou Lai | TW | Huatan Township | 2012-12-20 / 20120322623 - EXERCISE MACHINE | 6 |
Ming-Chih Lai | TW | Taoyuan Hsien | 2015-03-05 / 20150062932 - LIGHTING DEVICE INSTALLATION METHOD AND LIGHTING SYSTEM | 3 |
Chien-An Lai | TW | Taoyuan Hsien | 2014-02-20 / 20140049108 - POWER SYSTEM WITH COMBINATION OF ACTIVE CURRENT SHARING AND DROOP CURRENT SHARING AND POWER SYSTEM ASSEMBLY USING THE SAME | 1 |
Chin-Lun Lai | TW | Banciao City | 2010-06-24 / 20100157054 - MULTI-REGION MONITORING SYSTEM | 1 |
Chih-Lung Lai | TW | Taipei County | 2009-11-26 / 20090289318 - ELECTRONICS DEVICE PACKAGE AND FABRICATION METHOD THEREOF | 1 |
Cheng Hsiung Lai | TW | Taipei County | 2009-10-22 / 20090261705 - LED lamp device | 1 |
Jung-Chi Lai | TW | Taoyuan County | 2011-11-24 / 20110286662 - SYSTEM FOR BUILDING A PERSONALIZED-CHARACTER DATABASE AND METHOD THEREOF | 3 |
Kuo-Sheng Lai | TW | Taoyuan County | 2010-02-04 / 20100026673 - METHOD AND CONTROL BOARD FOR ELIMINATING POWER-OFF RESIDUAL IMAGES IN DISPLAY AND DISPLAY USING THE SAME | 1 |
Wei-Chi Lai | TW | Taoyuan County | 2010-03-04 / 20100054365 - Multilevel Cluster-based MIMO Detection Method and MIMO Detector Thereof | 1 |
Yao-Hsiang Lai | TW | Taoyuan County | 2010-05-06 / 20100107834 - SEPARATION APPARATUS AND SEPARATION METHOD | 1 |
Chin-Chuan Lai | TW | Taoyuan County | 2009-04-02 / 20090085032 - PIXEL STRUCTURE AND FABRICATING METHOD THEREOF | 4 |
Chao-Sung Lai | TW | Taoyuan County | 2014-10-23 / 20140312401 - MEMORY CELL HAVING A RECESSED GATE AND MANUFACTURING METHOD THEREOF | 6 |
Lai-Chen Lai | TW | Taoyuan County | 2009-07-02 / 20090172562 - USER INTERFACE DYNAMIC LAYOUT SYSTEM, METHOD FOR ARRANGING USER INTERFACE LAYOUT AND TOUCH CONTROL DISPLAY SYSTEM USING THE SAME | 1 |
Yun-Sen Lai | TW | Taoyuan County | 2009-10-08 / 20090254208 - METHOD AND SYSTEM FOR DETECTING TOOL ERRORS TO STOP A PROCESS RECIPE FOR A SINGLE CHAMBER | 1 |
John Lai | TW | Taoyuan County | 2010-01-21 / 20100013429 - BATTERY MANAGEMENT SYSTEMS AND METHODS | 1 |
Ray-Chien Lai | TW | Taoyuan County | 2012-06-07 / 20120138119 - PACKAGE STRUCTURE OF SOLAR PHOTOVOLTAIC MODULE AND METHOD OF MANUFACTURING THE SAME | 2 |
Ching-Tsung Lai | TW | Taoyuan County | 2014-08-21 / 20140237304 - METHOD FOR COLLECTING ERROR STATUS INFORMATION OF AN ELECTRONIC DEVICE | 2 |
Juin-Yih Lai | TW | Taoyuan County | 2016-03-31 / 20160090500 - Method for fabricating a semicrystalline polymer / graphene oxide composite film | 10 |
Jung-Chang Lai | TW | Taoyuan County | 2011-01-06 / 20110001829 - MULTIFUNCTIONAL X-RAY READER | 1 |
Chien Fong Lai | TW | Taoyuan County | 2011-02-17 / 20110036997 - ULTRAVIOLET EQUIPMENT | 1 |
Sheng-Ping Lai | TW | Taoyuan County | 2011-02-24 / 20110044368 - OPTICAL DEVICE | 1 |
Ming-Te Lai | TW | Taoyuan County | 2015-12-17 / 20150362627 - NEAR-INFRARED RAY SHIELDING FILM, A METHOD THEREOF, AND A COMPOSITION THEREOF | 4 |
Chun-Wen Lai | TW | Taoyuan County | 2011-09-22 / 20110228655 - COMPENSATION METHOD IN RADIAL DIRECTION ON LABEL SIDE OF OPTICAL DISC | 5 |
Yi-Chiang Lai | TW | Taoyuan County | 2013-05-02 / 20130106926 - METHOD, DEVICE AND SYSTEM FOR DISPLAYING THREE-DIMENSIONAL STEREO IMAGES | 2 |
Wen-Hung Lai | TW | Taoyuan County | 2010-06-03 / 20100134124 - MISALIGNMENT DETECTION DEVICES | 1 |
Ying-Tso Lai | TW | Tu-Cheng | 2013-08-29 / 20130222082 - EQUALIZER FOR MULTI-LEVEL EQUALIZATION | 30 |
Yi-Shao Lai | TW | Taipei County | 2012-05-17 / 20120119342 - ADVANCED QUAD FLAT NON-LEADED PACKAGE STRUCTURE AND MANUFACTURING METHOD THEREOF | 3 |
Kuo-Jen Lai | TW | Taipei County | 2009-04-30 / 20090109097 - MULTIPLE FREQUENCY BAND ANTENNA | 1 |
Chia-Liang Lai | TW | Changhua | 2008-12-11 / 20080303566 - SPREAD SPECTRUM CLOCK GENERATOR WITH LOW JITTER | 2 |
Shih-Lun Lai | TW | Hsin-Chu | 2014-02-20 / 20140049620 - ENTERTAINMENT DISPLAYING SYSTEM AND INTERACTIVE STEREOSCOPIC DISPLAYING METHOD OF THE SAME | 2 |
Horng-Goung Lai | TW | Hsin-Chu | 2014-01-09 / 20140009623 - GESTURE RECOGNITION SYSTEM AND GLASSES WITH GESTURE RECOGNITION FUNCTION | 2 |
John Ta-Yuan Lai | US | Broadview Heights | 2016-03-10 / 20160068620 - Itaconic Acid Polymers | 10 |
Tsung-Yueh Lai | TW | Yuanlin Chang Hwa Hsien | 2011-08-04 / 20110186200 - TUBLESS TIRE | 1 |
Yuming Lai | US | Philadelphia | 2015-12-17 / 20150364324 - NANOCRYSTAL THIN FILM FABRICATION METHODS AND APPARATUS | 2 |
Fuji Lai | US | Goleta | 2016-02-18 / 20160046021 - INTERFACING WITH A MOBILE TELEPRESENCE ROBOT | 20 |
Chung Ping Lai | US | Saint Paul | 2009-04-16 / 20090095338 - SOLAR POWER SOURCE | 1 |
Hung-Sen Lai | US | Andover | 2016-02-04 / 20160030441 - NIACIN MIMETICS, AND METHODS OF USE THEREOF | 27 |
Yao-Hung Lai | TW | Taichung City | 2014-02-13 / 20140043282 - CAPACITIVE TOUCH APPARATUS AND SENSING METHOD THEREOF | 1 |
Yi-Tang Lai | TW | Hsinchu | 2015-09-17 / 20150262980 - LIGHT-EMITTING DIODE DEVICE | 5 |
Chung-Yi Lai | TW | Taitung | 2009-04-30 / 20090109463 - MULTI-FUNCTION PERIPHERALS | 1 |
Yueh-Chun Lai | TW | Hsinchu | 2014-05-15 / 20140131559 - IMAGING METAMATERIAL | 1 |
Yen-Hsin Lai | TW | Taipei City | 2016-03-17 / 20160079251 - SINGLE-POLY NONVOLATILE MEMORY CELL | 8 |
Hsiu-Chen Lai | TW | Changhua | 2015-12-31 / 20150374081 - ADJUSTIBLE WALKING CANE | 1 |
Stephen Wai-Yan Lai | HK | Hong Kong | 2010-03-25 / 20100074553 - METHOD AND APPARATUS OF LOCAL CONTRAST ENHANCEMENT | 2 |
Tat Wing Lai | CN | Hong Kong | 2009-10-01 / 20090242064 - HOSE | 1 |
Chien-Wen Lai | TW | Hsin-Chu | 2012-02-16 / 20120040276 - METHOD OF FORMING AND USING PHOTOLITHOGRAPHY MASK HAVING A SCATTERING BAR STRUCTURE | 2 |
Ching-Kun Lai | TW | Hsin-Chu | 2012-10-04 / 20120252301 - Method for Manufacturing PCB, Display Module and Method for Fabricating Display Module | 7 |
Shih-Chang Lai | TW | Hsin-Chu | 2010-09-23 / 20100239181 - Device for Removing Mosquito Noise and Associated Method | 2 |
Yu-Jen Lai | TW | Hsin-Chu | 2011-02-17 / 20110037909 - DISPLAY DEVICE | 1 |
Kuang-Chou Lai | TW | Hsin-Chu | 2010-06-03 / 20100134704 - DISPLAY DEVICE | 1 |
Chi-Kuang Lai | TW | Hsin-Chu | 2012-06-14 / 20120147298 - DISPLAY DEVICE | 2 |
Zao-Kuo Lai | TW | Hsin-Chu | 2008-09-11 / 20080217762 - CHIP CARRIER STRUCTURE HAVING SEMICONDUCTOR CHIP EMBEDDED THEREIN AND METAL LAYER FORMED THEREON | 1 |
Jui-Lin Lai | TW | Hsin-Chu | 2009-03-12 / 20090067124 - Display Device with Bended Signal Transmission Structure and Method for Manufacture Thereof | 1 |
Ching-Lung Lai | TW | Hsin-Chu | 2009-03-26 / 20090079946 - Optical Projection System | 1 |
Kee-Weng Lai | TW | Hsin-Chu | 2009-07-02 / 20090165316 - Measurement Apparatus Having Display Device | 1 |
Lee-Jene Lai | TW | Hsin-Chu | 2010-04-08 / 20100086993 - CELL DETECTING SYSTEM AND QUANTUM DOT MEASURING SYSTEM | 1 |
Wan-Chen Lai | TW | Hsin-Chu | 2010-04-15 / 20100091501 - Light emitting diode apparatus and optical engine using the same | 1 |
Po-Yao Lai | TW | Hsin-Chu | 2010-08-26 / 20100214230 - ITO layer manufacturing process & application structure | 1 |
Wei-Jen Lai | TW | Hsin-Chu | 2011-09-22 / 20110228892 - SHIFT REGISTER OF A DISPLAY DEVICE | 2 |
Cheng-Chuan Lai | TW | Hsin-Chu | 2012-12-06 / 20120308794 - OPTICAL COMPOSITE SUBSTRATE | 2 |
De-Wei Lai | TW | Hsinchu City | 2011-05-19 / 20110119430 - METHODS FOR MEASURING USABLE LIFESPAN AND REPLACING AN IN-SYSTEM PROGRAMMING CODE OF A MEMORY DEVICE, AND DATA STORAGE SYSEM USING THE SAME | 1 |
Leon Lee On Lai | HK | Hong Kong | 2009-08-27 / 20090217335 - APPARATUS AND METHODS FOR NETWORK ACCESS | 1 |
Wing Chiu Derek Lai | HK | Hong Kong | 2009-03-05 / 20090056761 - APPARATUS FOR MAINTAINING A CLEAN BONDING ENVIROMENT | 1 |
Kok Vui Lai | HK | Hong Kong | 2009-01-01 / 20090003950 - Multi-Speed Drill and Chuck Assembly | 1 |
Lin Wai Lai | CN | Hong Kong | 2011-05-05 / 20110101685 - FLUID PIPE CONNECTION DEVICE | 1 |
Chengdi Lai | CN | Hong Kong | 2013-12-05 / 20130322247 - ENHANCING AQM TO COMBAT WIRELESS LOSSES | 2 |
Kam Yuk Claudia Lai | CN | Hong Kong | 2010-03-18 / 20100069087 - PERSONAL TRACKING DEVICE WITH LOW POWER CONSUMPTION | 1 |
Hsiao-Ping Lai | TW | Miao-Li County | 2011-03-10 / 20110059561 - METHOD FOR FABRICATING A FLEXIBLE DISPLAY DEVICE | 1 |
Jennifer Lai | US | Yorktown Heights | 2012-12-13 / 20120317102 - RANKING EXPERT RESPONSES AND FINDING EXPERTS BASED ON RANK | 2 |
Frank Lai | US | Bridgewater | 2011-05-19 / 20110118520 - High activity small crystal ZSM-12 | 1 |
Yung-Long Lai | TW | New Taipei | 2014-10-09 / 20140304736 - DISPLAY DEVICE AND METHOD OF CONTROLLING THE DISPLAY DEVICE | 1 |
Kin Yue Albert Lai | HK | Hong Kong | 2011-12-15 / 20110303751 - Radio Frequency Identification (RFID) Tag | 2 |
Peng Lai | US | Menlo Park | 2014-10-09 / 20140303480 - MRI WITH STATIC TISSUE REMOVAL | 1 |
Yuk Ming Lai | HK | Hong Kong | 2010-08-05 / 20100194300 - DRIVING METHOD FOR IMPROVING LUMINOUS EFFICACY OF A LIGHT EMITTING DIODE | 1 |
Tzu-Yun Lai | TW | Taipei | 2011-05-19 / 20110115640 - AUTOMATED REMOTE WATER QUALITY MONITORING SYSTEM WITH WIRELESS COMMUNICATION CAPABILITIES AND THE METHOD THEREOF | 1 |
Ying-Yao Lai | TW | Taipei | 2011-05-19 / 20110116519 - APPARATUS AND METHOD FOR CONVERTING LASER ENERGY | 1 |
Yu-Shu Lai | TW | Taipei | 2011-10-27 / 20110264095 - Assisted Positioning Device for Bone Plate | 2 |
Cheng-Chih Lai | TW | Changhua City | 2011-01-13 / 20110007521 - BACKLIGHT MODULE WITH A HEAT CONDUCTIVE BLOCK | 1 |
Ronnie Lai | TW | Shindian City | 2009-08-13 / 20090201790 - OPERATING CURRENT MODIFYING DEVICE AND METHOD | 1 |
Shin-Tzung Lai | TW | Taoyuan Hsien | 2012-11-15 / 20120286918 - TRANSFORMER | 2 |
Chi Yuen Lai | HK | Tuen Mun | 2011-05-19 / 20110115395 - DIMMER DECODER WITH IMPROVED EFFICIENCY FOR USE WITH LED DRIVERS | 1 |
Sanjaya Lai | US | South San Fracisco | 2013-09-19 / 20130246475 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR SHARING TENANT INFORMATION UTILIZING A MULTI-TENANT ON-DEMAND DATABASE SERVICE | 1 |
Mayang Lai | CN | Xiamen | 2011-05-19 / 20110114752 - OVERHEAD SHOWER AND ITS SWITCHING METHOD THEREOF | 1 |
Chia-Hung Lai | TW | Taichung City | 2014-01-09 / 20140008164 - TRANSPARENT SHELL STRUCTURE FOR LUGGAGE AND THE LIKE | 1 |
Anthony Wai Yuen Lai | CN | Hong Kong | 2008-11-06 / 20080273267 - Slider with improved structure for adjusting flying height thereof and hard disk drive with the same | 2 |
Chun-Hung Lai | TW | Dali City | 2010-07-08 / 20100170093 - Method for enhancing surface smoothness of ball valve stem | 1 |
Patrick P. Lai | US | Fremont | 2015-12-31 / 20150378731 - APPARATUS AND METHOD FOR EFFICIENTLY IMPLEMENTING A PROCESSOR PIPELINE | 1 |
Chun-Hung Lai | TW | Taipei | 2009-01-08 / 20090008736 - METHOD FOR PHOTO-DETECTING AND APPARATUS FOR THE SAME | 1 |
Chin-Chung Lai | TW | Taipei | 2009-12-10 / 20090305520 - CONNECTOR | 7 |
Chia-Yun Lai | TW | Hsinchu County | 2015-12-31 / 20150374741 - METHODS FOR MAINTAINING POPULATION OF THERAPEUTIC CELLS IN TREATMENT SITE OF SUBJECT IN NEED OF CELL THERAPY | 1 |
Shih-Hung Lai | TW | New Taipei City | 2015-12-31 / 20150378394 - DOCKING DEVICE AND ELECTRONIC SYSTEM THEREWITH | 1 |
Chin-Chung Lai | TW | Taipei City | 2010-07-08 / 20100170692 - SPIRAL ELECTRICAL CONNECTION DEVICE AND SLIDE-TYPE ELECTRONIC DEVICE | 2 |
Chi-Kuang Lai | TW | Taoyuan | 2015-12-31 / 20150378458 - TOUCH SENSING MODULE | 1 |
Ja-Ji Lai | US | Westborough | 2014-01-23 / 20140024577 - METHODS FOR PREPARING PURIFIED LIPOPEPTIDES | 1 |
Shin-Chi Lai | TW | Taichung City | 2013-09-19 / 20130243105 - ELECTROCARDIOGRAM SIGNAL COMPRESSION AND DE-COMPRESSION SYSTEM | 1 |
Yu-Ting Lai | TW | Taichung Hsien | 2009-04-23 / 20090102063 - Semiconductor package and method for fabricating the same | 1 |
Jeng-Yuan Lai | TW | Taichung Hsien | 2009-11-19 / 20090283303 - PACKAGE SUBSTRATE HAVING LANDLESS CONDUCTIVE TRACES | 5 |
Chia-Mao Lai | TW | Taichung Hsien | 2009-12-17 / 20090308132 - TERMINAL PLIERS | 1 |
Chun Wai Lai | HK | Pok Fu Lam | 2015-12-31 / 20150379850 - DEVICE FOR TAGGING AN OBJECT | 1 |
Sheng-Chih Lai | TW | Zhubei City | 2015-12-31 / 20150380430 - JUNCTION FORMATION FOR VERTICAL GATE 3D NAND MEMORY | 1 |
Eric Joseph Lai | US | Arcadia | 2015-12-31 / 20150381124 - POWER AMPLIFIER HAVING A COMMON INPUT AND A PLURALITY OF OUTPUTS | 1 |
Chih-Chang Lai | TW | Tai Ping City | 2014-12-04 / 20140354575 - TOUCH-SENSING DISPLAY DEVICE | 3 |
Sep Riang Lai | SG | Singapore | 2016-01-07 / 20160000640 - GARMENT FOR TREATING SENSORY DISORDER | 1 |
Po-Cheng Lai | TW | Taichung County | 2016-01-07 / 20160001487 - BLOW MOLDING DEVICE FOR A ROTARY BOTTLE BLOWING MACHINE AND A METHOD FOR USING THE SAME | 1 |
Juin-Yih Lai | TW | Taoyuan County | 2016-03-31 / 20160090500 - Method for fabricating a semicrystalline polymer / graphene oxide composite film | 10 |
Tai-Hsiang Lai | TW | Miaoli County | 2013-04-18 / 20130093009 - METHOD OF MANUFACTURING NMOS TRANSISTOR WITH LOW TRIGGER VOLTAGE | 4 |
Yu-Hsuan Lai | TW | Taipei | 2008-10-23 / 20080259007 - METHOD FOR DYNAMICALLY ADJUSTING BRIGHTNESS OF IMAGE | 1 |
Mei Chun Lai | TW | Miaoli County | 2010-10-21 / 20100264555 - OPTICAL DIFFUSION MODULE | 5 |
Shua-Yuan Lai | TW | Miaoli County | 2013-07-04 / 20130170336 - MASTER DEVICE, SLAVE DEVICE, AND METHODS THEREOF | 2 |
Te-Yu Lai | TW | Miaoli County | 2008-11-13 / 20080280635 - METHOD FOR GROUPING WIRELESS DEVICES AND APPARATUS THEREOF | 1 |
Choung Lai | US | Acton | 2016-01-07 / 20160002097 - PANE ARRANGEMENT WITH INFRARED-DAMPING COATING | 1 |
Cheng-Wei Lai | TW | Miaoli County | 2011-01-13 / 20110007452 - Lamellar Stacked Solid Electrolytic Capacitor | 1 |
Wen-Chieh Lai | TW | Hsinchu City | / - | 1 |
Shih-Kuo Lai | TW | Hsinchu City | 2014-01-16 / 20140017840 - NITRIDE-BASED LIGHT-EMITTING DEVICE | 1 |
Jyun-Yi Lai | TW | Hsinchu City | 2015-01-29 / 20150033046 - METHOD OF POWER MANAGEMENT, PORTABLE SYSTEM AND PORTABLE POWER BANK | 1 |
Wei-Jen Lai | TW | Keelung City | 2016-05-19 / 20160141205 - FinFETs with Different Fin Height and EPI Height Setting | 7 |
Yu-Sheng Lai | TW | Hsinchu County | 2014-07-03 / 20140184939 - TOUCH STRUCTURE | 1 |
Jun-Liang Lai | TW | Hsinchu County | 2014-06-26 / 20140176177 - PROBE CARD CAPABLE OF TRANSMITTING HIGH-FREQUENCY SIGNALS | 1 |
Guan-Lin Lai | TW | Hsinchu County | 2014-06-26 / 20140178753 - LITHIUM ION BATTERY AND ELECTRODE STRUCTURE THEREOF | 1 |
Jinn-Tsyy Lai | TW | Hsinchu City | 2015-06-25 / 20150174067 - MICROEMULSION PRECONCENTRATES AND MICROEMULSIONS, AND PREPARATION PROCESSES OF THE SAME | 2 |
Kuo-Jen Lai | TW | Taipei | 2010-01-21 / 20100013713 - MULTIPLE FREQUENCY BAND ANTENNA | 3 |
Chang-Keng Lai | TW | Taichung Hsien | 2009-12-17 / 20090308132 - TERMINAL PLIERS | 1 |
Yung-Wei Lai | TW | Tayuan | 2012-03-22 / 20120066903 - METHOD OF MANUFACTURING FPCB SUBSTRATE | 9 |
Guan-Ting Lai | TW | Hsinchu City | 2013-09-26 / 20130250277 - APPARATUS FOR QUANTIFYING UNKNOWN STRESS AND RESIDUAL STRESS OF A MATERIAL AND METHOD THEREOF | 1 |
Jin-Tsai Lai | TW | Taichung Hsien | 2010-07-01 / 20100162547 - BEARING ADJUSTER | 1 |
Zhennan Lai | US | North Potomac | 2012-05-10 / 20120114607 - SAFE LENTIVIRAL VECTORS FOR TARGETED DELIVERY OF MULTIPLE THERAPEUTIC MOLECULES | 3 |
Yen-Lun Lai | US | Denver | 2012-06-21 / 20120152408 - Oil collection assembly | 2 |
Yau S. Lai | US | Orlando | 2009-11-26 / 20090293111 - THIRD PARTY SYSTEM FOR BIOMETRIC AUTHENTICATION | 2 |
Yang Tri Lai | US | Tigard | 2010-05-20 / 20100123037 - APPARATUS AND METHOD FOR DISPENSING TAPE | 2 |
Xin-He Lai | US | Portland | 2010-09-16 / 20100233211 - ATTENUATED FRANCISELLA AND METHODS OF USE | 1 |
Wing L. Lai | US | Williston | 2016-02-04 / 20160035841 - MULTI-COMPOSITION GATE DIELECTRIC FIELD EFFECT TRANSISTORS | 9 |
Wei-Shin Lai | US | Bellefonte | 2008-12-11 / 20080304691 - SLEEP AID SYSTEM AND METHOD | 1 |
Wai Chiu King Lai | US | East Lansing | 2015-02-12 / 20150042781 - NON-VECTOR SPACE SENSING AND CONTROL SYSTEMS AND METHODS FOR VIDEO RATE IMAGING AND MANIPULATION | 2 |
Trevor Irving Lai | US | Ashland | 2008-09-04 / 20080215169 - Audio System | 1 |
Thiet The Lai | US | Orlando | 2011-06-09 / 20110133289 - MULTIPLE DOPING LEVEL BIPOLAR JUNCTIONS TRANSISTORS AND METHOD FOR FORMING | 3 |
Ronald Lai | US | Bedford | 2010-03-18 / 20100068946 - Electrical connector | 1 |
Peter Lai | US | Tallahassee | 2013-12-05 / 20130325367 - DETECTION OF STATIC TIP RESISTANCE OF A PILE | 2 |
Mark Lai | US | Phoenix | 2009-05-28 / 20090133404 - SYSTEMS AND METHODS FOR COOLING GAS TURBINE ENGINE TRANSITION LINERS | 1 |
Lou Lu Lai | US | Gurnee | 2008-09-18 / 20080223151 - Static Torque Tester | 1 |
Kuo-Tsai Griffin Lai | US | Rincon | 2009-03-19 / 20090074685 - POLYAMIDE AND EMOLLIENT COMPOSITIONS, PRODUCTS MADE THEREFROM, AND METHODS OF MAKING AND USING SUCH COMPOSITIONS AND PRODUCTS | 1 |
John Ck Lai | US | Leesburg | 2014-01-30 / 20140030249 - Pharmaceutical Compositions | 3 |
John Lai | US | Fulton | 2010-04-01 / 20100080553 - AUTOMATIC LASER SHUTDOWN AND RECOVERY IN RESPONSE TO A LINK BREAK | 1 |
James Chyl Lai | US | Saint Paul | 2009-04-16 / 20090095338 - SOLAR POWER SOURCE | 1 |
Hau-Wah Lai | US | 2010-04-15 / 20100090824 - Miniature and Multi-Band RF Coil Design | 1 | |
Harry Lai | US | Oveland Park | 2010-03-25 / 20100076924 - SYSTEM AND METHOD OF MANAGING SOFTWARE PRODUCT-LINE CUSTOMIZATIONS | 1 |
George Ying-Dean Lai | US | Carmel | 2008-09-25 / 20080230155 - PROCESS AND METHOD TO INCREASE THE HARDNESS OF Fe-Cr-C WELD OVERLAY ALLOY | 1 |
Frank Lai | US | Nashua | 2009-12-03 / 20090296722 - Modular power line repeater and system | 1 |
Derhsing Lai | US | Yardley | 2008-12-04 / 20080299082 - Novel recombinant T4 phage particle containing HIV, H. pylori or cancer antigens and uses thereof | 2 |
Chunqiu Lai | US | Libertyville | 2016-03-03 / 20160060257 - PYRROLO [2,3-B] PYRIDINE CDK9 KINASE INHIBITORS | 11 |
Chun Kit Lai | US | Grand Blanc | 2009-08-06 / 20090195477 - STACKED PATCH ANTENNAS | 1 |
Ching-Juh Lai | US | Bethesda | 2016-05-19 / 20160137722 - HUMANIZED MONOCLONAL ANTIBODIES THAT SPECIFICALLY BIND AND/OR NEUTRALIZE JAPANESE ENCEPHALITIS VIRUS (JEV) AND THEIR USE | 8 |
Arthur Lai | US | Minnetonka | 2015-08-27 / 20150238094 - SEPARABLE MONITORING DEVICE AND METHOD | 6 |
Chien-Hung Lai | TW | Kaohsiung City | 2014-02-06 / 20140038756 - Stepless Speed-Change Power Transmission for Vehicle | 3 |
Chien-Hung Lai | TW | Renwu Shiang | 2009-06-18 / 20090151691 - Tappet clearance adjusting cover coupled with secondary air valve | 1 |
Chia-Te Lai | TW | Hsinchu City | 2015-07-23 / 20150204607 - DESICCANT CONTAINER WITH MOISTURE INDICATING ABILITY | 2 |
Ching-Kun Lai | TW | Changhua County | 2016-01-07 / 20160007478 - DISPLAY DEVICE | 1 |
Chih Ming Lai | TW | Pingtung City | 2009-10-08 / 20090250715 - LED TRANSPARENT BRICK | 2 |
Po-Jen Lai | TW | Dajia Town | 2010-05-06 / 20100115164 - Mobile Device Combining Business Card with Chip | 1 |
Wing L. Lai | US | Williston | 2016-02-04 / 20160035841 - MULTI-COMPOSITION GATE DIELECTRIC FIELD EFFECT TRANSISTORS | 9 |
Ping-Chi Lai | TW | Tao Yuan Shien | 2014-05-08 / 20140130176 - AUTOMATIC SOFTWARE AUDIT SYSTEM AND ASSOCIATED METHOD | 1 |
Ping-Chi Lai | TW | Taoyuan Shien | 2015-03-19 / 20150081811 - METHOD FOR PROVIDING MESSAGE TO MOBILE COMMUNICATION DEVICE | 1 |
Ping-Chi Lai | TW | Kuei Shan Hsiang | 2016-03-03 / 20160063222 - LICENSE MANAGEMENT METHODS | 2 |
Ming-Chih Lai | TW | Taichung City | 2016-05-19 / 20160141928 - ROTOR STRUCTURE OF INTERIOR-PERMANENT-MAGNET MOTOR | 1 |
Hau Wah Lai | HK | Kowloon | 2016-05-19 / 20160141757 - SHORTED BOWTIE PATCH ANTENNA WITH PARASITIC SHORTED PATCHES | 1 |
Yu-Chin Lai | US | Pittsford | 2010-07-01 / 20100168356 - Biomedical Devices | 20 |
Chao-Chin Lai | TW | Miao-Li | 2009-11-26 / 20090289912 - Touch-sensitive liquid crystal display device with built-in touch mechanism andmethod and method for driving same | 1 |
Chao-Chih Lai | TW | Miao-Li | 2009-10-22 / 20090262054 - Active matrix display device with dummy data lines | 4 |
Chien-Ting Lai | TW | Miao-Li | 2009-03-12 / 20090066869 - Liquid crystal panel having notches for repair | 1 |
Yi-Chang Lai | TW | Miao-Li | 2008-10-16 / 20080252805 - Liquid crystal panel with anti-ESD conductive leads and liquid crystal display with same | 1 |
Wai Ying Lai | HK | Ma On Shan | 2016-01-28 / 20160022058 - MAGNETICALLY COUPLING MANIKIN JOINTS | 1 |
Yuan-Pei Lai | TW | Miao-Li | 2009-03-19 / 20090073150 - Liquid crystal display panel with image sensing system and image processing system using same | 1 |
Pei-Ling Lai | TW | Hsichih | 2009-10-29 / 20090271734 - DISPLAY METHOD AND DEVICE THEREOF | 1 |
Wen-Yueh Lai | TW | Taipei | 2008-11-20 / 20080288818 - Method and System for Protecting Information between a Master Terminal and a Slave Terminal | 1 |
Qingfeng Lai | CN | Shenzhen | 2013-09-26 / 20130250807 - METHOD AND APPARATUS FOR SERVICE CONTROL ON ACCESS NODE | 1 |
Laurence M.c. Lai | CA | Mississauga | 2014-10-02 / 20140291317 - Even Heating Microwavable Container | 8 |
Ting-Fang Lai | TW | New Taipei City | 2013-10-03 / 20130260581 - CONNECTOR MECHANISM FOR CONNECTING A PLUG | 1 |
Chih-Ming Lai | TW | Yangmei City | 2013-10-03 / 20130258293 - Phase Modulation Module and Projector Comprising the Same | 1 |
Zhi-Wei Lai | TW | Zhonghe City | 2013-10-03 / 20130255117 - Display Device and Method for Assembling the Same | 1 |
Fang-Ming Lai | US | Cary | 2010-06-24 / 20100162428 - Method Of Excising A Nucleic Acid Sequence From A Plant Genome | 3 |
Yuk Shing Lai | HK | New Territories | 2015-05-07 / 20150124341 - MACRO CONVERSION LENS | 2 |
Yuk Shing Lai | HK | Fotan | 2013-07-25 / 20130188941 - UNDERWATER CAMERA HOUSING | 1 |
Tzu-Yun Lai | TW | Xizhi City | 2009-06-25 / 20090163494 - PROTEIN KINASE INHIBITORS | 1 |
Banlai Lai | CN | Shenzhen | 2009-10-15 / 20090257870 - THIN-TYPE BLOWER OF HEATED AIR | 1 |
Jing-Zhou Lai | CN | Shenzhen | 2009-09-17 / 20090231440 - Brightness automatically adjusting system and method for adjusting brightness thereof | 2 |
Zhichang Lai | CN | Shenzhen | / - | 1 |
Qing Lai | CN | Shenzhen | 2014-07-17 / 20140197777 - ELECTRICITY SUPPLY SYSTEM | 11 |
Zhan Lai | CN | Shenzhen | 2010-01-21 / 20100016029 - BACKLIGHT FOR MOBILE PHONE AND METHOD OF MANUFACTURING THE SAME | 1 |
Junchen Lai | CN | Shenzhen | 2009-11-19 / 20090287800 - METHOD, DEVICE AND SYSTEM FOR MANAGING NETWORK DEVICES | 1 |
Hao Lai | CN | Shenzhen | 2009-08-27 / 20090213761 - METHOD AND DEVICE FOR ACQUIRING ROUTING INFORMATION AND METHOD AND SYSTEM FOR LOCATING A USER TERMINAL | 3 |
Chin-Shun Lai | TW | Taipei City | 2014-10-16 / 20140305231 - KEYBOARD TESTING MACHINE | 2 |
Min-Nan Lai | TW | Nantou County | 2013-06-27 / 20130165679 - ALPHA-GLUCOSIDASE INHIBITOR | 2 |
Mei-Feng Lai | TW | Hsinchu City | 2016-02-04 / 20160035958 - MANUFACTURING PROCESS OF THE THERMOELECTRIC CONVERSION ELEMENT | 1 |
Kuo-Ming Lai | TW | New Taipei | 2015-01-29 / 20150028050 - AUTOMATIC PILL GRASPING APPARATUS AND METHOD | 13 |
Ze Kang Lai | AU | Glenfield | 2015-06-04 / 20150150416 - Improved Bench Mixer | 2 |
Yen-Hung Lai | TW | Taipei | 2012-01-19 / 20120015723 - HUMAN-MACHINE INTERACTION SYSTEM | 3 |
Chooi-May Lai | AU | Waterford | 2014-12-18 / 20140371438 - TREATMENT OF AMD USING AAV SFLT-1 | 3 |
Chien-Hsun Lai | TW | Taichung City | 2014-10-16 / 20140307336 - THIN-TYPE WIDE-ANGLE IMAGING LENS ASSEMBLY WITH THREE LENSES | 1 |
Yen-Lin Lai | TW | Tainan City | 2016-04-07 / 20160099381 - EPITAXY BASE, SEMICONDUCTOR LIGHT EMITTING DEVICE AND MANUFACTURING METHODS THEREOF | 11 |
Hsiao-Chun Lai | TW | Hsichih Taipei Hsien | 2010-03-25 / 20100075650 - METHOD OF CHANGING STATUS OF INSTANT MESSAGE SOFTWARE | 1 |
Chih-Cheng Lai | TW | Changhua County | 2016-01-21 / 20160015173 - Composite Shelf | 1 |
Benjamin Lai | US | Mountain View | 2016-05-05 / 20160127297 - PERSONALIZED MESSAGES FOR PRODUCT ONBOARDING | 2 |
Rixin Lai | US | San Jose | 2016-05-05 / 20160126726 - OVER-VOLTAGE PROTECTION SYSTEM AND METHOD | 2 |
Mei-Chun Lai | TW | Tao-Yuan County | 2016-02-04 / 20160032111 - Anticorrosive Layer Having a Biomimetic Leaf Surface Nano-microstructure And Application Thereof | 1 |
Ming-Yang Lai | TW | Taipei | 2010-02-04 / 20100028868 - Responsiveness to Therapy for Liver Disorders | 1 |
Hongbin Lai | CN | Hangzhou | 2016-03-03 / 20160066377 - LED DRIVING CIRCUIT AND METHOD USING SINGLE INDUCTOR | 4 |
Tsung-Yueh Lai | TW | Changhua County | 2013-11-28 / 20130312883 - TIRE | 1 |
Chih- Hsin Lai | TW | Changhua County | 2013-11-28 / 20130312883 - TIRE | 1 |
Chien-Feng Lai | TW | Jhubei City | 2009-04-09 / 20090091307 - POWER SUPPLY CIRCUIT AND METHOD FOR ADJUSTING OUTPUT VOLTAGE THEREIN | 1 |
Cheng-Yi Lai | TW | New Taipei | 2016-05-05 / 20160121991 - ANTI-COILING WIRE STRUCTURES AND MOORED BALLOONS WITH THE SAME | 7 |
Ying-Xun Lai | TW | Kaohsiung City | 2013-11-28 / 20130317319 - PHYSIOLOGY MONITORING SYSTEM AND PHYSIOLOGY MONITORING METHOD | 1 |
Hung-Lin Lai | TW | Chang-Hua Hsien | 2016-02-11 / 20160040812 - Quick Connector Structure for a Pipe | 1 |
Hsin-Lung Lai | TW | New Taipei City | 2013-11-07 / 20130293399 - ULTRA-LOW POWER WAKEUP CIRCUIT DEVICE | 1 |
Lai Fan Lai | HK | Hong Kong | 2016-02-11 / 20160039676 - Fullerene Derivatives and Their Applications in Organic Photovoltaics | 1 |
Mei Yun Lai | MY | Puchorg | 2016-02-11 / 20160038913 - PROCESS FOR THE PRODUCTION OF HIGH-QUALITY ACTIVATED CARBONS AS WELL AS ACTIVATED CARBONS PRODUCED ACCORDING TO THE PROCESS | 1 |
Cheng-Tien Lai | TW | Tu-Cheng | 2011-10-20 / 20110255285 - ILLUMINATION DEVICE WITH HEAT DISSIPATION STRUCTURES | 41 |
Fan Wan Lai | US | San Jose | 2010-04-15 / 20100090337 - SYSTEM AND METHOD FOR MULTI-LAYER GLOBAL BITLINES | 4 |
Danny Yu-Youh Lai | US | San Jose | 2014-04-24 / 20140109907 - CPAP INTERFACE AND BACKUP DEVICES | 7 |
Tin H. Lai | US | San Jose | 2013-04-18 / 20130093482 - CLOCK AND DATA RECOVERY CIRCUITRY WITH AUTO-SPEED NEGOTIATION AND OTHER POSSIBLE FEATURES | 5 |
Po-Shen Lai | US | San Jose | 2009-07-02 / 20090167357 - EXTENDING DRIVE CAPABILITY IN INTEGRATED CIRCUITS UTILIZING PROGRAMMABLE-VOLTAGE OUTPUT CIRCUITS | 7 |
Lawrence Lai | US | San Jose | 2016-05-12 / 20160132241 - METHODS AND SYSTEMS FOR MAPPING A PERIPHERAL FUNCTION ONTO A LEGACY MEMORY INTERFACE | 21 |
Peter F. Lai | US | San Jose | 2009-07-02 / 20090172622 - AUTOMATIC BLOCK COMPOSITION TOOL FOR COMPOSING CUSTOM BLOCKS HAVING NON-STANDARD LIBRARY CELLS IN AN INTEGRATED CIRCUIT DESIGN FLOW | 1 |
Chin-Feng Lai | TW | Kaohsiung City | 2013-11-28 / 20130317319 - PHYSIOLOGY MONITORING SYSTEM AND PHYSIOLOGY MONITORING METHOD | 1 |
Szu-Wei Lai | TW | Chu-Nan | 2013-07-25 / 20130189491 - DISPLAY APPARATUS AND COMPOSITE OPTICAL FILM THEREOF AND MANUFACTURING METHOD OF COMPOSITE OPTICAL FILM | 3 |
Chien-Chia Lai | TW | New Taipei City | 2014-10-09 / 20140302764 - SOLAR POWERED HEAT-DISSIPATING DEVICE USED ON CAR WINDOW | 1 |
Chih-Fang Lai | TW | Sanchong City | 2011-03-03 / 20110055589 - INFORMATION CERTIFICATION SYSTEM | 1 |
Shih-Hao Lai | TW | Taichung City | 2015-10-01 / 20150273680 - TELESCOPIC RATCHET WRENCH | 1 |
Hung-Chen Lai | TW | Ji-An Shiang | 2009-03-26 / 20090077917 - Skidproof floor material combining a skidproof technology with production of a modelling totem | 1 |
Ching-Ho Lai | TW | Taichung City | 2015-12-10 / 20150352503 - MICRO-BUBBLE GENERATING DEVICE | 2 |
Tsai-Hsin Lai | TW | Jhubei City | 2014-08-21 / 20140233303 - SRAM Multiplexing Apparatus | 4 |
Ying-Chih Lai | TW | Taipei City | 2015-10-01 / 20150273737 - FLEXIBLE AND STRETCHABLE GRAPHENE FILM AND PREPARING METHOD OF THE SAME | 1 |
Jon Lai | US | Sunnyvale | 2015-10-01 / 20150274410 - FUEL CELL PACKAGE AND METHOD OF PACKING AND UNPACKING FUEL CELL COMPONENTS | 1 |
Yuping Lai | CN | Shanghai | 2015-10-01 / 20150274785 - ANTI-INFLAMMATORY LIPOPEPTIDE AND PREPARING METHOD AND APPLICATION THEREOF | 1 |
Yanping Lai | CN | Xiamen | 2016-02-25 / 20160054836 - TOUCH PANEL | 2 |
Meng-Huang Lai | TW | New Taipei City | 2015-05-21 / 20150137804 - MAGNETIC FIELD SENSING MODULE, MEASUREMENT METHOD, AND MANUFACTURING METHOD OF A MAGNETIC FIELD SENSING MODULE | 2 |
Yaw-Shen Lai | TW | Tu-Cheng | 2010-11-04 / 20100277114 - APPARATUS FOR GENERATING SPEED INSTRUCTION FOR MOTOR CONTROL | 17 |
Laurance M.c. Lai | CA | Mississauga | 2014-11-06 / 20140327182 - Container With Microwave Interactive Web | 1 |
Ya-Yi Lai | TW | Taichung Hsien | 2014-11-06 / 20140327131 - PACKAGE STRUCTURE AND FABRICATION METHOD THEREOF | 1 |
Shin-Chi Lai | TW | Hsinchu | 2014-11-06 / 20140327038 - POWER SEMICONDUCTOR AND MANUFACTURING METHOD THEREOF | 1 |
Chun-Feng Lai | TW | Taichung City | 2011-12-08 / 20110299044 - PROJECTION APPARATUS | 2 |
Chi Lai | CN | Shenzhen City | 2011-12-08 / 20110297549 - ALUMINUM ALLOY-AND-RESIN COMPOSITE AND METHOD FOR MAKING THE SAME | 1 |
Chun-Liang Lai | TW | Xizhi City | 2010-07-15 / 20100179146 - Indolinone Compounds as Kinase Inhibitors | 1 |
Yi-Chun Lai | TW | Hsinchu | 2014-11-06 / 20140326989 - ACTIVE DEVICE | 1 |
Cheng-Wei Lai | TW | Taichung City | 2014-11-06 / 20140326112 - PNEUMATIC RATCHET WRENCH | 1 |
Cheng-Yu Lai | US | Hockessin | 2014-11-06 / 20140326316 - Nanoscale Precursors for Synthesis Of Fe2(Si,Ge)(S,Se)4 Crystalline Particles and Layers | 1 |
Ming-Chih Lai | TW | Kaohsiung City | 2011-05-12 / 20110108416 - MAGNETRON SPUTTER | 1 |
Hsin-Cheng Lai | TW | Zhubei City | 2014-10-30 / 20140325465 - CHIP WITH FLEXIBLE PAD SEQUENCE MANIPULATION AND ASSOCIATED METHOD | 1 |
Hsin-Cheng Lai | TW | Hsinchu County | 2014-10-30 / 20140325137 - MEMORY CONTROLLER AND ASSOCIATED SIGNAL GENERATING METHOD | 1 |
Tzuchieh Lai | CN | Shenzhen | 2015-10-01 / 20150279289 - GOA CIRCUIT FOR LIQUID CRYSTAL DISPLAYING AND DISPLAY DEVICE | 1 |
Wing L. Lai | US | Hopewell Junction | 2015-10-01 / 20150279744 - ANNEALING OXIDE GATE DIELECTRIC LAYERS FOR REPLACEMENT METAL GATE FIELD EFFECT TRANSISTORS | 1 |
Chung-Chiu Lai | TW | Hsinchu | 2015-10-01 / 20150282223 - METHOD AND SYSTEM FOR BINDING MOBILE DEVICE WITH INTELLIGENT APPARATUS | 1 |
Yung-Chih Lai | TW | Miaoli City | 2014-09-25 / 20140286057 - SWITCHING POWER CONVERTING APPARATUS, SWITCHING CONTROLLER FOR THE SAME, AND METHOD OF CONTROLLING A BIPOLAR JUNCTION TRANSISTOR OF THE SAME | 4 |
Wai Yip Jacky Lai | CN | Hong Kong | 2011-12-01 / 20110295877 - SYSTEM AND METHOD FOR ONLINE HANDWRITING RECOGNITION IN WEB QUERIES | 1 |
Fon Lin Lai | SG | Singapore | 2011-12-01 / 20110295857 - SYSTEM AND METHOD FOR ALIGNING AND INDEXING MULTILINGUAL DOCUMENTS | 1 |
Siew Kong Lai | MY | Skudai Johor | 2011-12-01 / 20110295153 - LANCING DEVICE | 1 |
Zhiming Lai | CN | Jiangyin | 2014-07-10 / 20140191379 - LOW-K CHIP PACKAGING STRUCTURE | 2 |
Chih-Wen Lai | TW | Nantou County | 2015-09-24 / 20150269910 - Display Panel | 3 |
Suzanne Lai | US | San Jose | 2015-10-08 / 20150286800 - CLOUD-BASED SERVER FOR FACILITATING HEALTH AND FITNESS PROGRAMS FOR A PLURALITY OF USERS | 1 |
Po-Lin Lai | US | Plainsboro | 2011-12-08 / 20110298895 - 3D VIDEO FORMATS | 2 |
Po-Lin Lai | US | Princeton | 2012-06-07 / 20120140819 - DEPTH MAP CODING | 2 |
Tung-Sheng Lai | TW | Taoyuan County | 2015-09-24 / 20150270448 - FLIP-CHIP LED, METHOD FOR MANUFACTURING THE SAME AND FLIP-CHIP PACKAGE OF THE SAME | 3 |
Yi-Chang Lai | TW | Tauyuan Hsien | 2011-12-01 / 20110291913 - Coaxial antenna device for use with non-magnetic option coupler | 1 |
Xiaoyun Lai | US | Gastonia | 2010-12-09 / 20100311872 - Aqueous Dispersions And Methods Of Making Same | 2 |
Wei-Cheng Lai | TW | Hsinchu City | 2015-10-08 / 20150287556 - Micro Normally-Closed Structure and Method for Manufacturing the Same | 1 |
Joey Lai | TW | Hsinchu City | 2014-10-30 / 20140319670 - IMAGE SENSOR PACKAGE WITH TRENCH INSULATOR AND FABRICATION METHOD THEREOF | 1 |
Shih-Wei Lai | TW | Taichung City | 2014-06-12 / 20140157991 - Environmentally-friendly Exhaust Device | 1 |
Chun-Chih Lai | TW | Guishan Shiang | 2008-10-02 / 20080241509 - Poly lactic acid and veneer laminar structure | 1 |
Yen-Pin Lai | TW | Changhua | 2014-06-05 / 20140153270 - Light assembly for bicycle seat post | 1 |
Bo-Hung Lai | TW | Tainan | 2012-11-08 / 20120283695 - TRANSDERMAL DRUG DELIVERY PATCH AND METHOD OF CONTROLLING DRUG RELEASE OF THE SAME BY NEAR-IR | 1 |
Ping-Chi Lai | TW | Taoyuan City | 2016-05-19 / 20160142486 - DATA-ACCESS SYSTEM AND METHOD FOR STORING DATA AND READING DATA | 1 |
Jin-Shi Lai | CN | Shenzhen City | 2012-06-28 / 20120164518 - ELECTRONIC DEVICE WITH SLIDING BATTERY COVER | 30 |
Jin-Tsai Lai | TW | Taichung City | 2011-07-28 / 20110179917 - HAND TOOL FOR ADJUSTING TORSION | 12 |
Yen-Chieh Lai | TW | Tainan City | 2012-11-22 / 20120293615 - REAL-TIME DEPTH-AWARE IMAGE ENHANCEMENT SYSTEM | 2 |
Yin Hing Lai | CN | Guangzhou City | 2011-11-24 / 20110285284 - Light Emitting Device Using AC and Manufacturing Method of the Same | 1 |
Pamela Lai | US | Campbell | 2008-09-25 / 20080235053 - COMMUNICATION MEDIUM FOR DIABETES MANAGEMENT | 1 |
Mei-Chun Lai | TW | Tongsiao Township | 2010-05-13 / 20100120196 - NANO-ARRAY AND FABRICATION METHOD THEREOF | 1 |
Po-Shen Lai | US | 2011-11-17 / 20110283055 - Exclusive-Option Chips and Methods with All-Options-Active Test Mode | 1 | |
Aaron H. Lai | US | Alameda | 2011-11-17 / 20110282788 - Anonymous Electronic Payment System | 1 |
Chia-Hui Lai | TW | Chiayi County | 2015-10-29 / 20150310975 - Choke | 2 |
Chung-Min Lai | TW | Taipei | 2010-03-11 / 20100060536 - MULTIBAND SATELLITE ANTENNA | 1 |
Chun-Chiang Lai | TW | New Taipei City | 2015-02-05 / 20150036270 - ROTARY MECHANISM AND ELECTRONIC DEVICE THEREOF | 4 |
Frederick Lai | CA | Waterloo | 2012-08-09 / 20120203902 - PING FEATURE FOR ELECTRONIC DEVICES | 2 |
Kam Kwong Lai | HK | Kwai Chung | 2011-11-17 / 20110280702 - INTEGRATED CONNECTOR ASSEMBLY FOR A ROTARY APPARATUS | 1 |
Tsung-Cheng Lai | TW | Luchu Hsiang | 2011-11-17 / 20110280684 - SELF-DRILLING SCREW AND FABRICATING METHOD FOR THE SAME | 1 |
Chin-Hsu Lai | TW | New Taipei City | 2014-01-30 / 20140028503 - MULTIBAND ANTENNA | 1 |
Yi-An Lai | TW | Tao Yuan Shien | 2011-11-17 / 20110280428 - Electronic Device | 1 |
Wen-Cheng Lai | TW | Taoyuan County | 2016-02-25 / 20160053954 - DYNAMIC FLAME SIMULATING DEVICE | 2 |
Yi-Shao Lai | TW | Kaohsiung | 2014-11-13 / 20140332957 - SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF | 6 |
Wen-Hsien Lai | TW | Taoyuan Hsien | 2016-05-12 / 20160134332 - USING A POWER OVER ETHERNET DEVICE TO SUPPLY MULTIPLE DC POWER TO CONNECTED DEVICES AND APPLICATION THEREOF | 3 |
Erh-Kun Lai | TW | Longjing Shiang | 2015-11-05 / 20150318299 - SSL/GSL GATE OXIDE IN 3D VERTICAL CHANNEL NAND | 14 |
Hung-Ching Lai | TW | Hsinchu | 2013-10-03 / 20130257808 - OPTICAL TOUCH APPARATUS | 17 |
Alice Lai | US | Upland | 2012-02-16 / 20120041427 - ELECTROLYTICALLY DRIVEN DRUG PUMP DEVICES | 2 |
Ching-Hong Lai | TW | New Taipei City | 2013-08-29 / 20130221112 - ELECTRONIC CARD | 1 |
Chin-En Lai | TW | New Taipei City | 2014-03-20 / 20140077973 - Method of Guiding Parking Space and Related Device | 1 |
Chien-Sheng Lai | TW | Changhua County | 2011-11-10 / 20110274221 - METHODS FOR ADJUSTING SYSTEM CLOCK IN TERMS OF OPERATIONAL STATUS OF NON-BASEBAND MODULE, METHODS FOR PERIPHERAL DEVICE CONTROL ADJUSTMENT, AND ELECTRONIC DEVICES USING THE SAME | 1 |
Po-Lin Lai | US | Richardson | 2011-11-10 / 20110273529 - CODING OF DEPTH MAPS | 1 |
Chih-Hsi Lai | TW | New Taipei City | 2014-03-27 / 20140085792 - PORTABLE ELECTRONIC DEVICE | 1 |
Ming Te Lai | TW | Taipei County | 2011-11-10 / 20110272298 - EMBROIDERY THREAD ORGANIZING CARD WITH CORRESPONDING THREAD AND EMBROIDERING INFORMATION | 1 |
Alexander I-Chi Lai | TW | New Taipei City | 2015-10-29 / 20150312174 - HYBRID DATA TRANSMISSION METHOD AND RELATED HYBRID SYSTEM | 6 |
Chi-Yu Lai | TW | Dounan Township | 2015-10-15 / 20150295602 - COMMUNICATION APPARATUSES | 1 |
Po-Chih Lai | TW | Taipei | 2009-07-23 / 20090184878 - Broadband antenna | 1 |
Kim Loy Lai | MY | Wilayah Persekutuan | 2015-10-15 / 20150295604 - VERY LOW INTERMEDIATE FREQUENCY (VLIF) RECEIVER AND A METHOD OF CONTROLLING A VLIF RECEIVER | 1 |
Yen-Cheng Lai | TW | New Taipei City | 2014-04-17 / 20140106751 - METHODS FOR AUTONOMOUS FAST RETURN TO PREFERRED RAT AND COMMUNICATIONS APPARATUSES UTILIZING THE SAME | 1 |
Po-Jen Lai | TW | Taichung City | 2015-04-02 / 20150093975 - FLOW-BYPASSING STRUCTURE OF GRINDING TOOL AND GRINDING TOOL HAVING THE SAME | 4 |
Jie-Wei Lai | TW | Taipei | 2014-08-28 / 20140240047 - DIGITALLY-CONTROLLED POWER AMPLIFIER WITH BANDPASS FILTERING/TRANSIENT WAVEFORM CONTROL AND RELATED DIGITALLY-CONTROLLED POWER AMPLIFIER CELL | 13 |
Yu-Chun Lai | TW | Taipei | 2014-11-20 / 20140344542 - KEY-VALUE PAIRS DATA PROCESSING APPARATUS AND METHOD | 2 |
Larry Lai | US | San Jose | 2016-04-07 / 20160095668 - INDIVIDUALIZED ORTHODONTIC TREATMENT INDEX | 2 |
Chun-Tsu Lai | TW | Tu-Cheng | 2011-11-03 / 20110269075 - METHOD OF FABRICATING COLOR FILTER WITH FLEXIBLE SUBSTRATE | 1 |
Qing Lai | US | 2011-11-03 / 20110267168 - FUSE | 1 | |
Yingguang Lai | CN | Dongguan Guangdong | 2011-11-03 / 20110265411 - NEW PLASTIC FLOOR | 1 |
Yu-Shiang Lai | TW | Taipei | 2009-01-29 / 20090025810 - MICRO-VORTEX GENERATOR | 1 |
Song Lai | US | Cherry Hill | 2011-10-27 / 20110260726 - PHASE LABELING USING SENSITIVITY ENCODING: DATA ACQUISITION AND IMAGE RECONSTRUCTION FOR GEOMETRIC DISTORTION CORRECTION IN EPI | 1 |
Hong Cheng Lai | GB | Bath | 2015-11-19 / 20150333610 - ELECTRICAL MACHINE | 2 |
Liang-Yun Lai | TW | Taichung City | 2011-10-27 / 20110259874 - Flat Heating Apparatus | 1 |
Pak-Kei Lai | HK | Hong Kong | 2011-10-27 / 20110259380 - SOLAR PHOTOVOLTAIC MODULE SELF-CLEANING SYSTEM | 1 |
Tzu-Wei Lai | TW | New Taipei | 2016-03-31 / 20160091006 - FIXING ASSEMBLY AND FIXING DEVICE | 1 |
Liang-Tzu Lai | TW | Taichung | 2014-06-05 / 20140151253 - Hex key holder | 1 |
Xinquan Lai | CN | Xi'An | 2015-10-01 / 20150282262 - NON-ISOLATED LED DRIVING CIRCUIT | 1 |
Changcai Lai | CN | Hangzhou | 2015-10-29 / 20150312596 - Image Processing Method and Apparatus | 7 |
Chen-Chung Lai | TW | Guanxi Township | 2016-01-07 / 20160005650 - SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME | 9 |
Wenyih Frank Lai | US | Bridgewater | 2016-05-05 / 20160122193 - Zeolite Synthesis with Dominant and Secondary Templates | 22 |
Kuo-Chih Lai | TW | Tainan City | 2015-02-19 / 20150050799 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 15 |
Kuo-Chih Lai | TW | Tai-Nan City | 2011-11-03 / 20110266596 - Semiconductor device and method of making the same | 5 |
Chin-Lung Lai | TW | Taipei | 2011-10-27 / 20110260971 - MULTI-FUNCTION MOUSE DEVICE | 8 |
Yu-Sheng Lai | TW | Tainan City | 2010-05-13 / 20100121804 - PERSONALITY-SENSITIVE EMOTION REPRESENTATION SYSTEM AND METHOD THEREOF | 1 |
Chao-Sung Lai | TW | Kwei-Shan Tao-Yuan | 2014-03-06 / 20140060740 - Plasma processing device | 1 |
Kuo-Hsin Lai | TW | Hsinchu County | 2016-04-07 / 20160098316 - ERROR PROCESSING METHOD, MEMORY STORAGE DEVICE AND MEMORY CONTROLLING CIRCUIT UNIT | 14 |
Chi-Chang Lai | TW | Hsinchu County | 2016-04-21 / 20160110203 - COMPUTER SYSTEM FOR NOTIFYING SIGNAL CHANGE EVENT THROUGH CACHE STASHING | 11 |
Danny Cho-Liang Lai | US | Belmont | 2011-10-13 / 20110251932 - Systems and Methods for a Causality Analyzer | 1 |
Ren-Hao Lai | TW | Yilan County | 2010-11-25 / 20100296598 - SORTED QR DECOMPOSITION METHOD USED IN DETECTION OF MIMO ANTENNA SYSTEM AND DETECTOR USING THE SAME | 1 |
Wei-Hao Lai | TW | Kaohsiung City | 2016-03-03 / 20160059195 - SHEAR THICKENING FORMULATION AND COMPOSITE MATERIAL EMPLOYING THE SAME | 1 |
Kent Lai | US | Salt Lake City | 2014-09-25 / 20140288100 - GALACTOKINASE INHIBITORS FOR THE TREATMENT AND PREVENTION OF ASSOCIATED DISEASES AND DISORDERS | 2 |
Tzu-Chia Lai | TW | Hsinchu | 2011-10-13 / 20110251082 - BIOMARKERS FOR BREAST CANCER | 1 |
Kuang-Chu Lai | TW | New Taipei City | 2014-06-05 / 20140153277 - ASSEMBLING STRUCTURE FOR LED LAMP MODULE | 8 |
Ming-Sheng Lai | TW | Hsin-Chu | 2016-03-31 / 20160095206 - Display Module Having Different Curvature Design | 21 |
Po-Seu Lai | TW | Changhua County | 2011-10-13 / 20110248447 - Shooting game machine | 1 |
Chun-Ting Lai | TW | Hsinchu Hsien | 2016-03-03 / 20160060404 - POLYIMIDE FILM ARRANGEMENT, AND MANUFACTURE AND ASSEMBLY THEREOF | 3 |
Hui-Lin Lai | TW | Taipei | 2015-01-29 / 20150031110 - PHYTASE HAVING IMPROVED ENZYMATIC ACTIVITY | 2 |
Ping Wing Lai | US | Belmont | 2014-10-23 / 20140313065 - CLOCK SIGNAL ERROR CORRECTION IN A DIGITAL-TO-ANALOG CONVERTER | 1 |
Pin Yong Lai | MY | Selangor | 2011-10-06 / 20110246366 - AUTHENTICATION USING TELECOMMUNICATIONS DEVICE | 1 |
Qingzhang Lai | US | Austin | 2011-10-06 / 20110246180 - ENHANCING LANGUAGE DETECTION IN SHORT COMMUNICATIONS | 1 |
Yu-Tsung Lai | TW | Tai-Chung Hsien | 2011-10-13 / 20110250751 - METHOD FOR FILLING METAL | 5 |
Soo Chen Lai | SG | Singapore | 2011-10-06 / 20110243361 - SPEAKER SYSTEM | 1 |
Hung-Lin Lai | TW | Changhua | 2014-09-18 / 20140264117 - VALVE STRUCTURE | 1 |
Yen-Hsin Lai | TW | Taipei City | 2016-03-17 / 20160079251 - SINGLE-POLY NONVOLATILE MEMORY CELL | 8 |
Tsung-Yu Lai | TW | Taichung City | 2011-10-06 / 20110241914 - TEST SYSTEM AND METHOD FOR ANALOG-TO-DIGITAL CONVERTER | 1 |
Yu-Ching Lai | TW | Taichung | 2015-10-15 / 20150290779 - RATCHET WRENCH AND BODY USED IN RATCHET WRENCH | 2 |
Peng Lai | US | Foster City | 2014-06-05 / 20140152303 - MAGNETIC RESONANCE IMAGING DATA SAMPLING METHODS AND SYSTEMS | 2 |
Chih-Huang Lai | TW | Hsinchu City | 2015-11-12 / 20150325784 - MAGNETIC ELECTRONIC DEVICE AND MANUFACTURING METHOD THEREOF | 7 |
Po-Liang Lai | TW | Changhua City | 2012-01-12 / 20120010139 - THERMAL RESPONSIVE COMPOSITION FOR TREATING BONE DISEASES | 3 |
Yu-Tsung Lai | TW | Fongyuan City | 2011-10-06 / 20110244678 - SEMICONDUCTOR PROCESS | 1 |
David Lai | TW | Taichung | 2014-04-24 / 20140113769 - EXERCISERS WITH SMART MANAGE SYSTEM AND METHODS USING THE SAME | 1 |
Ken Hanh Duc Lai | US | Sunnyvale | 2015-09-10 / 20150255176 - MEMORY TEST ECC AUTO-CORRECTION OF FAILING DATA | 5 |
Chi-Kuang Lai | TW | Taoyuan County | 2016-05-05 / 20160124542 - CAPACITIVE TOUCH PANEL AND METHOD OF MAKING THE SAME | 2 |
Kuan-Liang Lai | TW | Tainan City | 2015-10-29 / 20150311141 - Profile of Through Via Protrusion in 3DIC Interconnect | 1 |
Paul H. Lai | US | Arcadia | 2015-10-29 / 20150312449 - Apparatus and Method for Monitoring Performance Characteristics of a Component of a Vehicle | 1 |
Yu Hao Lai | TW | Taoyuan County | 2016-02-25 / 20160057898 - SHIELDING FILM AND METHOD OF MANUFACTURING SAME | 1 |
Jui-Yao Lai | TW | Yuanlin Township | 2015-11-05 / 20150318341 - Semiconductor Device and Method for Forming Same | 5 |
Yi-Hsiang Lai | TW | Taipei City | 2016-02-25 / 20160055270 - LOGIC CIRCUIT AND SYSTEM AND COMPUTER PROGRAM PRODUCT FOR LOGIC SYNTHESIS | 1 |
Xiaobo Lai | CN | Chengdu, Sichuan | 2016-02-25 / 20160052928 - INTERMEDIATE OF TICAGRELOR AND PREPARATION METHOD THEREFOR, AND PREPARATION METHOD FOR TICAGRELOR | 1 |
Ming-Hsiang Lai | TW | Changhua County | 2016-02-25 / 20160052250 - FRIT ENCAPSULATION APPARATUS | 1 |
Hsin-Hung Lai | TW | Taipei | 2012-03-29 / 20120075793 - CONNECTION STRUCTURE HAVING GUIDING MECHANISM | 2 |
Jyun-Liang Lai | TW | Taichung | 2016-03-31 / 20160094291 - OPTICAL COMMUNICATION DEVICE AND CONTROL METHOD THEREOF | 1 |
Cheng-Chia Lai | TW | New Taipei City | 2015-07-09 / 20150193072 - TOUCH EMULATOR | 4 |
Min Hung Lai | TW | Taichung | 2016-02-25 / 20160052124 - BITS RECEIVED INSIDE OF A HANDLE OF SCREWDRIVER | 1 |
Chi Wai Lai | CN | Hong Kong | 2016-02-11 / 20160043618 - Motor and End Cap Assembly Thereof | 2 |
Wei-An Lai | TW | Taichung | 2016-03-17 / 20160077153 - MEMORY UTILIZING BUNDLE-LEVEL STATUS VALUES AND BUNDLE STATUS CIRCUITS | 1 |
Jiin Lai | TW | Taipei | 2012-04-05 / 20120084485 - USB TRANSACTION TRANSLATOR AND AN ISOCHRONOUS-IN TRANSACTION METHOD | 13 |
Barry W. Lai | US | San Francisco | 2011-09-29 / 20110239149 - TIMELINE CONTROL | 1 |
Chin-Yi Lai | TW | Taichung City | 2016-02-25 / 20160051875 - MOVEABLE BASKETBALL HOOP DEVICE | 1 |
Ching-Feng Lai | TW | Taipei City | 2014-07-03 / 20140186156 - FAN CONTROL CIRCUIT AND SYSTEM | 4 |
Chin-Te Lai | TW | New Taipei | 2012-04-26 / 20120100760 - ELECTRICAL CONNECTOR HAVING AN IMPROVED REAR COVER | 4 |
Winky Lai | US | Seattle | 2014-12-04 / 20140353464 - HIGH STRENGTH REBAR | 2 |
Chia-Chi Lai | TW | Taichung City | 2016-04-28 / 20160119716 - SURROUND FOR DIAPHRAGM | 3 |
Yu-Hung Lai | TW | Tainan City | 2016-05-19 / 20160141278 - LIGHT EMITTING DEVICE | 5 |
Chun-Chung Lai | TW | Tu-Cheng | 2011-09-29 / 20110234069 - MOBILE TERMINAL | 1 |
Sze Leong Lai | HK | New Territories | 2011-09-29 / 20110233178 - LASER PROCESSING APPARATUS | 1 |
Yicong Lai | CN | Suzhou | 2015-11-12 / 20150320298 - HOLDER FOR A TRANSESOPHAGEAL ECHOCARDIOGRAPHY PROBE | 1 |
Yicong Lai | US | Pittsburgh | 2015-11-12 / 20150320392 - SLEEVE FOR A TRANSESOPHAGEAL ECHOCARDIOGRAPHY PROBE | 1 |
Chia Wei Lai | TW | Taichung | 2016-02-25 / 20160052124 - BITS RECEIVED INSIDE OF A HANDLE OF SCREWDRIVER | 2 |
Li-Hung Lai | TW | Hsinchu County | 2014-09-25 / 20140284633 - STACKED LIGHT EMITTING DIODE ARRAY STRUCTURE | 1 |
Su-Chen Lai | TW | Hsinchu City | 2012-10-25 / 20120270379 - METHOD OF FABRICATING A DUMMY GATE STRUCTURE IN A GATE LAST PROCESS | 9 |
Chien-Hsin Lai | TW | Tainan City | 2009-11-19 / 20090286009 - METHOD FOR OPERATING A CHEMICAL DEPOSITION CHAMBER | 1 |
Yungkai Kyle Lai | US | Aliso Viejo | 2013-08-15 / 20130211274 - Determining Usability of an Acoustic Signal for Physiological Monitoring Using Frequency Analysis | 6 |
Yu-Ting Lai | TW | Taichung City | 2015-11-12 / 20150321437 - FOLDABLE BOX SEALING MACHINE | 1 |
Man On Lai | SG | Singapore | 2012-11-01 / 20120273717 - LiMPO4-based compositions of matter for cathodes for high-performance Li batteries | 2 |
Tiffany Yan Lai | CA | Edmonton | 2015-11-12 / 20150323544 - Drug Discovery and Protein-Protein Interaction Assay Using Fluorescent Protein Exchange | 1 |
Hoa Van Lai | US | Austin | 2014-04-17 / 20140108985 - Configurable User Displays in a Process Control System | 1 |
Ming-Yi Lai | TW | Changhua City | 2011-09-22 / 20110226427 - Method of Recovering Aqueous N-methylmorpholine-N-Oxide Solution Used in Production of Lyocell Fiber | 1 |
Chi-Kuang Lai | TW | Hsinchu County | 2015-11-12 / 20150323723 - ANTI-BURST OGS TOUCH PANEL | 1 |
Chia-Cheng Lai | TW | Tainan County | 2012-03-15 / 20120062849 - PROJECTION APPARATUS | 11 |
Ting-Yu Lai | TW | Taipei City | 2015-11-12 / 20150324340 - METHOD FOR GENERATING REFLOW-CONTENT ELECTRONIC BOOK AND WEBSITE SYSTEM THEREOF | 1 |
Chyi-Lang Lai | TW | Taipei Hsien | 2012-08-16 / 20120208379 - AC INLET | 2 |
Yat Tung Lai | HK | Hong Kong | 2012-05-24 / 20120126736 - Bootstrapped High-Side Driver Control Without Static DC Current for Driving a Motor Bridge Circuit | 2 |
Chiukin(steven) Lai | US | Sunnyvale | 2012-09-27 / 20120244704 - METHOD FOR REMOVING OXIDES | 2 |
Larry L. Lai | US | Walnut | 2013-11-21 / 20130307754 - LIGHTWEIGHT STIFFENER WITH INTEGRATED RF CAVITY-BACKED RADIATOR FOR FLEXIBLE RF EMITTERS | 4 |
Ying-Hua Lai | TW | Taipei | 2015-11-12 / 20150325646 - STRUCTURES AND FORMATION METHODS OF FINFET DEVICE | 1 |
Andy Lai | CA | Markham | 2015-11-19 / 20150332252 - SYSTEM FOR ACTIVATION OF A DIGITAL CARD | 1 |
Chien-Wen Lai | TW | Zhubei City | 2015-11-19 / 20150331100 - ULTRASONIC DETECTION DEVICE AND DETECTION METHOD THEREOF | 1 |
Chien-Yuan Lai | TW | Hsinchu | 2015-11-19 / 20150331457 - Portable Computer | 2 |
Katherine Lai | US | Mountain View | 2015-11-19 / 20150331909 - APPLICATION PROGRAMMING INTERFACE FOR TABULAR GENOMIC DATASETS | 1 |
Kuo-Jen Lai | TW | Hsinchu | 2015-11-19 / 20150333390 - Wideband Antenna and Wireless Communication Device | 1 |
Chih-Chang Lai | TW | Tai Chung County | 2011-08-18 / 20110199332 - CAPACITIVE TOUCH PANEL AND ELECTRODE STRUCTURE THEREOF | 8 |
Rakesh Lai | US | Goleta | 2008-12-04 / 20080296173 - Electrolysis transistor | 1 |
Chih-Feng Lai | TW | Taipei | 2016-02-25 / 20160055361 - BARCODE SCANNER AND OPERATIONAL METHOD OF THE SAME | 1 |
Chih-Cheng Lai | TW | Taipei | 2013-02-28 / 20130047931 - PET CHEW BALL | 7 |
Wen-Fu Lai | TW | Taipei City | 2015-05-28 / 20150147720 - Tooth-Replacement Implant | 4 |
Ying-Ju Lai | TW | Taipei City | 2011-09-08 / 20110216005 - INPUT DEVICE | 1 |
Chih-Hung Lai | TW | Tu-Cheng | 2011-09-08 / 20110215980 - ANTENNA FOR PORTABLE DEVICE | 1 |
Ming-Yi Lai | TW | Changhua | 2013-11-28 / 20130313750 - Process for Producing an Antistatic Yarn | 1 |
Chun-Hung Lai | TW | Taipei City | 2014-02-13 / 20140042675 - METHOD FOR MANUFACTURING AN ALUMINUM NITRIDE PARTICLE AND APPLICATION THEREOF | 1 |
Yusen Lai | CN | Shenzhen | 2014-10-02 / 20140298287 - METHODS AND SYSTEMS FOR CREATING DATA APPLICATIONS | 1 |
Chang-Chi Lai | TW | Taipei | 2009-05-28 / 20090135554 - KEYBOARD | 1 |
Pok-Yui Lai | CN | Hong Kong | 2014-10-02 / 20140296161 - DIDEMNIN BIOSYNTHETIC GENE CLUSTER IN TISTRELLA MOBILIS | 1 |
Chun Che Lai | CN | Beijing | 2015-11-26 / 20150340005 - DISPLAY METHOD OF DISPLAY DEVICE AND DISPLAY DEVICE | 1 |
Ching-Wen Lai | TW | Hsinchu | 2014-06-12 / 20140164691 - MEMORY ARCHITECTURE FOR DISPLAY DEVICE AND CONTROL METHOD THEREOF | 3 |
Chen-Tung Lai | TW | Hsinchu | 2009-09-24 / 20090235894 - Lubrication apparatus for engines | 1 |
Wei-Jen Lai | TW | Hsinchu | 2011-05-12 / 20110108936 - PRESSURE DETECTOR AND PRESSURE DETECTOR ARRAY | 1 |
Ming-Ping Lai | TW | Hsinchu | 2011-04-28 / 20110099566 - OPTICAL DISC DRIVE | 2 |
Ching-Lung Lai | TW | Hsinchu | 2011-04-14 / 20110085254 - FIXED-FOCUS LENS | 3 |
Sanjaya Lai | US | South San Francisco | 2015-03-26 / 20150088855 - SHARING INFORMATION BETWEEN TENANTS OF A MULTI-TENANT DATABASE | 10 |
Jing-Shiun Lai | TW | Taichung | 2014-04-03 / 20140095892 - DIGITAL INFORMATION PROTECTING METHOD AND APPARATUS, AND COMPUTER ACCESSIBLE RECORDING MEDIUM | 2 |
Jung-Chi Lai | TW | Guishan Shiang | 2010-01-07 / 20100005065 - ICON PROCESSING APPARATUS AND ICON PROCESSING METHOD | 2 |
Jung-Chi Lai | TW | Guishan Township | 2010-10-21 / 20100263464 - Operating Mechanism and Operating Device Using the Same | 2 |
Chih-Yi Lai | TW | Sijhih City | 2009-09-03 / 20090219350 - PNEUMATIC INK-JET SYSTEM | 1 |
Da-Wei Lai | NL | Nijmegen | 2015-11-26 / 20150342098 - I/O DEVICE, METHOD FOR PROVIDING ESD PROTECTION FOR AN I/O DEVICE AND ESD PROTECTION DEVICE FOR AN I/O DEVICE | 1 |
Gaifa Lai | US | Edison | 2011-09-01 / 20110213029 - 3,4-Di-Substituted Cyclobutene-1,2-Diones as CXC-Chemokine Receptor Ligands | 1 |
Chih-Huang Lai | TW | Hsinchu | 2013-01-31 / 20130029451 - METHOD FOR MAKING A SOLAR CELL | 5 |
Jihn-Sung Lai | TW | Hsinchu | 2011-10-20 / 20110255735 - PROBE MONITORING SYSTEM FOR RIVERBED ELEVATION MONITORING AT BRIDGE PIERS | 3 |
Kwai Sang Lai | HK | Tuen Mun | 2014-09-18 / 20140272539 - BATTERIES | 1 |
Mei Lai | US | Longmont | 2016-03-17 / 20160074399 - Salts of an Epidermal Growth Factor Receptor Kinase Inhibitor | 11 |
Jen-De Lai | TW | New Taipei City | 2015-12-03 / 20150349824 - METHODS AND DEVICES FOR DISPLAYING MULTIPLE SUBSCRIBER IDENTITY MODULE CARD SLOTS INFORMATION | 1 |
Che-Yung Lai | TW | Hsinchu | 2012-10-25 / 20120270397 - Photomask and Method for Fabricating Source/Drain Electrode of Thin Film Transistor | 2 |
Shinn-Juh Lai | TW | Hsinchu | 2010-12-23 / 20100321858 - MULTILAYER CAPACITORS AND METHODS FOR MAKING THE SAME | 4 |
Jinn-Tsyy Lai | TW | Hsinchu | 2012-12-06 / 20120308649 - Bacterial cellulose composite with capsules embedded therein and preparation thereof | 3 |
Hong-Jen Lai | TW | Hsinchu | 2010-12-09 / 20100310792 - Gas Sensor and Manufacturing Method Thereof | 2 |
Ying-Jiunn Lai | TW | Hsinchu | 2010-10-14 / 20100259338 - High frequency and wide band impedance matching via | 2 |
Hsin-Yu Lai | TW | Hsinchu | 2010-08-26 / 20100216244 - Microfluidic Chip and Method Using the Same | 1 |
Ming-Wei Lai | TW | Hsinchu | 2010-07-01 / 20100163752 - METHOD OF PROCESSING OBJECTS BY FOCUSED ION BEAM SYSTEM AND CARRIER USED THEREWITH | 2 |
Xinzhong Lai | US | Edison | 2011-08-25 / 20110207154 - METHOD FOR DETERMINATION OF MARINOBUFAGENIN LEVELS AND COMPOUNDS EMPLOYABLE IN SUCH METHOD | 1 |
Chung-Chiu Lai | TW | Hsinchu County | 2012-05-17 / 20120122501 - WIRELESS COMMUNICATION SYSTEM AND DEVICE THEREOF | 6 |
Aymeric Lai | FR | Grenoble | 2009-10-22 / 20090261535 - DEVICE AND METHOD FOR HERMETICALLY SEALING A CAVITY IN AN ELECTRONIC COMPONENT | 1 |
Ching-Ming Lai | TW | Hsinchu City | 2011-08-25 / 20110205762 - INTEGRATED-TYPE HIGH STEP-UP RATIO DC-AC CONVERSION CIRCUIT WITH AUXILIARY STEP-UP CIRCUIT | 1 |
Chih-Ming Lai | TW | Tainan City | 2014-09-18 / 20140268831 - HEAT DISSIPATING DEVICE AND ILLUMINATION DEVICE HAVING THE SAME | 1 |
Chin-I Lai | TW | Tainan County | 2011-11-17 / 20110278823 - CHILD TRAILER | 2 |
Hung-Ching Lai | TW | Hsinchu | 2013-10-03 / 20130257808 - OPTICAL TOUCH APPARATUS | 17 |
Kafai Lai | US | Poughkeepsie | 2016-03-24 / 20160085896 - METHOD FOR DESIGNING TOPOGRAPHIC PATTERNS FOR DIRECTING THE FORMATION OF SELF-ASSEMBLED DOMAINS AT SPECIFIED LOCATIONS ON SUBSTRATES | 16 |
Chang-Chin Lai | TW | Hsinchu | 2009-10-29 / 20090267905 - Cursor Input Device With Dual Input Modes | 1 |
Jui-Yang Lai | TW | Hsinchu | 2009-10-22 / 20090263465 - Biopolymer-Bioengineered Cell Sheet Construct | 1 |
Wei-Han Lai | TW | New Taipei City | 2016-04-21 / 20160108170 - PHOTORESIST HAVING DECREASED OUTGASSING | 4 |
Cheng-Yu Lai | US | La Jolla | 2016-03-10 / 20160068576 - Vault Complexes for Facilitating Biomolecule Delivery | 3 |
Laijun Lai | US | Newington | 2011-08-18 / 20110200562 - Chimeric Cytokine of IL-7 and Beta Chain of HGF and Methods of Use | 1 |
Shouwen Lai | US | San Diego | 2015-04-16 / 20150103750 - METHODS AND APPARATUS FOR ENHANCED DOWNLINK COMMUNICATION | 4 |
Hung-Ching Lai | TW | Hsinchu City | 2013-06-13 / 20130147765 - OPTICAL TOUCH DEVICE, AND LIGHT SOURCE ASSEMBLY AND DISPLAY MODULE THEREOF | 3 |
Jui Hsieh Lai | TW | Taoyuan City | 2016-04-28 / 20160116335 - Optical Spectroscopy Device, Process of Making the Same, and Method of Using the Same | 15 |
Kuang-Chou Lai | TW | Hsinchu | 2009-07-30 / 20090189531 - Backlight Module | 1 |
Ching-Chih Lai | TW | Hsinchu | 2011-06-30 / 20110159267 - STARCH FILM AND METHOD FOR MANUFACTURING STARCH FOAM | 2 |
Joseph Lai | TW | Hsinchu | 2009-04-23 / 20090104545 - Color filter and fabrication method thereof | 1 |
Jiun-Yo Lai | TW | Hsinchu | 2009-04-09 / 20090092194 - ADAPTIVE PILOT DESIGN FOR MOBILE SYSTEM | 1 |
Kuping Lai | TW | Hsinchu | 2009-02-26 / 20090052139 - Heat-Dissipation Apparatus For Communication Device With Card Slot | 1 |
Tzu-Chieh Lai | CN | Guangdong | 2015-12-03 / 20150348484 - ROW DRIVING CIRCUIT FOR ARRAY SUBSTRATE AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Shih-Kuo Lai | TW | Hsinchu | 2013-06-27 / 20130164873 - Nitride-Based Light-Emitting Device | 2 |
Shang-Hong Lai | TW | Hsinchu | 2014-10-16 / 20140307128 - IMAGE PROCESSING METHOD APPLICABLE TO IMAGES CAPTURED BY WIDE-ANGLE ZOOMABLE LENS | 4 |
Chung-Yu Lai | TW | Hsinchu | 2010-05-20 / 20100123836 - IMAGE-PROJECTION SYSTEMS | 1 |
Chun-Kai Lai | TW | Hsinchu | 2010-05-13 / 20100117944 - LIQUID CRYSTAL DISPLAY PANEL | 1 |
Rui-Yang Lai | TW | Hsinchu | 2011-09-22 / 20110227869 - BISTABLE DISPLAY DEVICE | 3 |
Steve Lai | US | Fremont | 2014-09-18 / 20140274756 - Detection of Nucleic Acids | 1 |
Yinchieh Lai | TW | Hsinchu | 2010-09-02 / 20100220386 - CLADDING-PUMPED EVANESCENT GAIN OPTICAL FIBER | 2 |
Chih-Chang Lai | TW | Tai Chung County | 2011-08-18 / 20110199332 - CAPACITIVE TOUCH PANEL AND ELECTRODE STRUCTURE THEREOF | 8 |
Konrad K. Lai | US | Vancover | 2011-08-11 / 20110197182 - DEBUGGING PARALLEL SOFTWARE USING SPECULATIVELY EXECUTED CODE SEQUENCES IN A MULTIPLE CORE ENVIRONMENT | 1 |
Pei-Ling Lai | TW | New Taipei City | 2014-04-17 / 20140108502 - STREAMING DATA DOWNLOADING METHOD AND COMPUTER READABLE RECORDING MEDIUM THEREOF | 6 |
Wei-Ting Lai | TW | Taipei City | 2015-12-03 / 20150349830 - SLIDING STAND ASSEMBLY | 1 |
Hongshan Lai | US | Columbus | 2016-02-18 / 20160046578 - INHIBITORS OF PRMT5 AND METHODS OF THEIR USE | 1 |
Yi-Chi Lai | TW | Taipei City | 2015-12-03 / 20150350466 - MOBILE DEVICE, COMPUTER DEVICE AND IMAGE CONTROL METHOD THEREOF | 1 |
Feng-Min Lai | TW | Taichung City | 2016-03-24 / 20160088394 - MANUFACTURING METHOD FOR STIFFENED DIAPHRAGM AND THE MANUFACTURED DIAPHRAGM USING SAME | 3 |
Sim Poh Lai | MY | Penang | 2011-08-11 / 20110195231 - QUADRUPLE-WALL CORRUGATED PAPERBOARD AND METHOD OF MANUFACTURE | 1 |
Yung-Ching Lai | TW | Singang Township | 2015-10-22 / 20150300082 - AUTOMATIC CORD COLLECTOR OF A WINDOW BLIND | 2 |
Chun Kuang Lai | TW | Hsinchu Hsien | 2011-09-01 / 20110212305 - TRANSPARENT CONDUCTIVE LAMINATE COMPRISING VISUAL LIGHT ADJUSTMENT LAYERS | 2 |
Yu-Chih Lai | TW | Taoyuan | 2011-08-11 / 20110193969 - OBJECT-DETECTING SYSTEM AND METHOD BY USE OF NON-COINCIDENT FIELDS OF LIGHT | 1 |
Shih-Chang Lai | TW | Hsinchu | 2010-04-15 / 20100091195 - De-ringing Device and Method | 1 |
Tzungshen Lai | CN | Jiangsu | 2011-08-11 / 20110193264 - METHOD OF MAKING AN INVERTED-HOOK GROOVE FOR A PLANT-FIBER MOLDED CUP LID | 1 |
Yi-Jen Lai | TW | Chang Hua City | 2015-05-07 / 20150125998 - METAL BUMPS FOR COOLING DEVICE CONNECTION | 9 |
Joey Lai | TW | Hsinchu | 2011-08-11 / 20110193210 - IMAGE SENSOR PACKAGE WITH TRENCH INSULATOR AND FABRICATION METHOD THEREOF | 1 |
Chun-Ching Lai | TW | Dali City | 2010-02-11 / 20100033985 - LED Luminescent Device and Vehicle Lamp Comprising the Device | 1 |
Ching-Kun Lai | TW | Hsinchu | 2010-01-07 / 20100002417 - BACK BEZEL FOR USE IN A BACKLIGHT MODULE STRUCTURE, THE BACKLIGHT MODULE STRUCTURE, AND THE METHOD FOR MANUFACTURING THE BACK BEZEL | 1 |
Wenyih F. Lai | US | Bridgewater | 2016-05-05 / 20160121315 - BASE STOCK PRODUCTION USING A HIGH ACTIVITY CATALYST | 17 |
Wei-Cheng Lai | US | Cupertino | 2015-12-03 / 20150347423 - METHODS FOR COMPLETING A USER SEARCH | 7 |
Hsin-Yi Lai | TW | Hsinchu | 2009-07-09 / 20090174858 - Array Substrate Adapted for Liquid Crystal Display Device and Liquid Crystal Display Device | 1 |
Wei-Chi Lai | TW | Kaohsiung City | 2011-08-04 / 20110188001 - PROJECTOR USING LEDS AS LIGHT SOURCES | 1 |
Simon Y.k. Lai | TW | Taipei | 2011-08-04 / 20110187856 - VIDEO SURVEILLANCE DEVICE WITH INFRARED LED | 1 |
Yao-Cheng Lai | TW | Hsinchu | 2011-09-08 / 20110214264 - PIEZOELECTRONIC DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Tung Kwong Lai | HK | Kwai Chung | 2011-08-04 / 20110187052 - SYSTEMS AND METHODS FOR FACILITATING PARTICIPATION IN CARD GAMES | 1 |
Kun-Pin Lai | TW | Hsin-Chu City | 2011-12-01 / 20110296081 - DATA ACCESSING METHOD AND RELATED CONTROL SYSTEM | 2 |
Yisong Lai | CN | Guangdong | 2015-12-10 / 20150354776 - LED AUTOMOBILE HEADLAMP | 1 |
Chin-Sen Lai | TW | Taichung City | 2012-05-03 / 20120103531 - APPARATUS FOR LAMINATING A FILM ON A WAFER | 6 |
Yu-Cheng Lai | TW | Taichung City | 2014-06-26 / 20140176837 - DISPLAY PANEL | 4 |
Chin-Hsin Lai | TW | Yuanlin Chang Hwa Hsien | 2011-08-04 / 20110186200 - TUBLESS TIRE | 1 |
Chung-Ping Lai | TW | New Taipei City | 2015-12-10 / 20150354788 - LAMP | 1 |
Chienhung Lai | TW | Ping-Chen | 2015-12-10 / 20150353056 - WIPER BLADE AND ADAPTOR THEREOF | 1 |
Brandon Lai | US | Morgan Hill | 2014-02-27 / 20140059413 - APPLICATION MODULE FOR MANAGING JOBS ASYNCHRONOUSLY | 3 |
Chee Kong Lai | US | Littleton | 2015-12-10 / 20150353625 - VARIANT OF ANTIHEMOPHILIC FACTOR VIII HAVING INCREASED SPECIFIC ACTIVITY | 1 |
Cheng-Chung Lai | TW | Tao-Yuan | 2009-02-05 / 20090033916 - System and Method for Measuring Interferences | 1 |
Lai-Chen Lai | TW | Hsinchu | 2009-03-26 / 20090083757 - COMPUTER SYSTEM AND PROGRAM PLUG-IN MANAGEMENT METHOD THEREOF | 1 |
Shih-Chieh Lai | TW | Chung-Li | 2011-07-28 / 20110184696 - Detection and Analysis Apparatus For Membrane Filtration Process | 1 |
Tsung-Mu Lai | TW | Hsinchu | 2009-03-12 / 20090065908 - METHODS OF FABRICATING A MICROMECHANICAL STRUCTURE | 1 |
Hsin-Liang Lai | TW | Tainan City | 2015-12-10 / 20150355408 - ILLUMINATION LAMP HAVING LIGHT GUIDE BAR | 1 |
Keng-Jen Lai | TW | Hsinchu | 2009-02-19 / 20090046540 - AUTOMATIC TIMING CONTROL DEVICE CASE | 2 |
Chao-Chi Lai | TW | New Taipei City | 2013-05-02 / 20130106793 - REPLACEABLE TOUCH PEN | 1 |
Sheng Chih Lai | TW | Hsinchu | 2009-02-12 / 20090039416 - BLOCKING DIELECTRIC ENGINEERED CHARGE TRAPPING MEMORY CELL WITH HIGH SPEED ERASE | 1 |
Jih-Sheng Lai | TW | Taipei | 2009-05-07 / 20090116266 - PARALLELED POWER CONDITIONING SYSTEM WITH CIRCULATING CURRENT FILTER | 1 |
Jih-Sheng Lai | US | Blacksburg | 2015-07-02 / 20150183330 - MODULAR RECONFIGURABLE MEDIUM VOLTAGE TRANSFORMER FOR DATA CENTERS, VOLT/VAR CONTROL, AC AND DC CHARGING, AND VEHICLE-TO-GRID APPLICATIONS | 8 |
Cheng-Chih Lai | TW | Hsinchu | 2009-01-22 / 20090021668 - Backlight Module and Liquid Crystal Display Comprising the Same | 1 |
Kuan-Sheng Lai | TW | Hsinchu | 2008-11-27 / 20080290434 - Color photodetector apparatus with multi-primary pixels | 1 |
Shih-Chi Lai | TW | Hsinchu | 2008-11-13 / 20080280430 - METHOD OF FORMING FILMS IN A TRENCH | 1 |
Jen-Hui Lai | TW | Tainan City | 2015-11-19 / 20150331155 - WAFER LEVEL LENS, LENS SHEET | 2 |
Qingjun Lai | CN | Xiamen | 2015-07-02 / 20150187336 - DRIVING CIRCUIT AND DRIVING METHOD FOR A DISPLAY DEVICE | 2 |
Jennifer Cell Lai | US | Garrison | 2014-04-10 / 20140101086 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR EXPEDITING EXPERTISE | 1 |
Jennifer Ceil Lai | US | Garrison | 2014-04-10 / 20140101085 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR EXPEDITING EXPERTISE | 1 |
Dehua Lai | US | Elmhurst | 2013-03-14 / 20130063579 - Method and Apparatus for Recognition of Inhaler Actuation | 1 |
Jui-Ting Lai | TW | Taichung City | 2014-08-28 / 20140238808 - WHEEL HUB FOR A BICYCLE | 1 |
Yu-Chuan Lai | TW | Taoyuan County | 2015-10-01 / 20150282244 - MOBILE DEVICE AND METHOD FOR MANAGING NETWORK CONNECTION AND STORAGE MEDIUM | 4 |
Jian-Yuan Lai | TW | Taipei City | 2016-02-25 / 20160052533 - SUPPORTING BRACKET AND MEDICAL CART | 1 |
Szu-Chia Lai | TW | Taipei County | 2011-07-21 / 20110178269 - Coronavirus S Peptides | 1 |
Chun-Liang Lai | TW | Zhubei City | 2015-12-17 / 20150360266 - Container Cleaning Device | 1 |
Jenny Lai | US | Seattle | 2010-12-16 / 20100318551 - METHOD AND SYSTEM FOR SEARCH STRING ENTRY AND REFINEMENT ON A MOBILE DEVICE | 1 |
Hao Lai | US | Rexford | 2016-02-18 / 20160048636 - DISTRIBUTED APPLICATION WINDOWS | 3 |
Wei-Chih Lai | TW | Taipei City | 2013-07-04 / 20130170130 - ELECTRONIC APPARATUS AND CARRYING STRUCTURE THEREOF | 5 |
Chun-Hung Lai | TW | Taichung City | 2011-07-21 / 20110175981 - 3D COLOR IMAGE SENSOR | 1 |
Ting Yu Lai | US | Baltimore | 2015-12-17 / 20150359605 - ULTRASOUND-DETECTABLE MARKERS, ULTRASOUND SYSTEM, AND METHODS FOR MONITORING VASCULAR FLOW AND PATENCY | 1 |
Jian-Yuan Lai | TW | Toufen Township | 2015-07-09 / 20150194325 - SEMICONDUCTOR PROCESSING APPARATUS AND METHOD OF OPERATING THE SAME | 1 |
Yuan Lai | US | Kirkland | 2010-02-25 / 20100049482 - SYSTEM AND METHOD FOR ICTAL SOURCE ANALYSIS | 1 |
Jenny Huang-Yu Lai | US | Seattle | 2008-09-25 / 20080235003 - DISAMBIGUATION OF TELEPHONE STYLE KEY PRESSES TO YIELD CHINESE TEXT USING SEGMENTATION AND SELECTIVE SHIFTING | 1 |
Yi-Ting Lai | TW | Taipei City | 2015-12-17 / 20150364227 - METHOD OF MAKING A TRANSPARENT CONDUCTIVE COMPOSITE MATERIAL | 1 |
Chin-Lun Lai | TW | Taipei | 2011-01-27 / 20110018680 - SECURITY SYSTEM WITH POWER SAVING FEATURE AND METHOD THEREOF | 1 |
Yu-Chin Lai | US | Rochester | 2011-07-14 / 20110172374 - NOVEL SURFACE ACTIVE PREPOLYMERS WITH BOTH FLUORINE-CONTAINING GROUPS AND HYDROPHILIC GROUPS | 1 |
Chiajen Lai | US | Princeton | 2014-08-28 / 20140243517 - METHODS OF PRODUCING C-ARYL GLUCOSIDE SGLT2 INHIBITORS | 4 |
Wei-Jen Lai | TW | Taichung City | 2015-12-24 / 20150373849 - PACKAGE SUBSTRATE STRUCTURE | 2 |
Dominic Lai | US | Redmond | 2011-04-28 / 20110099348 - CONTROLLING MEMORY VISIBILITY | 1 |
King-Chung Lai | US | Encinitas | 2011-07-14 / 20110170611 - VIDEO ENCODING AND DECODING TECHNIQUES | 1 |
Gary Lai | US | Seattle | 2011-01-27 / 20110017872 - SEA LANDING OF SPACE LAUNCH VEHICLES AND ASSOCIATED SYSTEMS AND METHODS | 4 |
Lisa A. Lai | US | Seattle | 2009-10-01 / 20090246779 - INCREASING GENOMIC INSTABILITY DURING PREMALIGNANT NEOPLASTIC PROGRESSION REVEALED THROUGH HIGH RESOLUTION ARRAY-CGH | 1 |
Manshun Lai | US | Bothell | 2011-02-24 / 20110044968 - COMPOSITIONS FOR TREATMENT WITH METALLOPEPTIDASES, METHODS OF MAKING AND USING THE SAME | 2 |
Jriuan Lai | US | Seattle | 2016-04-28 / 20160116464 - STIMULI-RESPONSIVE MAGNETIC NANOPARTICLES | 5 |
Johnny Lai | US | Seattle | 2014-05-15 / 20140134253 - DRY POWDER FOSFOMYCIN/TOBRAMYCIN FORMULATION FOR INHALATION | 2 |
Joseph Ting-Chun Lai | US | Seattle | 2009-10-08 / 20090254814 - PER-EDGE RULES AND CONSTRAINTS-BASED LAYOUT MECHANISM | 1 |
Konrad Lai | US | Vancouver | 2013-07-11 / 20130179668 - LAST BRANCH RECORD INDICATORS FOR TRANSACTIONAL MEMORY | 2 |
Jr-Iuan Lai | US | Seattle | 2011-11-03 / 20110266492 - STIMULI-RESPONSIVE MAGNETIC NANOPARTICLES AND RELATED METHODS | 2 |
Yu-Chin Lai | TW | Taoyuan County | 2013-07-04 / 20130172592 - METHOD OF MANUFACTURING HYDROPHILIC SILICONE PREPOLYMER | 2 |
Ying-Ru Lai | TW | Taoyuan County | 2013-08-15 / 20130208166 - Focus Adjusting Method and Image Capture Device thereof | 1 |
Kuo-Hsiang Lai | TW | Taoyuan County | 2014-01-02 / 20140003816 - Client-side Dynamic Multi-routing Power Distribution System of FTTx Optical Terminal Equipment | 1 |
Chin-Chun Lai | TW | Kuei San | 2013-05-30 / 20130134818 - THREE-PHASE AXIAL FLUX MOTOR AND MAGNETIC PATH ADJUSTING METHOD THEREOF | 1 |
Hsien-Hao Lai | TW | Taoyuan County | 2014-04-03 / 20140092869 - Local Gateway Reselection | 1 |
Charlie Tan Tien Lai | MY | Melaka | 2008-10-16 / 20080251903 - SEMICONDUCTOR MODULE | 2 |
Chao-Rong Lai | CN | Shenzhen City | 2012-10-25 / 20120267422 - SOLDERING ASSISTANCE DEVICE AND SOLDERING METHOD THEREOF | 3 |
Tung-Ming Lai | TW | Hsinchu City | 2015-01-22 / 20150024562 - METHOD OF FORMING SEMICONDUCTOR STRUCTURE | 5 |
Yong Jun Lai | CA | Kingston | 2014-06-19 / 20140166483 - ELECTROKINETICS-ASSISTED SENSOR | 2 |
Leon Lai | CA | Richmond Hill | 2014-04-03 / 20140093003 - DATA TRANSMISSION BETWEEN ASYNCHRONOUS ENVIRONMENTS | 1 |
Wen-Hung Lai | TW | Pingjhen City | 2013-08-22 / 20130214794 - MISALIGNMENT DETECTION DEVICES | 1 |
Oi Ming Lai | MY | Selangor | 2008-12-18 / 20080312342 - Process for the Production of Diacylglycerol | 1 |
Chih-Wei Lai | TW | Hsinchu City | 2014-07-31 / 20140210982 - SYSTEM AND METHOD FOR AUTOMATIC QUALITY CONTROL FOR ASSEMBLY LINE PROCESSES | 3 |
Chih-Wei Lai | TW | Hsinchu | 2008-12-11 / 20080305563 - Method and system for controlling copper chemical mechanical polish uniformity | 1 |
Chih-Wei Lai | TW | Tao-Yuan | 2009-01-22 / 20090021740 - OPTICAL SENSOR AND METHOD FOR MEASURING BLOOD GAS | 1 |
Chih-Wei Lai | TW | Hsinchu County | 2010-01-28 / 20100019037 - METHOD AND SYSTEM FOR DYNAMICALLY MANAGING REMOVABLE DEVICE | 1 |
Chih-Wei Lai | TW | Hsin-Chu | 2015-12-03 / 20150347663 - Adjusting Sizes of Connectors of Package Components | 11 |
Nguk Chin Lai | MY | Penang | 2009-04-09 / 20090093084 - Die offset die to bonding | 4 |
Jerry Lai | TW | Keelung | 2009-01-22 / 20090020757 - Flash Anneal for a PAI, NiSi Process | 1 |
Yen-Hao Lai | TW | Tu-Cheng | 2010-12-02 / 20100304866 - GAME CONTROLLER AND CONTROLLING METHOD THEREOF | 2 |
Yuan-Chang Lai | TW | Tainan | 2008-09-11 / 20080220197 - PHASE-CHANGE RECORDING FILM WITH STABLE CRYSTALLIZATION RATE, TARGET AND PROCESS FOR PRODUCING THE PHASE-CHANGE RECORDING FILM | 1 |
Chwee Lan Lai | MY | Johor | 2009-05-28 / 20090134512 - METHOD OF PRODUCING MULTIPLE SEMICONDUCTOR DEVICES | 1 |
Kong Yeow Lai | MY | Penang | / - | 1 |
Choon Lee Lai | SG | Singapore | 2014-10-02 / 20140293120 - CONTACT HAVING AN ANGLED PORTION | 1 |
Siew Kong Lai | MY | Skudai | 2010-06-10 / 20100145377 - Lancing Device For Minimizing Pain | 1 |
Hee Meng Lai | MY | Melaka | 2010-09-16 / 20100233223 - Powder-Free Antimicrobial Coated Glove | 3 |
Ching-Kun Lai | TW | Hsin-Chu City | 2008-12-04 / 20080297694 - BACKLIGHT MODULE OF REDUCING LIGHT LEAKAGE FOR USE IN A LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Si-Wai Yan Lai | US | Mountain View | 2014-04-03 / 20140095614 - IDENTITY CROWD-SOURCED CURATION | 1 |
Anthony Jan Fung Lai | US | Mountain View | 2014-07-10 / 20140195921 - METHODS AND SYSTEMS FOR BACKGROUND UPLOADING OF MEDIA FILES FOR IMPROVED USER EXPERIENCE IN PRODUCTION OF MEDIA-BASED PRODUCTS | 3 |
Chun-Chen Lai | TW | Taichung City | 2014-03-27 / 20140083271 - SYNCHRONOUS CUTTING MECHANISM FOR PIPE MILL | 1 |
Hung-Ching Lai | TW | Hsin-Chu City | 2014-02-27 / 20140055408 - ELECTRONIC BUTTON AND RELATED PANEL MODULE AND RELATED ELECTRONIC DEVICE | 3 |
Shih-Kuo Lai | TW | Hsin-Chu City | 2009-05-21 / 20090127581 - NITRIDE-BASED LIGHT-EMITTING DEVICE | 1 |
Chih-Feng Lai | TW | Tu-Cheng | 2012-03-29 / 20120076132 - SWITCH AND TELEPHONE SEARCHING METHOD | 2 |
Cheng-Nan Lai | TW | Tu-Cheng | 2010-09-30 / 20100245282 - TOUCH-SCREEN BASED INPUT METHOD AND SYSTEM, AND ELECTRONIC DEVICE USING SAME | 1 |
Kuen-Bin Lai | TW | Hsin-Chu City | 2010-01-28 / 20100023789 - Host device with power-saving function | 2 |
Kuang-Chieh Lai | TW | New Taipei City | 2014-03-27 / 20140083489 - SOLAR CELL, SOLAR CELL MODULE AND METHOD OF MAKING THE SOLAR CELL | 1 |
Chien-Pan Lai | TW | Tu-Cheng | 2012-08-30 / 20120221668 - CLOUD STORAGE ACCESS DEVICE AND METHOD FOR USING THE SAME | 7 |
Juin-Yih Lai | TW | Taipei City | 2014-05-29 / 20140148552 - Biomimetic Agent For Anti-Biofouling Coating And Method For Making The Same | 2 |
Jia-Jiang Lai | TW | Tu-Cheng | 2009-11-26 / 20090289794 - METHOD AND SYSTEM FOR MONITORING ONE OR MORE OBJECTS BY ELECTRONIC DEVICE | 1 |
Chi-Chao Lai | TW | Tu-Cheng | 2009-10-29 / 20090268729 - NETWORK DEVICE AND WORKING MODE SWITCHING METHOD | 1 |
Ming-Shiang Lai | TW | Hsin-Chu City | 2012-12-20 / 20120324152 - MEMORY CONTROLLER WITH BI-DIRECTIONAL BUFFER FOR ACHIEVING HIGH SPEED CAPABILITY AND RELATED METHOD THEREOF | 4 |
Yu-Chia Lai | TW | Tu-Cheng | 2012-06-28 / 20120162913 - ELECTRONIC DEVICE AND HEAT DISSIPATION DEVICE THEREOF | 7 |
Chun-Chieh Lai | TW | Tu-Cheng | 2013-10-17 / 20130275956 - FIRMWARE UPGRADE METHOD AND SYSTEM AND TERMINAL DEVICE USING THE METHOD | 4 |
Yi-Chi Lai | TW | Taichung Hsien | 2014-03-27 / 20140084455 - SEMICONDUCTOR PACKAGE AND FABRICATION METHOD THEREOF | 1 |
Ming Lai | US | Webster | 2010-06-24 / 20100157403 - Apparatus Comprising an Optical Path Delay Scanner | 7 |
Ming Lai | US | Sugar Land | 2010-09-09 / 20100224409 - SYSTEM AND METHOD OF USING A SAVER SUB IN A DRILLING SYSTEM | 1 |
Ming Lai | US | Short Hills | 2011-05-12 / 20110110223 - SELF ORGANIZING IP MULTIMEDIA SUBSYSTEM | 1 |
Chang-Ming Lai | TW | Chiayi City | 2014-06-19 / 20140169418 - RECEIVER AND TRANSMITTER APPARATUS FOR CARRIER AGGREGATION | 4 |
Yaw-Huey Lai | TW | Taipei County | 2012-12-06 / 20120309213 - CARD CONNECTOR WITH SEPARABLE CARD TRAY | 12 |
Chien-Ming Lai | TW | Yilan County | 2013-06-20 / 20130157166 - BIPOLAR PLATE AND FUEL CELL | 2 |
Jyun-Yi Lai | TW | Taoyuan County | 2011-12-29 / 20110318670 - FUEL CELL MEA (MEMBRANE ELECTRODE ASSEMBLY) WITH A BORDER PACKAGING STRUCTURE | 2 |
Wei-Chu Lai | TW | Tu-Cheng | 2010-06-24 / 20100161858 - ADDRESS CONVERSION SYSTEM AND METHOD | 2 |
Yuan-Yi Lai | TW | Tu-Cheng | 2009-12-10 / 20090305007 - SHELL FOR PORTABLE ELECTRONIC DEVICE AND METHOD FOR MAKING SAME | 1 |
Guo-Li Lai | TW | Taichung City | 2011-06-30 / 20110158358 - System for selecting a sample phase based on channel capacity | 1 |
Rick Lai | TW | Taichung City | 2011-06-30 / 20110161893 - LITHOGRAPHIC PLANE CHECK FOR MASK PROCESSING | 2 |
Wei Lai | CN | Shenzhen | 2013-03-07 / 20130061083 - Quad-Data Rate Controller and Realization Method Thereof | 3 |
Wing-Yan Lai | HK | Hong Kong | 2012-02-23 / 20120042935 - PHOTOVOLTAIC MODULE WITH COMPOSITE MATERIALS | 5 |
Ching-Yu Lai | TW | Tu-Cheng | 2009-07-02 / 20090172642 - SYSTEM AND METHOD FOR DEBUGGING A COMPUTER PROGRAM | 1 |
Wei-Fu Lai | HK | Kowloon | 2011-06-30 / 20110157281 - PRINTHEAD FOR THERMAL INKJET PRINTING AND THE PRINTING METHOD THEREOF | 1 |
Choung Lai | US | Doylestown | 2013-02-21 / 20130045441 - INK TONER PARTICLES WITH CONTROLLED SURFACE MORPHOLOGY | 2 |
Shih-Kuo Lai | US | 2011-06-30 / 20110156001 - NITRIDE-BASED LIGHT-EMITTING DEVICE | 1 | |
Yu-Ting Lai | TW | Changhua County | 2014-10-02 / 20140292793 - IMAGE PROCESSING METHOD AND IMAGE DISPLAY DEVICE | 1 |
Chung-Ping Lai | TW | Taichung City | 2014-03-27 / 20140085607 - IMAGE DETECTING APPARATUS AND IMAGE DETECTING METHOD | 1 |
Yi Shao Lai | TW | Yonghe City | 2011-09-29 / 20110233764 - SEMICONDUCTOR DEVICE PACKAGE AND METHOD OF FABRICATING THE SAME | 12 |
Po-Yuan Lai | TW | Tu-Cheng | 2009-04-16 / 20090097030 - SYSTEM AND METHOD FOR CHECKING FILTER SEGMENT ARRANGEMENT OF A COLOR FILTER IN A COLOR WHEEL | 7 |
Hsin-Chang Lai | TW | Tu-Cheng | 2013-11-28 / 20130318451 - COMPUTING DEVICE AND METHOD FOR SHARING MOUSE OF COMPUTING DEVICE | 5 |
Ching-Wen Lai | TW | Hsin-Chu | 2011-06-23 / 20110153923 - HIGH SPEED MEMORY SYSTEM | 1 |
Linfei Lai | SG | Singapore | 2014-03-27 / 20140087192 - CONDUCTING POLYMER/GRAPHENE-BASED MATERIAL COMPOSITES, AND METHODS FOR PREPARING THE COMPOSITES | 1 |
Dar-Ming Lai | TW | Taipei City | 2012-03-29 / 20120078305 - FLEXIBLE SPINE FIXING STRUCTURE | 3 |
Wei-Jen Lai | TW | Tu-Cheng | 2010-05-27 / 20100128426 - FLIP TYPE PORTABLE ELECTRONIC DEVICE | 1 |
Lim Kean Lai | SG | Singapore | 2014-03-20 / 20140077907 - TOOL AND METHOD FOR SWITCHING AN ELECTROMAGNETIC RELAY | 1 |
Xuhui Lai | CN | Shanghai | 2014-06-05 / 20140154780 - High Fidelity Restriction Endonucleases | 5 |
Henry Chiu-Yuen Lai | US | Seattle | 2011-06-23 / 20110150830 - COVALENT CONJUGATES BETWEEN ENDOPEROXIDES AND TRANSFERRIN AND LACTOFERRIN RECEPTOR-BINDING AGENTS | 1 |
Chun-Chin Lai | TW | Tu-Cheng | 2011-05-05 / 20110101993 - SYSTEM FOR TESTING ELECTRONIC DEVICES | 1 |
Hung Chi Lai | US | Fishers | 2011-06-23 / 20110150209 - Full duplex telephone system employing automatic level control for improved digital signal processing of audio signals | 1 |
Jack W. Lai | US | Lake Elmo | 2014-12-11 / 20140362371 - SENSOR FOR MEASURING SURFACE NON-UNIFORMITY | 5 |
Konrad K. Lai | US | Vancouver | 2016-05-12 / 20160132337 - Method, apparatus, and system for speculative abort control mechanisms | 16 |
Chih-Chen Lai | TW | Tu-Cheng | 2013-12-05 / 20130320557 - SEMICONDUCTOR PACKAGE HAVING RELIABLE ELECTRICAL CONNECTION AND ASSEMBLING METHOD | 48 |
Chin-Ning Lai | TW | Tu-Cheng | 2010-10-07 / 20100254371 - VOIP DEVICE AND METHOD OF PREVENTING NOISE GENERATION THEREBY | 1 |
Chi-Yuan Lai | TW | Tu-Cheng | 2013-06-06 / 20130141914 - LED LAMP WITH CHANGEABLE LIGHT EFFECT | 7 |
Wen-Ching Lai | TW | Tu-Cheng | 2012-08-23 / 20120212664 - CAMERA MODULE FACILITATING HEAT DISSIPATION | 4 |
Cheng-Yu Lai | TW | Taoyuan Hsien | 2014-10-23 / 20140313799 - Power Transforming Apparatus | 1 |
Wei-Yu Lai | TW | Kaohsiung City | 2014-09-18 / 20140281843 - DECODING APPARATUS WITH ADAPTIVE CONTROL OVER EXTERNAL BUFFER INTERFACE AND TURBO DECODER AND RELATED DECODING METHOD THEREOF | 1 |
Wei-Chi Lai | TW | New Taipei City | 2014-03-20 / 20140078413 - LIQUID CRYSTAL DISPLAY STRUCTURE | 1 |
Joseph Laia | US | Santa Clara | 2013-02-07 / 20130032198 - ATTACHMENT STRUCTURES FOR BUILDING INTEGRABLE PHOTOVOLTAIC MODULES | 2 |
Joseph Laia | US | Morgan Hill | 2010-10-14 / 20100258173 - POLISHING A THIN METALLIC SUBSTRATE FOR A SOLAR CELL | 2 |
César António Tonicha Laia | PT | Lisboa | 2014-05-22 / 20140139576 - ELECTROCHROMIC WO3 NANOPARTICLES, A METHOD FOR THEIR PRODUCTION AND INK USING SAID PARTICLES | 1 |
Vincent R. La Iaconna | US | Jersey City | 2012-12-20 / 20120321572 - NOVEL SYNERGISTIC PRESERVATIVE SYSTEM | 1 |
Douglas M. Laib | US | Orlando | 2009-09-24 / 20090236373 - Liquid container lid with dispensing and sealing mechanism | 1 |
Trevor Laib | US | Woodinville | 2010-08-05 / 20100193629 - LOCALIZED UTILITY POWER SYSTEM FOR AIRCRAFT | 2 |
Trevor M. Laib | US | Woodinville | 2015-08-27 / 20150239566 - Variable Thermal Resistance Device for Vehicular Seats | 13 |
Douglas Laib | US | Perrysburg | 2015-10-22 / 20150298858 - Container, Handle for a Container, and Handle and Container Assembly | 9 |
Wolfgang Laib | DE | Besigheim | 2016-02-25 / 20160052040 - Moving Workpiece Parts on Machine Tools | 14 |
Jason Laib | US | Erlanger | 2015-03-19 / 20150078868 - UNIT LOAD DEVICE SHIPMENT LOADING SYSTEM FOR MIXED SHIPMENTS | 3 |
Samia Laib | FR | Nantes | 2013-01-03 / 20130004460 - SILYLATED BIOMOLECULES | 1 |
Douglas Laib | US | Perrysburg | 2015-10-22 / 20150298858 - Container, Handle for a Container, and Handle and Container Assembly | 9 |
Trevor M. Laib | US | Woodinville | 2015-08-27 / 20150239566 - Variable Thermal Resistance Device for Vehicular Seats | 13 |
Trevor Milton Laib | US | Woodinville | 2012-11-22 / 20120291425 - Thermoelectric Energy Harvesting System | 1 |
Larry J. Laiben | US | Desoto | 2010-01-14 / 20100010660 - Method of manufacturing a product using scan targets | 1 |
Philip D. Laible | US | Villa Park | 2014-03-20 / 20140080176 - TRANSFORMABLE RHODOBACTER STRAINS, METHOD FOR PRODUCING TRANSFORMABLE RHODOBACTER STRAINS | 5 |
Phillip D. Laible | US | Villa Park | 2013-01-03 / 20130001465 - AMPHIPHILES FOR PROTEIN SOLUBILIZATION AND STABILIZATION | 1 |
Karl-Friedrich Laible | DE | Langenau | 2016-03-24 / 20160085245 - Refrigeration appliance comprising a supply pipe for water | 48 |
Rodney Laible | US | Omaha | 2014-04-17 / 20140103069 - WALL MOUNTED DISPENSER | 15 |
Fritz Laible | DE | Asselfingen | 2015-02-12 / 20150042280 - POWER ADAPTER FOR CORDLESS POWER TOOLS | 1 |
Mat Laibowitz | US | Los Angeles | 2013-06-20 / 20130154915 - METHODS, APPARATUSES, AND COMPUTER PROGRAM PRODUCTS FOR ENABLING USE OF REMOTE DEVICES WITH PRE-DEFINED GESTURES | 1 |
Mathew Laibowitz | US | Los Angeles | 2015-07-02 / 20150185771 - ELECTRICITY GENERATOR | 2 |
Mathew Laibowitz | US | Cambridge | 2010-03-04 / 20100054138 - DISCOVERY PROTOCOL | 1 |
Dubravko Laic | HR | Karlovac | 2016-04-14 / 20160102567 - DIFFUSER FOR A GAS TURBINE | 1 |
Castro S. Laicer | US | Minneapolis | 2010-07-15 / 20100178507 - Polyamide Fine Fibers | 2 |
Castro S.t. Laicer | US | Watertown | 2013-05-23 / 20130130126 - ELECTROCHEMICAL CELL FOR HIGH-VOLTAGE OPERATION AND ELECTRODE COATINGS FOR USE IN THE SAME | 2 |
Castro Laicer | US | Watertown | 2016-02-18 / 20160049656 - THREE-DIMENSIONAL, POROUS ANODE FOR USE IN LITHIUM-ION BATTERIES AND METHOD OF FABRICATION THEREOF | 2 |
Tobias Laich | DE | Koln | 2013-05-23 / 20130131003 - ORALLY DISINTEGRATING TABLET CONTAINING ACARBOSE | 1 |
Sebastian Laich | DE | Stuttgart | 2016-01-07 / 20160006426 - PROTECTION DEVICE FOR A SEMICONDUCTOR SWITCH, AND METHOD FOR OPERATING A PROTECTION DEVICE FOR A SEMICONDUCTOR SWITCH | 1 |
Ernst-Peter Laich | CH | Schaffhausen | 2008-10-09 / 20080247822 - Method for Renovation of a Traffic-Carrying Structure | 1 |
Mark Laich | US | Boston | 2011-09-22 / 20110227569 - MAGNETOMETER | 1 |
Martin Laich | DE | Murr | 2012-11-08 / 20120279474 - FUEL SYSTEM FOR AN INTERNAL COMBUSTION ENGINE | 2 |
Rolf Laich | CH | Ruti | 2015-11-26 / 20150339257 - SYSTEM AND METHOD FOR MASTER-SLAVE DATA TRANSMISSION BASED ON A FLEXIBLE SERIAL BUS FOR USE IN HEARING DEVICES | 1 |
Tobias Laich | DE | Koeln | 2008-09-11 / 20080220059 - Pharmaceutical preparation of N-[5-(aminosulfonyl)-4-methyl-1,3-thiazol-2-yl]-N-methyl-2-[4-(2-pyridinyl)phenyl]acetamide | 1 |
Shane Laiche | US | Gonzales | 2011-11-03 / 20110269356 - BOATING SAFETY LIFELINE | 1 |
Erika Laiche | US | San Antonio | 2012-07-05 / 20120167688 - High Precision Corrosion Monitoring Sensor Assembly and System | 1 |
Erika Christine Laiche | US | San Antonio | 2010-03-04 / 20100052670 - Magnetostrictive Sensor Probe for Guided-Wave Inspection and Monitoring of Wire Ropes/Cables and Anchor Rods | 1 |
Erika C. Laiche | US | San Antonio | 2011-09-08 / 20110216188 - Optical Velocity Tracking for Paint Spray Gun | 3 |
Karolin Laicher | DE | Rauenberg | 2013-12-26 / 20130346787 - Tenant Rescue for Software Change Processes in Multi-Tenant Architectures | 7 |
Gebhard Laicher | DE | Stockach | 2013-08-22 / 20130213787 - SWITCH, IN PARTICULAR FOR AN ELECTRIC PARKING BRAKE | 1 |
Reda Laichi | CA | Ottawa | 2011-06-30 / 20110158111 - BULK SERVICE PROVISIONING ON LIVE NETWORK | 3 |
Meng-Chun Lai Chu | US | 2014-01-09 / 20140008164 - TRANSPARENT SHELL STRUCTURE FOR LUGGAGE AND THE LIKE | 1 | |
Donato Laico | IT | Imola (bo) | 2008-12-18 / 20080310701 - Method and Apparatus for Visually Inspecting an Object | 1 |
Aurélie Laidevant | FR | Rumilly | 2010-09-09 / 20100224797 - Method And Device For 3D Reconstruction Of The Distribution Of Fluorescent Elements | 1 |
Aurélie Laidevant | FR | Rumilly | 2010-09-09 / 20100224797 - Method And Device For 3D Reconstruction Of The Distribution Of Fluorescent Elements | 1 |
Aurélie Laidevant | FR | Rumilly | 2012-06-21 / 20120153187 - METHOD FOR LOCATING AN OPTICAL MARKER IN A DIFFUSING MEDIUM | 2 |
Aurélie Laidevant | FR | Rumilly | 2012-06-21 / 20120153187 - METHOD FOR LOCATING AN OPTICAL MARKER IN A DIFFUSING MEDIUM | 2 |
William David Laidig | US | Hamilton | 2015-12-03 / 20150342850 - HAIR CARE COMPOSITIONS AND METHODS OF USE | 5 |
Guy J. Laidig | US | Menlo Park | 2016-03-24 / 20160083421 - COMPUNDS FOR ENZYME INHIBITION | 34 |
Dave Laidig | US | Mesa | 2012-06-07 / 20120139099 - SYSTEM AND METHOD FOR INTEGRATED WAVEGUIDE PACKAGING | 4 |
Guy Laidig | US | Woodside | 2015-09-17 / 20150258082 - COMBINATION THERAPY WITH GLUTAMINASE INHIBITORS | 5 |
Thomas Laidig | US | Point Richmond | 2011-06-16 / 20110143268 - Scattering Bar OPC Application Method for Sub-Half Wavelength Lithography Patterning | 3 |
Thomas Laidig | US | Richmond | 2016-05-05 / 20160124316 - OPTICAL PROJECTION ARRAY EXPOSURE SYSTEM | 13 |
Guy J. Laidig | US | Menlo Park | 2016-03-24 / 20160083421 - COMPUNDS FOR ENZYME INHIBITION | 34 |
Thomas Laidig | US | Richmond | 2016-05-05 / 20160124316 - OPTICAL PROJECTION ARRAY EXPOSURE SYSTEM | 13 |
Guy J. Laidjg | US | Menlo Park | 2009-08-13 / 20090203698 - Compounds for Enzyme Inhibition | 1 |
Ian Robert Laidlaw | CA | Mississauga | 2010-09-23 / 20100241848 - SYSTEM AND METHOD FOR SECURELY COMMUNICATING WITH ELECTRONIC METERS | 1 |
Robert J. Laidlaw | US | Mckinney | 2009-01-01 / 20090003231 - Transparent signaling agent | 1 |
Linda E. Laidlaw | AU | Marsfield | 2011-03-03 / 20110054243 - Tinnitus rehabiliation device and method | 2 |
John Francis Laidlaw | US | Dearborn | 2011-01-27 / 20110022272 - POWER ASSIST STEERING SYSTEM | 2 |
Linda Elizabeth Laidlaw | AU | New South Wales | 2009-12-10 / 20090307590 - Digital Playback Device And Method And Apparatus For Spectrally Modifying a Digital Audio Signal | 1 |
Brandon S. Laidlaw | US | Pleasanton | 2015-11-19 / 20150334079 - PROGRAMMABLE ELECTRONIC DEVICES ON CONTAINERS | 1 |
John F. Laidlaw | US | Dearborn | 2009-10-29 / 20090265885 - Rack Bushing for an Automotive Steering System | 1 |
Tanya M. Laidlaw | US | Needham | 2015-09-10 / 20150253327 - DIAGNOSIS AND TREATMENT OF ASPIRIN-EXACERBATED RESPIRATORY DISEASE (AERD) | 1 |
Mitchell Laidlaw | US | Tempe | 2014-10-09 / 20140302960 - THREADED FASTENER POSITIVE ANTI-ROTATION LOCKING DEVICE | 1 |
Linda Elizabeth Laidlaw | AU | Marsfield | 2013-09-26 / 20130253258 - TINNITUS REHABILITATION DEVICE AND METHOD | 1 |
Robert Laidlaw | US | Tempe | 2013-01-31 / 20130031359 - METHOD AND SYSTEM FOR MODULAR AUTHENTICATION AND SESSION MANAGEMENT | 2 |
David H. Laidlaw | US | Barrington | 2008-08-28 / 20080205733 - Quantitative tract-of-interest metrics for white matter integrity based on diffusion tensor MRI data | 1 |
Barbara J. F. Laidlaw | US | San Jose | 2012-09-20 / 20120239007 - Implantable Devices and Methods for Treatment of Pain by Delivery of Fentanyl and Fentanyl Congeners | 2 |
William B. Laidlaw | US | Minnetonka | 2013-11-21 / 20130306562 - CARTRIDGE FILTER COMBINING A DEPTH FILTER AND A SUB-MICRON FILTER, AND RO PRE-TREATMENT METHOD | 1 |
Linda Laidlaw | AU | New South Wales | 2009-05-28 / 20090138061 - Stimulator For The Control of a Bodily Function | 1 |
Stuart Laidlaw | GB | Basingstoke | 2015-06-11 / 20150163242 - PROFILING CYBER THREATS DETECTED IN A TARGET ENVIRONMENT AND AUTOMATICALLY GENERATING ONE OR MORE RULE BASES FOR AN EXPERT SYSTEM USABLE TO PROFILE CYBER THREATS DETECTED IN A TARGET ENVIRONMENT | 1 |
Brent Laidler | US | Lafayette | 2014-05-15 / 20140130653 - CAM OPERATED LIGATURE | 1 |
Keith Laidler | DE | West Midlands | 2012-04-19 / 20120091229 - MANUAL PUMP TYPE FLUID DISPENSER | 1 |
Paul Laidler | GB | Oxford | 2016-02-11 / 20160038587 - COMPOSITIONS WITH REDUCED DIMER FORMATION | 17 |
Keith Laidler | GB | West Midland | 2010-05-27 / 20100127018 - Pump Dispenser and Method of Manufacturing a Pump Dispenser | 1 |
Keith Laidler | GB | Stourbridge | 2015-07-09 / 20150191298 - AUTOMATIC DOSING AND SPRAYING VALVE ASSEMBLY | 3 |
Graham Anthony Laidler | GB | Suffolk | 2012-02-02 / 20120023723 - BLOWN CABLE APPARATUS | 1 |
Kevin Laidler | GB | Worcester | 2009-01-22 / 20090020568 - Aerosol Dispenser | 1 |
Ian Laidler | GB | Haddenham | 2010-10-21 / 20100264335 - PATTERN WRITING ON A ROTATING SUBSTRATE | 1 |
James R. Laidler | US | Portland | 2015-12-17 / 20150359871 - IMMUNOGENIC COMPOSITIONS COMPRISING SILICIFIED VIRUS AND METHODS OF USE | 1 |
Keith Laidler | GB | West Midlands | 2010-02-25 / 20100044400 - VALVE FOR A PRESSURISED DISPENSER COMPRISING INLET ORIFICES BEING DEFORMABLE BY THE INTERNAL PRESSURE | 7 |
Graham A. Laidler | GB | Ipswich | 2010-06-17 / 20100148138 - CABLE INSTALLATION DETECTION | 2 |
Paul Laidler | GB | Oxford | 2016-02-11 / 20160038587 - COMPOSITIONS WITH REDUCED DIMER FORMATION | 17 |
Ivo Laidmäe | EE | Tartumaa | 2015-04-16 / 20150105319 - DURABLE HAEMOSTATIC SCAFFOLD | 2 |
Jeffrey Alan Laidman | CA | Barrie | 2014-09-11 / 20140257603 - AIRCRAFT BRAKING EARLY WARNING SYSTEM | 1 |
Jeffrey Alan Laidman | CA | Grassie | 2011-04-07 / 20110079476 - HYDRAULIC DAMPER SPOOL VALVE | 1 |
Moshe Laifenfeld | IL | Haifa | 2016-01-07 / 20160001718 - WIRELESS COMMUNICATION EXTENSION FOR CAN BASED ELECTRICAL ARCHITECTURES | 12 |
Jennie Laigaard | DK | Gentofte | 2012-06-14 / 20120149040 - Adam12, A Novel Marker For Abnormal Cell Function | 2 |
Paul Laight | GB | London | 2015-07-30 / 20150213091 - SOCIAL MATCHING AND INTERACTION | 1 |
Alan James Keith Laight | GB | Isle Of Wight - Hampshire | 2015-11-05 / 20150318623 - IMPROVEMENTS IN ANTENNAS | 1 |
Jeremy Laight | GB | London Greater London | 2011-11-03 / 20110270743 - FINANCIAL TRANSACTION SYSTEMS | 1 |
Chi-Sung Laih | TW | Tainan City | 2011-07-28 / 20110185409 - Authentication Method and System of At Least One Client Device with Limited Computational Capability | 1 |
Pentti Laihanen | FI | Hyvinkaa | 2010-09-02 / 20100219025 - ELEVATOR SYSTEM | 2 |
Jarmo Laihia | FI | Lieto | 2013-02-14 / 20130041006 - PHARMACEUTICAL COMPOSITION FOR INTRACELLAR ACIDIFICATION WITH CIS-UROCANIC ACID | 2 |
Kari Laiho | FI | Kirkkonummi | 2015-09-03 / 20150247211 - SEPARATION AND RECOVERY OF XYLOSE USING WEAKLY BASIC ANION EXCHANGE RESINS | 5 |
Erkki Laiho | FI | Helsinki | 2015-03-05 / 20150065640 - Adhesive Propylene Polymer Composition Suitable for Extrusion Coating of Paper Substrates | 5 |
Topi Laiho | FI | Lahti | 2010-12-30 / 20100325996 - EXPANSION JOINT SYSTEM OF CONCRETE SLAB ARRANGEMENT | 3 |
Kyosti M. Laiho | FI | Espoo | 2015-11-26 / 20150339366 - REPLICATION FOR ON-LINE HOT-STANDBY DATABASE | 1 |
Juha Laiho | FI | Kangasala | 2010-11-18 / 20100288058 - PIPETTE BODY, PIPETTE TIP AND METHOD | 1 |
Tero Laiho | FI | Helsinki | 2010-09-16 / 20100235762 - METHOD AND APPARATUS OF PROVIDING A WIDGET SERVICE FOR CONTENT SHARING | 1 |
Mika Laiho | FI | Helsinki | 2010-07-22 / 20100185925 - Differential Locally Updating Viterbi Decoder | 1 |
Tero Antero Laiho | FI | Helsinki | 2010-09-16 / 20100235443 - METHOD AND APPARATUS OF PROVIDING A LOCKET SERVICE FOR CONTENT SHARING | 2 |
Kimmo Laiho | FI | Turku | 2010-06-03 / 20100135217 - System and Method for Broadband Digital Broadcasting | 1 |
Jaana Laiho | FI | Veikkola | 2009-02-26 / 20090054047 - Network Optimisation | 1 |
Erkki Laiho | FI | Porvoo | 2009-07-23 / 20090186135 - HEAT-SEALED STERILISED PRODUCT PACKAGE, PACKAGING MATERIAL FOR THE SAME AND USE OF THE PACKAGING MATERIAL | 2 |
Keijo Tapio Laiho | FI | Masala | 2012-09-06 / 20120224469 - NETWORK FAULT DETECTION METHOD AND APPARATUS | 3 |
Paivi Laiho | FI | Helsinki | 2009-12-03 / 20090297506 - Classification of cancer | 1 |
Ari Laiho | FI | Helsingfors | 2013-10-17 / 20130270533 - ORGANIC FIELD-EFFECT TRANSISTOR DEVICE | 1 |
Juha Laiho | FI | Kuusa | 2009-07-09 / 20090175768 - SUBSTRATE HAVING CORRUGATED SHEET(S) AND CHANNEL(S) FOR TREATING EXHAUST GASES OF COMBUSTION ENGINES | 1 |
Marikki Laiho | FI | Kauniainen | 2010-07-15 / 20100179155 - ACTIVATORS AND THERAPEUTIC APPLICATIONS THEREOF | 1 |
Sari Laihonen | SE | Vasteras | 2015-11-05 / 20150318115 - A METALLIZED FILM CAPACITOR ELEMENT COMPRISING THERMALLY CONDUCTIVE FILMS AND A THERMALLY CONDUCTING FILM FOR AN ELECTRICAL POWER COMPONENT | 2 |
Arto Laikari | FI | Vtt | 2012-09-20 / 20120239173 - PHYSICAL ACTIVITY-BASED DEVICE CONTROL | 1 |
Glenn A. Laiken | US | Knoxville | 2013-04-25 / 20130102219 - Three-Dimensional Collectible Greeting Card | 1 |
Andrei Laikhter | US | West Roxbury | 2009-01-08 / 20090011422 - METHODS FOR CLONING SMALL RNA SPECIES | 1 |
Andrei Laikhter | US | Lexington | 2013-05-09 / 20130116415 - FLUORESCENCE QUENCHING AZO DYES, THEIR METHODS OF PREPARATION AND USE | 4 |
Andrei Laikhter | US | Iowa City | 2011-12-29 / 20110319606 - COMPOUNDS AND METHODS FOR LABELING OLIGONUCLEOTIDES | 7 |
Milton Laikin | US | Marina Del Rey | 2010-11-04 / 20100277816 - FISHEYE LENS | 2 |
Edward Charles Laikin | US | Annandale | 2011-06-30 / 20110161796 - FormDB: a process to create a forms database in Excel without user programming | 1 |
Danny T. Lail | US | Winston-Salem | 2009-05-07 / 20090114676 - Fuel dispensing apparatus having internal surface corrosion protection arrangement | 1 |
Marty Lail | US | Raleigh | 2016-02-04 / 20160033081 - GAS STORAGE MODULES, APPARATUS, SYSTEMS AND METHODS UTILIZING ADSORBENT MATERIALS | 4 |
Jason C. Lail | US | Conover | 2012-01-12 / 20120007299 - METHODS AND APPARATUS FOR HEAT TREATING GLASS SHEETS | 5 |
Brian A Lail | US | Melbourne | 2015-12-03 / 20150349890 - MODAL MUTLIPLEXED FIBER OPTIC COMMUNICATION SYSTEM AND METHOD USING A DIELECTRIC OPTICAL WAVEGUIDE STRUCTURE | 2 |
Marty Alan Lail | US | Raleigh | 2015-07-09 / 20150190777 - REGENERABLE SORBENT FOR CARBON DIOXIDE REMOVAL | 2 |
Edgar Jack Lail | US | Greer | 2014-02-06 / 20140033531 - PUSH-PULL ELECTRICAL CONNETION FOR QUICK AND SAFE CONNECTION TO MULTIPLE UNITS | 1 |
Jimmy E. Lail | US | Hickory | 2010-12-09 / 20100310217 - FIBER OPTIC DROP CABLE ASSEMBLY FOR DEPLOYMENT ON BUILDING WALLS | 1 |
Anderson Lail | US | Logansport | 2016-01-07 / 20160006519 - Quantum Transceiver | 1 |
Brian Lail | US | W. Melbourne | 2008-09-18 / 20080224045 - ULTRA-SENSITIVE SILICON SENSOR, LONG-WAVE INFRARED MICROANTENNA | 1 |
Joseph B. Lail | US | Tucson | 2010-08-05 / 20100199282 - LOW BURDEN SYSTEM FOR ALLOCATING COMPUTATIONAL RESOURCES IN A REAL TIME CONTROL ENVIRONMENT | 1 |
Tim Lail | US | Hickory | 2009-01-29 / 20090025274 - ANIMAL TRAP | 1 |
Joseph Bryan Lail | US | Tucson | 2009-10-29 / 20090268753 - METHODS AND APPARATUS FOR INFORMATION MANAGEMENT SYSTEMS | 1 |
Keith H. Lail | US | Connelly Springs | 2011-11-24 / 20110286706 - CABLE JACKET WITH VARIABLE PERIMETER BOND | 1 |
Jason Clay Lail | US | Conover | 2016-02-25 / 20160054531 - OPTICAL FIBER CABLE WITH HIGH FRICTION BUFFER TUBE CONTACT | 7 |
Jason C. Lail | JP | Kakegawa City | 2009-03-19 / 20090074363 - Optical Tube Assembly Having a Dry Insert and Methods of Making the Same | 1 |
Nino Lailach | DE | Muenchen | 2014-08-21 / 20140232143 - Axle Support of a Vehicle, Said Support Consisting of Fiber-Reinforced Plastic Material | 1 |
Franz Laimboeck | US | Goleta | 2014-08-07 / 20140216246 - PISTON | 10 |
Franz J. Laimboeck | US | Golet | 2015-08-06 / 20150222164 - ELECTRIC MOTOR ROTOR | 1 |
Franz J. Laimboeck | US | Goleta | 2015-04-30 / 20150114358 - Master and Slave Pullrods | 12 |
Franz J. Laimboeck | US | Goleta | 2015-04-30 / 20150114358 - Master and Slave Pullrods | 12 |
Jani Laimema | FI | Tampere | 2011-08-11 / 20110194603 - APPARATUS, A METHOD AND A COMPUTER PROGRAM FOR VIDEO CODING | 1 |
Johann Laimer | AT | Baden | 2015-09-10 / 20150255254 - APPARATUS AND METHOD FOR THE PLASMA COATING OF A SUBSTRATE, IN PARTICULAR A PRESS PLATEN | 1 |
Daniela Laimer | AT | Vienna | 2012-10-04 / 20120252736 - METHODS INVOLVING PDGFRBETA INHIBITORS | 1 |
Alois Laimer | AT | Aigen Voglhub | 2011-07-14 / 20110167555 - Closing and securing assembly for a bathtub and/or shower basin | 1 |
Georg Laimer | DE | Meissen | 2013-03-07 / 20130056333 - TRANSPORTING DEVICE, IN PARTICULAR FOR TRANSPORTING SHEET-LIKE SUBSTRATES THROUGH A COATING INSTALLATION | 2 |
Jenn-Kaie Lain | TW | Yunlin County | 2012-11-29 / 20120300816 - OFDM-BASED RELAY-ASSISTED CHANNEL ESTIMATION METHOD | 3 |
Michael Jonathan Lain | GB | Oxfordshire | 2014-11-13 / 20140335410 - ELECTRODE COMPOSITION FOR A SECONDARY BATTERY CELL | 1 |
Antonio Lain | US | Menlo Park | 2013-05-02 / 20130111105 - NON-VOLATILE DATA STRUCTURE MANAGER AND METHODS OF MANAGING NON-VOLATILE DATA STRUCTURES | 4 |
Mike Lain | GB | Oxfordshire | 2014-06-19 / 20140170303 - ELECTRODEPOSITION PROCESS FOR THE MANUFACTURE OF AN ELECTRODE FOR A METIAL-ION BATTERY | 1 |
You Chuen Lain | TW | Sinjhuang City | 2011-04-14 / 20110084586 - LED recessed light with heat sink | 1 |
Marty Lain | US | Sachse | 2009-12-03 / 20090295599 - DYNAMIC PRICING FOR TOLL LANES | 1 |
Antonio Lain | GB | Bristol | 2016-01-07 / 20160006767 - DISTRIBUTED NETWORK CONNECTION POLICY MANAGEMENT | 6 |
Sonia Lain | GB | Dundee | 2013-11-21 / 20130310382 - P53 ACTIVATING COMPOUNDS | 2 |
Blanca Lain | US | Brighton | 2012-03-08 / 20120058117 - NEUROPILIN-1 INHIBITORS | 1 |
David Lain | US | Easton | 2015-08-20 / 20150230731 - EXHALED BREATH SAMPLING WITH DELIVERY OF GAS | 8 |
Jenn Kaie Lain | TW | Tainan City | 2008-10-02 / 20080244363 - REED SOLOMON DECODER AND IBMA METHOD AND PARALLEL-TO-SERIAL CONVERSION METHOD THEREOF | 1 |
Sonia Lain | SE | Stockholm | 2012-06-14 / 20120149778 - COMPOUNDS | 2 |
Blanca Lain | US | Allston | 2009-08-27 / 20090215175 - MODULATION OF THE POLIOVIRUS RECEPTOR FUNCTION | 1 |
David Lain | US | Easton | 2015-08-20 / 20150230731 - EXHALED BREATH SAMPLING WITH DELIVERY OF GAS | 8 |
Michael Jonathan Lain | GB | Oxon | 2015-04-02 / 20150093653 - ADDITIVE FOR LITHIUM ION RECHARGEABLE BATTERY CELLS | 1 |
You Chuen Lain | TW | Singjhuang City | 2011-04-14 / 20110085340 - LED recessed light with reflection board | 1 |
Michael Jonathan Lain | GB | Abingdon | 2013-03-21 / 20130069601 - ADDITIVE FOR LITHIUM ION RECHAGEABLE BATTERY CELLS | 3 |
Franck Laine | FR | Colomiers | 2008-12-25 / 20080319591 - System For Piloting an Aircraft, at Least For Piloting the Aircraft During an Autonomous Approach For the Purpose of Landing | 1 |
Robert Laine | FR | Paris | 2014-04-24 / 20140110530 - Aerodynamic and Spatial Composite Flight Aircraft, and Related Piloting Method | 3 |
Pasi J. Laine | FI | Lempaala | 2014-01-16 / 20140015764 - Display | 1 |
Raquel Laine | US | Dallas | 2013-11-07 / 20130293368 - Esom security | 1 |
Dramane I. Laine | US | King Of Prussia | 2013-01-31 / 20130030015 - MUSCARINIC ACETYLCHOLINE RECEPTOR ANTAGONISTS | 7 |
Nicolas Laine | FR | Caen | 2015-11-05 / 20150317164 - CONTROLLER CIRCUITS, DATA INTERFACE BLOCKS, AND METHODS FOR TRANSFERRING DATA | 3 |
Bertrand Laine | FR | Clamart | 2010-09-09 / 20100227116 - THREE-DIMENSIONAL SHEET STRUCTURE, METHOD FOR MAKING SAME, AND SANDWICH-TYPE STRUCTURAL MATERIAL COMPRISING SUCH STRUCTURE | 1 |
Damane I. Laine | US | King Of Prussia | 2009-05-14 / 20090124653 - Muscarinic Acetylcholine Receptor Antagonists | 1 |
Richard Mark Laine | US | Albuquerque | 2014-11-13 / 20140334735 - IMAGE REGISTRATION VIA OPTIMIZATION OVER DISJOINT IMAGE REGIONS | 1 |
Samuli Matias Laine | FI | Vantaa | 2016-03-10 / 20160071313 - RELATIVE ENCODING FOR A BLOCK-BASED BOUNDING VOLUME HIERARCHY | 15 |
Hannu Laine | FI | Espoo | 2011-12-29 / 20110320516 - METHOD AND APPARATUS FOR CONSTRUCTION AND AGGREGATION OF DISTRIBUTED COMPUTATIONS | 4 |
Jérôme Laine | FR | Sautron | 2016-03-03 / 20160061972 - DATA ACQUISITION APPARATUS USING ONE SINGLE LOCAL CLOCK | 7 |
Jean-Michel Laine | FR | Ecquevilly | 2010-06-10 / 20100141459 - METHOD AND INSTALLATION FOR REAL-TIME INSPECTION OF THE QUALITY OF WATER IN A DELIVERY NETWORK | 1 |
Samuli Matias Laine | FI | Vantaa | 2016-03-10 / 20160071313 - RELATIVE ENCODING FOR A BLOCK-BASED BOUNDING VOLUME HIERARCHY | 15 |
Julien Laine | FR | Marcq En Baroeul | 2009-08-27 / 20090211385 - ADJUSTING DEVICE, ESPECIALL FOR A HELMET | 1 |
Christiane Laine | FI | Espoo | 2015-03-05 / 20150064331 - METHOD AND A SYSTEM FOR ISOLATING XYLAN FROM PLANT MATERIAL, AS WELL AS XYLAN | 3 |
Robert André Laine | FR | Paris | 2011-03-24 / 20110067380 - ELECTRIC THRUSTER FOR A SPACECRAFT | 2 |
Jean-Marc Laine | FR | Bruay-La-Buissiere | 2014-06-26 / 20140179000 - PLANT CULTIVATION METHOD | 3 |
Emmanuel S. Laine | FR | Caen | 2008-08-21 / 20080197153 - Squeezable Container for Dairy Products | 1 |
Jean Philippe Laine | FR | Cugnaux | 2015-10-29 / 20150311193 - A SEMICONDUCTOR DEVICE COMPRISING AN ESD PROTECTION DEVICE, AN ESD PROTECTION CIRCUITRY, AN INTEGRATED CIRCUIT AND A METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 6 |
Divi Laine | FR | Brest | 2014-02-20 / 20140050308 - TELEPHONY SYSTEM WITH A BACKGROUND RECAPITULATION FEATURE | 1 |
Jeffrey A. Laine | US | Tarpon Springs | 2012-11-01 / 20120275189 - Patterned Light Distribution Device | 1 |
Richard M. Laine | US | Ann Arbor | 2015-04-23 / 20150110701 - BIOGENIC SILICA AS A RAW MATERIAL TO CREATE HIGH PURITY SILICON | 12 |
Tommi Antero Laine | FI | Tampere | 2015-12-03 / 20150351017 - Verifying stored location data for WLAN access points | 25 |
Philippe Laine | FR | Issy-Les-Moulineaux | 2014-07-24 / 20140204877 - PRE-FETCHING OF ASSETS TO USER EQUIPMENT | 2 |
Jérôme Laine | FR | Sautron | 2010-08-05 / 20100198561 - DATA ACQUISITION APPARATUS AND METHOD | 1 |
Robert Laine | FR | St Xandre | 2013-09-19 / 20130241773 - SYSTEM FOR ORIENTING AND POSITIONING AN ELECTROMAGNETIC RECEIVER | 2 |
Janne Laine | FI | Aalto | 2015-07-23 / 20150203594 - Topographically Functionalized NFC Film as an Immunoassay Platform for Rapid Diagnostics | 2 |
Aki Laine | US | Houston | 2016-05-05 / 20160127001 - COVER WITH SHAPE MEMORY MATERIAL | 2 |
Toni Laine | FI | Helsinki | 2010-09-16 / 20100235328 - METHOD AND APPARATUS FOR TRACKING CONTENT PLAYBACK | 1 |
Samuli M. Laine | FI | Vantaa | 2009-05-21 / 20090132878 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR PERFORMING A SCAN OPERATION ON A SEQUENCE OF SINGLE-BIT VALUES USING A PARALLEL PROCESSOR ARCHITECTURE | 2 |
Richard M. Laine | US | Ann Arbor | 2015-04-23 / 20150110701 - BIOGENIC SILICA AS A RAW MATERIAL TO CREATE HIGH PURITY SILICON | 12 |
Davin Peter Laine | US | Shasta Lake City | 2014-11-13 / 20140337671 - System and Methods for Monitoring a Geographic Information System | 2 |
Aki Laine | US | Santa Monica | 2011-06-16 / 20110143824 - APPARATUS COMPRISING A JOINING PART | 2 |
Kendall Laine | US | Redondo Beach | 2015-09-24 / 20150269858 - SYSTEM AND METHOD FOR HYBRID COURSE INSTRUCTION | 2 |
Juha-Pekka J. Laine | US | Boston | 2016-02-11 / 20160041265 - Star Tracker | 12 |
Bertrand Laine | FR | Le Taillan Medoc | 2013-05-09 / 20130116109 - PROCESS FOR PRODUCING A CERAMIC MATRIX COMPOSITE PART | 1 |
Eric H. Laine | US | Binghamton | 2010-03-11 / 20100062597 - Interconnection for flip-chip using lead-free solders and having improved reaction barrier layers | 1 |
Robert Laine | US | Plymouth | 2009-08-20 / 20090210047 - STENT GRAFT FOR REINFORCEMENT OF VASCULAR ABNORMALITIES AND ASSOCIATED METHOD | 1 |
Scott Laine | US | Chico | 2008-12-18 / 20080308645 - FIRE AND WATER SYSTEM | 1 |
Janne Laine | FI | Espoo | 2015-05-28 / 20150144279 - METHOD FOR PRODUCING FURNISH, FURNISH AND PAPER | 10 |
Andrew F. Laine | US | New York | 2008-10-09 / 20080247618 - INTERACTIVE DIAGNOSTIC DISPLAY SYSTEM | 1 |
Risto Laine | FI | Lahti | 2011-06-09 / 20110134439 - MEANS AND METHOD FOR MEASURING AN ELEVATOR HOISTWAY | 1 |
Roger A. Laine | US | Baton Rouge | 2008-08-28 / 20080206294 - Naphthalene Derivatives as Termite Repellents and Toxicants | 1 |
Robert M. Laine | US | Plymouth | 2014-09-04 / 20140249565 - EMBOLIC PROTECTION DEVICE | 1 |
Tuomas Laine | FI | Vantaa | 2014-05-29 / 20140148099 - METHOD, APPARATUS, AND COMPUTER PROGRAM PRODUCT FOR OPTIMIZED DISCOVERY BETWEEN MOBILE DEVICES | 5 |
Tommi Antero Laine | FI | Tampere | 2015-12-03 / 20150351017 - Verifying stored location data for WLAN access points | 25 |
Samuli Laine | FI | Helsinki | 2012-12-27 / 20120327071 - Clipless Time and Lens Bounds for Improved Sample Test Efficiency in Image Rendering | 5 |
Juha-Pekka J. Laine | US | Boston | 2016-02-11 / 20160041265 - Star Tracker | 12 |
Petri Kalevi Laine | FI | Espoo | 2009-05-21 / 20090133014 - METHODS, APPARATUSES AND COMPUTER PROGRAM PRODUCT FOR AUTOMATIC DOWNLOAD OF SOFTWARE DEPENDENCIES ON INSTALLATION | 1 |
Tommi Laine | FI | Tampere | 2015-05-21 / 20150138090 - ELECTRONIC DEVICE AND A METHOD FOR CONTROLLING THE FUNCTIONS OF THE ELECTRONIC DEVICE AS WELL AS PROGRAM PRODUCT FOR IMPLEMENTING THE METHOD | 3 |
Emmanuel Laine | FR | Vittel | 2015-08-06 / 20150217554 - METHOD FOR THE FABRICATION OF A PREFORM, PREFORM AND CONTAINER | 1 |
Dramane Ibrahim Laine | US | Hoboken | 2015-10-15 / 20150290192 - INHIBITORS OF BRUTON'S TYROSINE KINASE | 2 |
Petri T. Laine | FI | Oulu | 2009-12-31 / 20090328101 - USER INTERFACE FOR MOBILE TV INTERACTIVE SERVICES | 1 |
Kari Petri Tapio Laine | FI | Oulu | 2014-10-09 / 20140300642 - INFORMATION PRESENTATION BASED ON DISPLAY SCREEN ORIENTATION | 4 |
Paul Laine | US | Zephyr Cove | 2015-03-19 / 20150081777 - DYNAMIC CONTENT AGGREGATION | 1 |
Michael Laine | US | Newburyport | 2016-03-10 / 20160066621 - Cartridge for an Aerosol Delivery Device and Method for Assembling a Cartridge for a Smoking Article | 7 |
Dramane Ibrahim Laine | US | King Of Prussia | 2016-01-07 / 20160002220 - MUSCARINIC ACETYLCHOLINE RECEPTOR ANTAGONISTS | 11 |
Jarkko Ilari Laine | FI | Vaasa | 2012-01-26 / 20120020826 - METHOD FOR PRODUCING AN ARTICLE HAVING A CAVITY | 1 |
Jeffrey Alan Laine | US | Tarpon Springs | 2015-01-29 / 20150029749 - PATTERNED LIGHT DISTRIBUTION DEVICE WEDGE (PLDW) | 1 |
Antti Laine | FI | Tampere | 2015-11-26 / 20150341169 - DISCOVERY AND SECURE TRANSFER OF USER INTEREST DATA | 1 |
Pauli Laine | FI | Espoo | 2008-10-30 / 20080268911 - Method and Device for Embedding Event Notification Into Multimedia Content | 1 |
Hannu Ensio Laine | FI | Espoo | 2012-11-22 / 20120297441 - METHOD AND APPARATUS FOR PROVIDING END-TO-END PRIVACY FOR DISTRIBUTED COMPUTATIONS | 9 |
Unto Laine | FI | Vantaa | 2012-05-24 / 20120131029 - MODELING AND SEARCHING PATTERNS IN A DATA SEQUENCE | 2 |
Robert André Laine | FR | Paris | 2012-08-23 / 20120210808 - SYSTEM COMPRISING A SPATIAL MOTHER PROBE FORMING A SPATIAL CARRIER VEHICLE AND A PLURALITY OF SPATIAL DAUGHTER PROBES | 8 |
Samuli Laine | FI | Vantaa | 2014-03-13 / 20140071129 - METHOD AND SYSTEM FOR GRAPHICS RENDERING EMPLOYING GRADIENT DOMAIN METROPOLIS LIGHT TRANSPORT | 3 |
Pekka Laine | FI | Toijala | 2011-05-05 / 20110100711 - METHOD AND EQUIPMENT FOR DISPLAYING DRILL HOLES AND METHOD FOR DIRECTING DRILL ROD WHEN HOLES ARE DRILLED INTO ROCK | 1 |
Kimmo Sakari Laine | FI | Turku | 2013-12-12 / 20130327195 - METHOD AND DEVICE FOR CUTTING OFF ONE OR MORE SAMPLE REGIONS FROM A SAMPLE CARRIER | 1 |
Hannu Laine | FI | Helsinki | 2009-06-11 / 20090146791 - Method, device, and system for "listen-before-talk" measurement to enable identifying of one or more unoccupied RF sub-bands | 1 |
John A. Laine | US | Evansville | 2014-09-18 / 20140260355 - WATER FILTER PURGE INDICATION | 1 |
Hans Markus Laine | FI | Oulu | 2010-11-04 / 20100281113 - METHOD AND APPARATUS FOR AUTOMATICALLY MATCHING CONTACTS | 2 |
Anu Laine | FI | Vantaa | 2012-04-19 / 20120093498 - ELECTRONIC DEVICE, COVER AND METHOD | 1 |
Remy Laine | FR | Landevant | 2014-03-06 / 20140061198 - CONTAINER, PARTICULARLY A TUB FOR COSMETIC PRODUCT AND METHOD FOR MANUFACTURING SAME | 1 |
Jarmo Laine | FI | Helsinki | 2015-03-12 / 20150072419 - NOVEL CARBOHYDRATE PROFILE COMPOSITIONS FROM HUMAN CELLS AND METHODS FOR ANALYSIS AND MODIFICATION THEREOF | 15 |
Dramane Ibrahim Laine | US | King Of Prussia | 2016-01-07 / 20160002220 - MUSCARINIC ACETYLCHOLINE RECEPTOR ANTAGONISTS | 11 |
Jamo Laine | FI | Helsinki | 2010-03-04 / 20100055678 - Method of profiling a cell population | 1 |
Kyle E. Laine | US | Newburgh | 2015-07-30 / 20150211786 - SLIDE ASSEMBLY FOR REFRIGERATOR STORAGE DRAWER | 2 |
Tommi A. Laine | FI | Tampere | 2015-04-23 / 20150111605 - MANAGING GROUP OF LOCATION BASED TRIGGERS | 2 |
Janne Laine | FI | Helsinki | 2014-12-25 / 20140374045 - METHOD FOR PRETREATING CELLULOSE PULP | 1 |
Aki Laine | FI | Raisio | 2009-10-29 / 20090270606 - Process for the Preparation of Hydroxy Polymer Esters and Their Use | 1 |
Teemu Laine | FI | Sauvo | 2013-11-07 / 20130295902 - Method And Apparatus For Remotely Managing Devices Utilizing Request-Response Protocols | 1 |
John Batiste Laine | US | The Woodlands | 2014-12-04 / 20140352955 - DOWNHOLE INTEGRATED WELL MANAGEMENT SYSTEM | 1 |
Pasi Laine | FI | Lempaala | 2014-01-02 / 20140002370 - Apparatus Comprising a Conductive Path and a Method of Forming an Apparatus with a Conductive Path | 1 |
Kimmo Laine | FI | Tampere | 2013-09-05 / 20130230412 - SOLUTION FOR CONTROLLING A TURBO COMPRESSOR | 1 |
Juha Pekka Laine | US | Boston | 2015-08-13 / 20150226830 - CHIP-SCALE STAR TRACKER | 2 |
Hannu Ensio Laine | FI | Espoo | 2012-11-22 / 20120297441 - METHOD AND APPARATUS FOR PROVIDING END-TO-END PRIVACY FOR DISTRIBUTED COMPUTATIONS | 9 |
Robert André Laine | FR | Paris | 2012-08-23 / 20120210808 - SYSTEM COMPRISING A SPATIAL MOTHER PROBE FORMING A SPATIAL CARRIER VEHICLE AND A PLURALITY OF SPATIAL DAUGHTER PROBES | 8 |
Arto Laine | FI | Tampere | 2011-11-03 / 20110266085 - OSCILLATOR IN LIQUID | 1 |
Elodie Laine | FR | Paris | 2011-03-17 / 20110065782 - METHODS OF IDENTIFYING COMPOUNDS THAT INHIBIT THE ACTIVATION OF A BIOMOLECULE AND METHODS OF TREATMENT USING THE COMPOUNDS | 1 |
Aki Laine | FI | Vtt | 2011-02-24 / 20110046365 - CELLULOSE DERIVATIVES, METHOD OF PRODUCING THE SAME AND USE THEREOF | 1 |
Janne Laine | FI | Espoo | 2015-05-28 / 20150144279 - METHOD FOR PRODUCING FURNISH, FURNISH AND PAPER | 10 |
Tuomas Valtteri Laine | FI | Vantaa | 2011-09-01 / 20110211490 - ASYMMETRIC BEAM STEERING PROTOCOL | 2 |
Jani Lainema | FI | Tampere | 2016-02-18 / 20160050413 - METHOD FOR CODING AND AN APPARATUS | 37 |
Peter Laing | GB | Willingham | 2013-12-12 / 20130331578 - NOVEL IMINOSUGARS AND THEIR APPLICATIONS | 1 |
David A. Laing | US | Elyria | 2009-12-17 / 20090308818 - SOLID SEPARATOR | 1 |
Doerte Laing | DE | Stuttgart | 2012-12-13 / 20120312292 - DEVICE AND SYSTEM FOR THE INTERMEDIATE STORAGE OF THERMAL ENERGY | 2 |
Craig Laing | AU | Thornleigh | 2013-09-19 / 20130239960 - MODULARIZED RESPIRATORY TREATMENT APPARATUS | 1 |
Donald C. Laing | US | Midland | 2016-04-28 / 20160119381 - Ensuring Health and Compliance of Devices | 2 |
Victoria Elizabeth Laing | GB | Slough | 2009-10-22 / 20090264411 - FUSED THIOPHENE DERIVATIVES AS MEK INHIBITORS | 1 |
Eric Laing | CA | Calgary | 2014-11-20 / 20140341755 - HORIZONTAL AND VERTICAL WELL FLUID PUMPING SYSTEM | 2 |
G. Bruce Laing | CA | London | 2009-05-14 / 20090123347 - FLUID TREATMENT SYSTEM | 1 |
Ian Laing | GB | Rugby | 2013-01-17 / 20130016933 - BEARINGS WITH UNCOATED CRUSH RELIEVESAANM Laing; IanAACI RugbyAACO GBAAGP Laing; Ian Rugby GBAANM Barral; StephaneAACI Saint Martin BellevueAACO FRAAGP Barral; Stephane Saint Martin Bellevue FRAANM Fortune; CedricAACI AnnemasseAACO FRAAGP Fortune; Cedric Annemasse FRAANM Francois; RaphaelleAACI Hauteville Sur FierAACO FRAAGP Francois; Raphaelle Hauteville Sur Fier FRAANM Neyret; VirginieAACI Cran GevrierAACO FRAAGP Neyret; Virginie Cran Gevrier FRAANM Menu; LionelAACI MeythetAACO FRAAGP Menu; Lionel Meythet FR | 1 |
Brent Laing | US | Elizabethton | 2015-08-13 / 20150227909 - Medical Device Payment System | 3 |
Nikolaus Johannes Laing | DE | Remseck | 2011-10-20 / 20110253196 - Floating Solar Platform | 3 |
Graham D. Laing | US | Granbury | 2013-07-18 / 20130180997 - PORTABLE CONTAINMENT SYSTEMS FOR HAZARDOUS OR OTHER MATERIALS | 1 |
Reinhard Laing | DE | Harsewinkel | 2010-05-20 / 20100125788 - DISPLAY DEVICE | 3 |
Donald Gordon Laing | US | Houston | 2014-09-18 / 20140271168 - Radial Diffuser Exhaust System | 11 |
Charles R. Laing | US | Cody | 2015-07-02 / 20150184351 - CONTAINMENT BERM WITH INTERNAL QUICK LATCH STAYS | 2 |
Mark Laing | GB | East Yorkshire | 2008-08-28 / 20080202172 - Device for Providing a Relatively Constant Rate of Dissolution of a Solid Article within It | 1 |
Charles Frederick Laing | US | Wilmington | 2014-04-03 / 20140092380 - METHOD OF MEASURING MULTI-MODE FIBER BANDWIDTH THROUGH ACCESSING ONE FIBER END | 3 |
Johannes Nikolaus Laing | US | La Jolla | 2011-12-01 / 20110290964 - Novel Enhanced Connecting Brackets for Floating Rings | 1 |
Susan T. Laing | US | Houston | 2008-12-11 / 20080305156 - Echogenic Vehicle for Clinical Delivery of Plasminogen Activator and Other Fibrin-Binding Therapeutics to Thrombi | 1 |
David Laing | US | Hamilton | 2015-12-17 / 20150359192 - FLEA REMOVAL DEVICE | 1 |
Paul M. Laing | US | Canton | 2014-03-06 / 20140060040 - SECONDARY AIR INTRODUCTION SYSTEM | 7 |
Alastair R. Laing | US | Tucson | 2011-01-27 / 20110017868 - AIRCRAFT CABIN PRESSURE CONTROL SYSTEM AND METHOD FOR AIRCRAFT HAVING MULTIPLE DIFFERENTIAL PRESSURE LIMITS | 1 |
Mark Laing | US | Lafayette | 2008-11-20 / 20080288907 - CROSSLINKING OF NETLISTS | 1 |
Robert A. Laing | US | Montgomery | 2015-10-08 / 20150287150 - SYSTEMS AND METHODS FOR EARTH-BORING ROTARY DRILL BIT SELECTION | 10 |
Tony Ian George Laing | AU | Mornington | 2015-08-20 / 20150237205 - Systems and Methods for use in Marketing | 1 |
Karsten Laing | DE | Althutte | 2015-04-23 / 20150108938 - ENGINE CONTROL FOR A SYNCHRONOUS MOTOR | 2 |
William Alister Laing | NZ | Auckland | 2016-05-12 / 20160130597 - Regulation of Gene Expression | 1 |
Lance Gavin Laing | US | Belmont | 2015-05-07 / 20150125894 - WHOLE CELL ASSAYS AND METHODS | 2 |
James Hansel Laing | US | Glenshaw | 2015-08-20 / 20150234987 - System and Method for Processing Healthcare Information | 1 |
Chris Laing | US | Driggs | 2016-05-19 / 20160136506 - SKI POLE BASKET | 1 |
Robert A. Laing | US | Montgomery | 2015-10-08 / 20150287150 - SYSTEMS AND METHODS FOR EARTH-BORING ROTARY DRILL BIT SELECTION | 10 |
William A. Laing | US | Bellevue | 2013-05-30 / 20130138784 - ROLE BASED SERVER INSTALLATION AND CONFIGURATION | 2 |
Donald Gordon Laing | US | Houston | 2014-09-18 / 20140271168 - Radial Diffuser Exhaust System | 11 |
Che-Rong Laing | TW | Hsinchu City | 2013-05-02 / 20130108775 - DEFECT MONITORING FOR RESIST LAYER | 1 |
Peter Laing | GB | Cambridge | 2014-10-30 / 20140323567 - CONTROLLED RELEASE PHARMACEUTICAL FORMULATIONS | 2 |
Philippa Laing | GB | Whitley, | 2014-01-09 / 20140012450 - HYBRID ELECTRIC VEHICLE CONTROLLER AND METHOD OF CONTROLLING A HYBRID ELECTRIC VEHICLE | 1 |
Kerry J. Laing | US | Seattle | 2015-11-26 / 20150335734 - ANTIGENIC PEPTIDE OF HSV-2 AND METHODS FOR USING SAME | 2 |
Phillip Roy Laing | AU | Western Australia | 2014-06-05 / 20140150192 - GOLF CLUB CLEANING DEVICE | 1 |
Moray L. Laing | US | Spring | 2013-12-05 / 20130325351 - Smart Flowback Alarm to Detect Kicks and Losses | 1 |
Karl Laing | AU | Rosehill, New South Wales | 2016-03-24 / 20160083960 - AN ACOUSTIC DAMPING BUILDING MATERIAL | 1 |
Bailin Laing | US | St. Johns | 2013-01-17 / 20130018360 - METHOD FOR DELIVERING OPHTHALMIC DRUGS | 1 |
Moray L. Laing | US | Houston | 2013-01-31 / 20130025851 - DOWNHOLE CONDITION ALERT SYSTEM FOR A DRILL OPERATOR | 1 |
Doug Laing | CA | Rocky Mountain House | 2012-02-09 / 20120030973 - EARTH MOVER WHICH CONTINUOUSLY EXCAVATES A TRENCH AND SIMULTANEOUSLY CLEARS THE EXCAVATED TRENCH OF SPOILS | 1 |
Anthony Laing | GB | Bristol | 2012-08-02 / 20120195430 - Quantum Key Distribution | 1 |
Barbara Laing | US | Middletown | 2011-08-11 / 20110195729 - Internet Security Updates Via Mobile Phone Videos | 1 |
Tony Laing | AU | Cheltenham | 2014-09-25 / 20140289005 - Systems and Methods for Use in Marketing | 1 |
Moray Laing | US | Spring | 2015-08-13 / 20150227841 - CONTROL VARIABLE DETERMINATION TO MAXIMIZE A DRILLING RATE OF PENETRATION | 3 |
Peter Laing | GB | Cambridgeshire | 2016-03-17 / 20160075651 - GLYCOLIPID INHIBITION USING IMINOSUGARS | 2 |
Michael Thomas Laing | AU | Armadale | 2010-04-22 / 20100100461 - PAYMENT TRANSACTION SYSTEM | 1 |
Christian Laing | CA | Grand-Mere | 2012-01-26 / 20120021660 - Electronically assisted reverse gate system for a jet propulsion watercraft | 2 |
Lance Laing | US | Belmont | 2013-03-28 / 20130078647 - Methods of Detection of Changes in Cells | 7 |
Ross G. Laing | US | Rochester | 2009-05-14 / 20090121049 - Internal lower fuel injector filter | 1 |
William A. Laing | NZ | Auckland | 2010-03-25 / 20100077503 - Transferases, Epimerases, Polynucleotides Encoding These and Uses Thereof | 1 |
Robert Laing | US | Montgomery | 2010-12-30 / 20100326742 - DRILL BIT FOR USE IN DRILLING SUBTERRANEAN FORMATIONS | 1 |
Robert J. Laing | CA | Moffat | 2014-01-30 / 20140031156 - MULTI-SPEED DRIVE FOR TRANSFERRING POWER TO A LOAD | 2 |
Lance G. Laing | US | Belmont | 2016-03-10 / 20160069901 - Methods for Identifying Modulators of Ion Channels | 17 |
Peter Laing | GB | London | 2016-05-12 / 20160129124 - Derivatisation of Erythropoietin (EPO) | 32 |
Oliver Laing | DE | Stuttgart | 2013-10-24 / 20130279891 - WATER DELIVERY SYSTEM AND METHOD FOR MAKING HOT WATER AVAILABLE IN A DOMESTIC HOT WATER INSTALLATION | 2 |
Tyler Laing | US | Salt Lake City | 2010-06-10 / 20100144430 - LIVE AUTHORING METHOD FOR REAL TIME DEVELOPMENT OF VIDEO GAMES | 1 |
Inge Laing | US | La Jolla | 2011-12-01 / 20110290964 - Novel Enhanced Connecting Brackets for Floating Rings | 4 |
Brent D. Laing | US | Elizabethton | 2014-09-25 / 20140288613 - PERCUTANEOUS TIBIAL NERVE STIMULATOR | 1 |
Brent Ian Laing | NZ | Auckland | 2016-03-24 / 20160082218 - SWIVEL CONNECTOR | 4 |
Karsten Laing | DE | Althuette | 2015-03-05 / 20150064021 - PUMP UNIT, PUMP UNIT CONFIGURATION SYSTEM AND METHOD | 5 |
Malcolm S. Laing | US | Blacksburg | 2015-05-14 / 20150129206 - System for Monitoring Linearity of Down-Hole Pumping Systems During Deployment and Related Methods | 5 |
Jennifer Laing | US | Baltimore | 2011-02-10 / 20110033419 - Methods and Compositions for Treating Cancer | 1 |
Naomi Laing | US | Waltham | 2012-12-27 / 20120328625 - TARGETED BINDING AGENTS DIRECTED TO SONIC HEDGEHOG HOMOLOG AND USES THEREOF | 6 |
Alison Laing Wright | US | Cleveland | 2014-09-04 / 20140248604 - METHOD FOR DETECTING HIV-1 CO-RECEPTOR TROPISM | 1 |
Janet E. Lainhart | US | Salt Lake City | 2011-09-08 / 20110218253 - IMAGING-BASED IDENTIFICATION OF A NEUROLOGICAL DISEASE OR A NEUROLOGICAL DISORDER | 1 |
Gregory Charles Lainhart | US | Nicholasville | 2014-04-24 / 20140109632 - HIGH SECURITY LOCK | 1 |
Gregorio Laino | IT | Torre Del Greco | 2009-11-26 / 20090291065 - COLLECTION AND SELECTION METHODS OF AN EMBRYONIC-LIKE STEM CELL POPULATION FROM HUMAN ADULT PERIODONTAL FOLLICULAR TISSUES | 2 |
Carlos Horacio Laino | AR | La Rioja City | 2011-01-06 / 20110003796 - METHOD FOR THE TREATMENT OF PSYCHIC DISORDERS | 1 |
Valerio Laino | CH | Zurich | 2013-05-23 / 20130128232 - DISPLAY APPARATUS, METHOD AND LIGHT SOURCE | 4 |
Francesco Laino | IT | Parma | 2015-06-25 / 20150175290 - LABELLING UNIT FOR APPLYING A LABEL ONTO AN ARTICLE | 1 |
Juhani Lainonen | FI | Riihiniityntie | 2009-01-01 / 20090002199 - Piezoelectric sensing as user input means | 1 |
Juhani Lainonen | FI | Espoo | 2009-05-14 / 20090120672 - METHOD FOR MANUFACTURING A LAMINATE COVER, LAMINATE PROTECTIVE LAYER, AND LAMINATE ELECTRONIC DEVICE HAVING A REDUCED COST, MANUFACTURING TIME, WEIGHT, AND THICKNESS | 1 |
Kenneth Edward Laintz | US | Los Alamos | 2009-04-30 / 20090107593 - RDX EXPLOSIVE AND METHOD | 1 |
Yuan-Yi Laio | TW | Taipei County | 2010-03-04 / 20100053053 - DISPLAY PANEL | 1 |
Vincent E. Laiosa | US | Lexington | 2016-04-14 / 20160105560 - METHOD AND SYSTEM FOR MANAGING INTERACTIVE COMMUNICATIONS CAMPAIGNS | 2 |
Vincent E. Laiosa | US | Arlington | 2013-06-20 / 20130156178 - Method and system for managing interactive communications campaigns | 1 |
Kari Laipio | FI | Kirkkonummi | 2010-03-18 / 20100067394 - SYSTEM AND METHOD OF REPORTING IN-SERVICE PERFORMANCE STATISTICS IN LAYERED NETWORKS | 1 |
Stephen A. Laipply | US | San Francisco | 2014-04-03 / 20140095376 - INVESTMENT FUNDS ENABLING A BOND LADDERING STRATEGY | 1 |
Steve Laipply | US | 2011-07-07 / 20110166983 - Investment Funds Enabling a Bond Laddering Strategy | 1 | |
Thomas C. Laipply | US | Carlsbad | 2014-06-05 / 20140151256 - Microscope slide transport device | 2 |
Steve A. Laipply | US | San Francisco | 2013-09-12 / 20130238484 - INVESTMENT FUNDS ENABLING A BOND LADDERING STRATEGY | 1 |
Anthony C. Lair | US | Alpharetta | 2015-05-28 / 20150148753 - ENTERAL SYRINGE | 13 |
Patrick Lair | FR | Lasserre | 2011-12-08 / 20110297787 - DUAL FUNCTION DOOR FOR AN AIRCRAFT ENGINE NACELLE | 2 |
Yannick Lair | GB | Berkshire | 2016-04-21 / 20160113057 - Communications Device, Apparatus, System, Method and Computer Implementable Instructions Product | 11 |
Richard J. Lair | US | Long Valley | 2015-12-17 / 20150366076 - A Method Of Encapsulating An Electric Component | 2 |
Yannick Lair | GB | Reading | 2015-11-12 / 20150327178 - COMMUNICATIONS DEVICE AND A METHOD THEREBY, A BASE STATION AND A METHOD THEREBY, A SYSTEM, AND A NON-TRANSITORY COMPUTER READABLE MEDIUM | 6 |
Yannick Lair | JP | Tokyo | 2010-10-14 / 20100261474 - PLMN SELECTION IN MULTI-NETWORK ENVIRONMENT | 1 |
David Lair | FR | Nantes Cedex 1 | 2015-07-02 / 20150182620 - Polypeptides for use in the Prophylactic Treatment of Allergic Asthma | 1 |
Yannick Lair | GB | Berkshire | 2016-04-21 / 20160113057 - Communications Device, Apparatus, System, Method and Computer Implementable Instructions Product | 11 |
John Lair | US | Salt Lake City | 2009-01-29 / 20090029743 - WIRELESS HEADSET AND MICROPHONE ASSEMBLY FOR COMMUNICATIONS DEVICE | 1 |
Rodney D. Lair | US | Cedar Falls | 2010-05-06 / 20100109288 - ADAPTABLE DRAWBAR SUPPORT ASSEMBLY | 3 |
Anthony C. Lair | US | Alpharetta | 2015-05-28 / 20150148753 - ENTERAL SYRINGE | 13 |
Stephen V. Lair | US | Temecula | 2011-01-13 / 20110008389 - RECOMBINANT ATTENUATED CLOSTRIDIUM ORGANISMS AND VACCINE | 2 |
Gary D. Lair | US | San Diego | 2011-06-23 / 20110147610 - SYSTEM FOR CONTINUOUS MODE PROCESSING OF THE CONTENTS OF MULTIPLE REACTION RECEPTACLES IN A REAL-TIME AMPLIFICATION ASSAY | 2 |
Robert Shane Lair | US | Rio Linda | 2010-10-07 / 20100252590 - Surface profile reduction and applications thereof | 1 |
Jean-Pierre Lair | US | San Antonio | 2011-05-05 / 20110101159 - NESTED FAIRING THRUST REVERSER | 8 |
Cyril Lair | FR | Pontault-Combault | 2016-04-14 / 20160103168 - EMC TEST BENCH COMPRISING AN ITEM OF EQUIPMENT UNDER TEST WHICH IS INTENDED TO BE LOADED ON BOARD AN AIRCRAFT | 1 |
David Lair | FR | Nantes | 2016-05-05 / 20160120872 - RAC1 Inhibitors for Inducing Bronchodilation | 1 |
Richard C. Lair | TH | Lampang | 2016-05-05 / 20160120276 - FOUR-GRIP CANE | 1 |
Christopher B. Laird | US | Pittsburgh | 2015-07-30 / 20150211553 - Conditioner, Apparatus and Method | 3 |
Cameron James Laird | CA | Milton | 2013-08-15 / 20130212035 - SCANNER ASSISTED UPLOAD AND ENCRYPTED DATA GENERATION DEVICE, SYSTEM, METHOD, AND COMPUTER READBLE MEDIUM | 3 |
Mary L. Laird | US | Magnolia | 2012-06-14 / 20120145396 - RELEASING AND RECOVERING TOOL | 1 |
Tommy Laird | US | Cypress | 2013-10-03 / 20130262048 - METHODS FOR DESIGNING SECONDARY CUTTING STRUCTURES FOR A BOTTOM HOLE ASSEMBLY | 9 |
Ewen Laird | AU | North Plympton | 2015-04-16 / 20150105829 - BONE FIXATION DEVICE | 1 |
Don C. Laird | US | Mundelein | 2013-02-14 / 20130040407 - SOLUBLE FMS-LIKE TYROSINE KINASE-1 (sFLT-1) ANTIBODY AND RELATED COMPOSITION, KIT, METHODS OF USING, AND MATERIALS AND METHOD FOR MAKING | 2 |
Stephen Laird | GB | Edinburgh | 2011-09-15 / 20110220230 - FLUID DISTRIBUTION VALVE | 1 |
Ewen Laird | AU | New South Wales | 2011-05-05 / 20110106086 - TOOL JIG FOR BONE IMPLANT ASSEMBLY | 1 |
Edward T. Laird | US | Lombard | 2013-06-13 / 20130145692 - Hands-Free Barrier Operator | 5 |
Madison Laird | US | Sunnyvale | 2015-11-05 / 20150317369 - SYSTEMS AND METHODS FOR APPLYING CONSTRUCTS TO A RECEIVED DATA SET | 1 |
Robert J. Laird | US | Morrow | 2014-11-20 / 20140343550 - ELECTROSURGICAL CUTTING AND SEALING INSTRUMENTS WITH CAM-ACTUATED JAWS | 11 |
Brian Laird | US | Granby | 2008-10-09 / 20080245330 - Switching Finger Follower Assembly | 1 |
Mary L. Laird | US | Madisonville | 2015-05-14 / 20150129195 - Retractable Cutting and Pulling Tool with Uphole Milling Capability | 6 |
Robert J. Laird | US | Morrow | 2014-11-20 / 20140343550 - ELECTROSURGICAL CUTTING AND SEALING INSTRUMENTS WITH CAM-ACTUATED JAWS | 11 |
Cameron James Laird | CA | Mississauga | 2013-04-18 / 20130092456 - WEIGHT DETERMINING SYSTEM, METHOD, AND COMPUTER READABLE MEDIUM FOR USE WITH A NON-SINGULATED AND NON-SPACED ARRANGEMENT OF ITEMS ON A CONVEYOR | 1 |
Joel Augustus Laird | GB | North Somerset | 2016-05-19 / 20160140338 - DYNAMIC RENDERING OF A DOCUMENT OBJECT MODEL | 2 |
Andrew Laird | US | 2010-09-23 / 20100237583 - AXLES, SUCH AS FOR BICYCLES | 2 | |
Lindsay Laird | AU | New South Wales | 2011-01-20 / 20110015751 - Augment For Use In A Knee Prelacement System And Method Of Performing A Knee Replacement Operation | 1 |
Ian Laird | GB | Glasgow | 2015-11-12 / 20150320088 - APPARATUS AND METHOD FOR TEMPERATURE CONTROLLED PROCESSES | 7 |
Ronald E. Laird | US | Dexter | 2013-12-05 / 20130323514 - COATED ARTICLE WITH HIGH VISIBLE TRANSMISSION AND LOW EMISSIVITY | 4 |
Michael W. Laird | US | 2010-11-18 / 20100291033 - Albumin Fusion Proteins | 1 | |
Michael R. Laird | US | Leander | 2015-03-12 / 20150073769 - Revising Translated Documents in a Document Storage System | 2 |
Kenneth S. Laird | US | Canton | 2016-04-07 / 20160096457 - VEHICLE ARMREST WITH STRUCTURAL FABRIC SUBSTRATE | 6 |
Brad Laird | US | Sherwood | 2014-04-10 / 20140096834 - METHOD FOR SUPPLYING VAPORIZED PRECURSOR | 2 |
William K. Laird | US | Corona | 2016-05-05 / 20160124444 - VOICE COIL MOTOR TEMPERATURE SENSING CIRCUIT TO REDUCE CATASTROPHIC FAILURE DUE TO VOICE COIL MOTOR COIL SHORTING TO GROUND | 2 |
Peter Laird | US | Superior | 2008-11-13 / 20080281898 - PORTLETS IN NON-PORTAL PAGES | 1 |
Ellen Laird | US | Longmont | 2013-05-02 / 20130109656 - MITOTIC KINESIN INHIBITORS AND METHODS OF USE THEREOF | 3 |
Morris Laird | CA | Airdric | 2009-11-05 / 20090272954 - FENCE EDGE GUARD | 1 |
Sharon M. Laird | US | Wildomar | 2010-01-14 / 20100005556 - VACUUM SEALED PROTECTIVE COVER FOR BALLISTIC PANEL | 1 |
Brian Laird | US | San Francisco | 2014-06-12 / 20140164938 - Displaying a Stream of Content | 1 |
Ellen Laird | US | Boulder | 2015-07-09 / 20150191490 - MITOTIC KINESIN INHIBTORS AND METHODS OF USE THEREOF | 8 |
Robert G. Laird | US | Colorado Springs | 2013-12-19 / 20130340029 - ASSOCIATION OF SERVICE POLICIES BASED ON THE APPLICATION OF MESSAGE CONTENT FILTERS | 6 |
Ellen Laird | US | Boulder | 2015-07-09 / 20150191490 - MITOTIC KINESIN INHIBTORS AND METHODS OF USE THEREOF | 8 |
Ellen R. Laird | US | Longmont | 2012-10-25 / 20120270803 - Mitotic Kinesin Inhibitors and Methods of Use Thereof | 6 |
Andrew Laird | US | Los Gatos | 2016-02-11 / 20160040741 - SUSPENSION SYSTEM | 21 |
Michael W. Laird | US | San Ramon | 2016-05-12 / 20160130624 - HARVEST OPERATIONS FOR RECOMBINANT PROTEINS | 10 |
Peter W. Laird | US | South Pasadena | 2013-03-14 / 20130065228 - GENOME-SCALE ANALYSIS OF ABERRANT DNA METHYLATION IN COLORECTAL CANCER | 12 |
Andrew Laird | US | Soquel | 2009-10-01 / 20090243251 - METHODS AND APPARATUS RELATED TO A UNITARY FORK BRACE | 1 |
Mark L. Laird | US | Austin | 2014-06-19 / 20140172344 - METHOD, SYSTEM AND APPARATUS FOR TESTING MULTIPLE IDENTICAL COMPONENTS OF MULTI-COMPONENT INTEGRATED CIRCUITS | 1 |
Douglas Laird | US | Los Gatos | 2009-03-19 / 20090072858 - HETEROGENEOUS CONFIGURABLE INTEGRATED CIRCUIT | 1 |
A. Douglas Laird | US | San Mateo | 2014-04-10 / 20140100215 - Methods of Using PI3K and MEK Modulators | 3 |
Robert J. Laird | US | Pinole | 2013-09-05 / 20130231675 - Tissue Separating Catheter Assembly and Method | 3 |
Carole E. Laird | US | Dexter | 2012-04-19 / 20120094111 - COATED ARTICLE WITH ABSORBING LAYER | 1 |
James Hamilton Laird | AU | Artarmon | 2015-11-05 / 20150313487 - Method and System for Controlling Electrical Conditions of Tissue | 5 |
Robert Laird | US | Morrow | 2015-07-30 / 20150209059 - METHODS AND DEVICES FOR CONTROLLING MOTORIZED SURGICAL DEVICES | 1 |
Ellen R. Laird | US | Boulder | 2015-07-30 / 20150210658 - MITOTIC KINESIN INHIBITORS AND METHODS OF USE THEREOF | 4 |
Katie Laird | GB | Northampton | 2014-02-06 / 20140037766 - Vapour of a Citrus Essential Oil Blend and Its Antimicrobial Properties | 2 |
James C. Laird | US | Grayslake | 2013-01-03 / 20130005032 - APPARATUS AND METHOD FOR PROCESSING BIOLOGICAL MATERIAL | 14 |
David J. Laird | US | Greenacres | 2015-01-15 / 20150015667 - METHOD AND SYSTEM FOR OPERATING A SET-TOP-BOX | 1 |
Dougal Laird | DE | Freiburg | 2013-11-28 / 20130315996 - BIO-FUNCTIONALIZED STIMULUS-RESPONSIVE DISSOLVABLE PEG-HYDROGELS | 1 |
Sean Laird | AU | Queensland | 2015-11-05 / 20150315854 - ROD HANDLER IMPROVEMENTS | 1 |
David John Laird | GB | Nottingham | 2009-02-19 / 20090048609 - UTERINE CANNULA | 1 |
Edward Thomas Laird | US | Lombard | 2010-04-08 / 20100085145 - System and Method for Control of Multiple Barrier Operators | 1 |
Eric Michael Laird | US | Seattle | 2014-12-25 / 20140379559 - CLOSED-LOOP STORED VALUE PAYMENT INSTRUMENT BROKERAGE | 1 |
Carole Laird | US | 2013-12-05 / 20130323514 - COATED ARTICLE WITH HIGH VISIBLE TRANSMISSION AND LOW EMISSIVITY | 1 | |
Don M. Laird | US | Mundelein | 2010-01-21 / 20100015637 - PIGF-1 ASSAY AND KITS AND COMPONENTS THEREOF | 2 |
Stephen Michael Laird | GB | Edinburgh | 2015-07-30 / 20150211513 - FLUID-WORKING MACHINE VALVE TIMING | 10 |
Ron Laird | GB | North Lanarkshire | 2012-12-27 / 20120329198 - METHOD FOR PRODUCING AN INFRARED LIGHT DETECTOR | 1 |
Dougal Frazer Laird | NZ | Hamilton East | 2011-08-25 / 20110206768 - MEDICAL PREPARATION | 1 |
Marta Kristine Laird | US | Farmington Hills | 2012-07-12 / 20120178553 - Portable soccer foot skill and agility training mat | 1 |
Daniel William Laird | US | North Aurora | 2012-07-12 / 20120176443 - THERMAL INK JET INK COMPOSITION | 1 |
Darin W Laird | US | Pittsburg | 2008-12-25 / 20080319207 - ORGANIC PHOTOVOLTAIC DEVICES COMPRISING FULLERENES AND DERIVATIVES THEREOF | 1 |
Darin W. Laird | US | Pittsburgh | 2014-09-11 / 20140251434 - ORGANIC PHOTOVOLTAIC DEVICES COMPRISING FULLERENES AND DERIVATIVES THEREOF | 7 |
Randall B. Laird | US | Pasadena | 2012-03-22 / 20120070575 - METHODS FOR APPLYING SOLUTION CATALYSTS TO REACTOR SURFACES | 4 |
Robert L. Laird | US | Cranberry Twp | 2010-09-23 / 20100237025 - Method and System for Cleaning Filter Media Support Structures | 1 |
Brian Laird | US | Roy | 2012-11-01 / 20120275891 - WHEELCHAIR LIFT DEVICE | 1 |
Ken S. Laird | US | Canton | 2015-06-11 / 20150158448 - THIN PLATE STRUCTURAL SUPPORT FOR A MOTOR VEHICLE ARMREST | 1 |
Tommy L. Laird | US | Cypress | 2014-11-20 / 20140338976 - EXTENDABLE CUTTING TOOLS FOR USE IN A WELLBORE | 3 |
Darin Laird | US | Pittsuburgh | 2008-12-25 / 20080315751 - Aryl-substituted conjugated polymers | 1 |
Darin Laird | US | Pittsburgh | 2014-02-27 / 20140054509 - SULFONATION OF CONDUCTING POLYMERS AND OLED, PHOTOVOLTAIC, AND ESD DEVICES | 5 |
Carl P. Laird | US | White Oak | 2012-06-14 / 20120147513 - In-Line Static Electricity Removal Grounding Device | 2 |
Stephen Laird | GB | Midlothian | 2016-01-07 / 20160003239 - VALVE UNIT A FLUID WORKING MACHINE COMPRISING A VALVE UNIT | 6 |
Ite A. Laird | US | South Pasadena | 2015-08-20 / 20150232539 - Methods and Compositions for Detecting, Imaging, and Treating Small Cell Lung Cancer Utilizing Post-Translationally Modified Residues and Higher Molecular Weight Antigenic Complexes in Proteins | 1 |
Mark Laird | US | Hutto | 2010-01-07 / 20100005436 - METHOD AND APPARATUS FOR CHARACTERIZING AN INTEGRATED CIRCUIT MANUFACTURING PROCESS | 1 |
Stephen Michael Laird | GB | Edinburgh | 2015-07-30 / 20150211513 - FLUID-WORKING MACHINE VALVE TIMING | 10 |
David Norman Laird | CA | Abbotsford | 2015-11-26 / 20150336435 - MAGNETIC MOUNT FOR TIRE PRESSURE SENSOR | 2 |
Noble Laird | US | Center Moriches | 2015-04-30 / 20150119124 - Contract the game of the century | 2 |
Carole Laird | US | Dexter | 2011-11-24 / 20110284366 - Coated article with high visible transmission and low emissivity | 1 |
Craig Laird | US | Irving | 2010-06-03 / 20100138659 - ELECTRONIC NOTARY | 1 |
Michael W. Laird | US | San Ramon | 2016-05-12 / 20160130624 - HARVEST OPERATIONS FOR RECOMBINANT PROTEINS | 10 |
Ian Laird | GB | Mearnskirk | 2012-10-18 / 20120263011 - APPARATUS AND METHOD FOR APPLYING OSCILLATORY MOTION | 1 |
Tommy Laird | US | Cypress | 2013-10-03 / 20130262048 - METHODS FOR DESIGNING SECONDARY CUTTING STRUCTURES FOR A BOTTOM HOLE ASSEMBLY | 9 |
Beverly L. Laird | US | Birmingham | 2014-01-23 / 20140025076 - EXTERNAL FIXATION SYSTEM | 1 |
Andrew Duncan Laird | GB | Whitehaven | 2010-10-14 / 20100260548 - RECONFIGURABLE OBSTACLE SYSTEM FOR A RIVER CHANNEL | 1 |
Stephen Laird | GB | Lothian | 2013-09-26 / 20130249214 - POWER GENERATING APPARATUS OF RENEWABLE ENERGY TYPE AND METHOD OF OPERATING THE SAME | 3 |
Matt Laird | US | Boulder | 2014-12-11 / 20140361908 - WIRELESS UTILITY METERING DEVICES, SYSTEMS, AND METHODS | 1 |
Eric Laird | US | Washington | 2013-12-05 / 20130325705 - Managing Merchant Communications | 1 |
Robert Laird | CA | Ontario | 2015-03-26 / 20150089673 - SYSTEM AND METHOD FOR GEOFENCING | 2 |
Andrew Laird | US | Los Gatos | 2016-02-11 / 20160040741 - SUSPENSION SYSTEM | 21 |
James C. Laird | US | Grayslake | 2013-01-03 / 20130005032 - APPARATUS AND METHOD FOR PROCESSING BIOLOGICAL MATERIAL | 14 |
Darrell Lynn Laird | US | Livingston | 2014-03-20 / 20140081211 - INTRAVENOUS LINE STABILIZATION DEVICE AND METHOD | 1 |
Robert Laird | CA | Waterloo | 2015-03-26 / 20150089577 - SYSTEM AND METHOD FOR UPDATING DOWNLOADED APPLICATIONS USING MANAGED CONTAINER | 3 |
Marsha Laird-Maddox | US | Kansas City | 2016-04-21 / 20160110525 - Integrated Data Capture Using Aliasing Schemes | 8 |
Marsha Laird-Maddox | US | Kansas City | 2016-04-21 / 20160110525 - Integrated Data Capture Using Aliasing Schemes | 8 |
Tom Laird-Mcconnell | US | Bellevue | / - | 1 |
Thomas Matthew Laird-Mcconnell | US | Kirkland | 2016-03-31 / 20160092586 - IDENTIFYING AND RECOMMENDING EXPERTS USING SHARED POSTS AND INTERACTIONS | 5 |
Tom Matthew Laird-Mcconnell | US | Kirkland | 2011-07-21 / 20110178995 - MICROBLOG SEARCH INTERFACE | 1 |
Tom Laird-Mcconnell | US | Kirkland | 2015-07-09 / 20150193409 - GENERATING A COLLAGE FOR RENDERING ON A CLIENT COMPUTING DEVICE | 6 |
Thomas M. Laird-Mcconnell | US | Kirkland | 2011-12-29 / 20110320715 - IDENTIFYING TRENDING CONTENT ITEMS USING CONTENT ITEM HISTOGRAMS | 4 |
Thomas M. Laird-Mcconnell | US | Bellevue | 2011-06-23 / 20110153658 - INTEGRATING USER INTERFACES FROM ONE APPLICATION INTO ANOTHER | 2 |
Thomas Laird-Mcconnell | US | Kirkland | 2013-03-14 / 20130066693 - CROWD-SOURCED QUESTION AND ANSWERING | 1 |
James Hamilton Laird-Wah | AU | Artarmon | 2015-12-31 / 20150374999 - Method and Apparatus for Application of a Neural Stimulus | 1 |
Luke Lairson | US | San Diego | 2014-02-06 / 20140038949 - DIRECTED DIFFERENTIATION OF OLIGODENDROCYTE PRECURSOR CELLS TO A MYELINATING CELL FATE | 1 |
Peter Lais | DE | Lichtenstein | 2008-08-21 / 20080201607 - DISASTER RECOVERY IN A DATA PROCESSING SYSTEM | 1 |
Sascha Lais | DE | Loerrach-Haagen | 2009-07-09 / 20090175122 - Homogenizer Device Having Horizontally Mounted Gear Rims | 1 |
Eric N. Lais | US | Poughkeepsie | 2012-01-26 / 20120023302 - Concurrent Atomic Operations with Page Migration in PCIe | 2 |
Lothar Lais | DE | Schopfheim | 2011-11-17 / 20110277518 - APPARATUS FOR A CHECKPOINT | 1 |
Peter Lais | DE | Mullheim | 2014-10-23 / 20140314527 - DISCHARGE METHOD AND DISCHARGE DEVICE FOR DISCHARGING AN AT LEAST PARTIALLY FLEXIBLE CONTAINER WITHOUT CONTAMINATION | 1 |
Kevin D. Lais | US | Hillsboro | 2011-11-10 / 20110274874 - CHEMICAL VAPOR DEPOSITED SILICON CARBIDE ARTICLES | 2 |
Peter Lais | DE | Erlingheim | 2014-10-23 / 20140313299 - Dental Camera For Detecting Caries | 2 |
Kevir Lais | US | Hillsboro | 2016-03-24 / 20160083283 - CERAMIC MEMBER AND DEFECT TEST SYSTEM | 1 |
Eric N. Lais | US | 2012-02-09 / 20120036401 - SELECTION OF A DOMAIN OF A CONFIGURATION ACCESS | 1 | |
Josef Lais | CH | Marbach | 2016-01-07 / 20160004073 - GEODETIC INSTRUMENT WITH DIFFRACTIVE OPTICAL ELEMENTS | 5 |
Joseph F. Lais | US | Mendota Heights | 2011-10-27 / 20110258923 - THERMOFORMED GROW TUBE | 1 |
Eric N. Lais | US | Tillson | 2015-09-17 / 20150261707 - DYNAMIC UNIVERSAL PORT MODE ASSIGNMENT | 35 |
Kelli K. Lais | US | Saint Paul | 2011-11-24 / 20110283809 - MULTIPHASE FLOWMETER WITH BATCH SEPARATION | 1 |
Sascha Lais | DE | Lörrach-Haagen | / - | 1 |
Peter Lais | DE | Erligheim | 2012-11-08 / 20120281135 - Handpiece Camera | 2 |
Peter Lais | DE | Auggen | 2010-11-25 / 20100294396 - COUPLING FASTENER FOR A FLEXIBLE CONTAINER, A DOCKING DEVICE FOR FLEXIBLE CONTAINERS, A METHOD FOR EMPTYING, FILLING OR REFILLING BULK MATERIAL FROM FLEXIBLE CONTAINERS, AND TWO FLEXIBLE CONTAINERS WHICH ARE COUPLED TIGHTLY WITH RESPECT TO THE ENVIRONMENT | 1 |
Peter Lais | DE | Muellheim | 2013-12-19 / 20130334813 - SYSTEM AND METHOD FOR CONNECTING TWO FLEXIBLE CONTAINERS | 6 |
Sascha Lais | DE | Lörrach-Haagen | / - | 1 |
Joseph F. Lais | US | St. Paul | 2010-12-02 / 20100299993 - SPECTRALLY SELECTIVE GROW TUBE | 2 |
Sebastián Laiseca Segura | ES | Madrid | 2015-12-10 / 20150358350 - PROTECTION METHOD AND DEVICE | 1 |
Michael Laisne | US | Encinitas | 2015-07-16 / 20150199461 - METHOD AND APPARATUS FOR TIMING VERIFICATION | 8 |
Aude Laisne | FR | Gif-Sur-Yvette | 2013-05-02 / 20130109848 - Multidimensional Supramolecular Structures Essentially Made of Assembled I-Motif Tetramers | 1 |
Michael Laisne | US | San Diego | 2013-11-07 / 20130297981 - LOW COST HIGH THROUGHPUT TSV/MICROBUMP PROBE | 4 |
Michael Laisne | US | Encinitas | 2015-07-16 / 20150199461 - METHOD AND APPARATUS FOR TIMING VERIFICATION | 8 |
Jean-Yves Laisne | FR | Verrieuil-En-Halatte | 2011-12-01 / 20110293478 - DEVICE FOR REDUCING EVAPORATION IN A REAGENT BOTTLE | 1 |
Jean-Jacques Laissus | FR | Thaon Les Vosges | 2016-03-24 / 20160084153 - TURBINE WASTEGATE | 7 |
Jean-Jacques Laissus | US | 2012-07-26 / 20120186247 - Turbocharger with Reversed Compressor Volute Optionally Integrated into the Center Housing | 1 | |
Gary A. Laisy | US | Olmsted Falls | 2011-04-14 / 20110083320 - Process for Making a Catalytic Electrode and Electrochemical Cell Using the Electrode | 1 |
Olivia Laita | NZ | Auckland | 2015-01-22 / 20150025113 - RODENTICIDAL NORBORMIDE ANALOGUES | 1 |
Antti Laitala | FI | Helsinki | 2014-08-21 / 20140231509 - COMMUNICATION BETWEEN RETAILER AND CUSTOMER | 1 |
Hannu Laitala | FI | Kauniainen | 2015-05-28 / 20150147248 - PROCESS AND EQUIPMENT FOR PRODUCING PURE LITHIUM-CONTAINING SOLUTION | 3 |
Ville Petteri Laitala | FI | Turku | 2016-03-03 / 20160066072 - A METHOD AND A DEVICE FOR CROSS-TALK CORRECTION OF MEASURED INTENSITIES | 1 |
Hannu Laitala | FI | Espoo | 2011-12-15 / 20110303619 - METHOD AND ARRANGEMENT FOR SEPARATING TWO SOLUTIONS MIXED IN DISPERSION INTO TWO SOLUTION PHASES IN A LIQUID-LIQUID EXTRACTION SEPARATION CELL | 3 |
John P. Laitala | US | Appleton | 2015-11-12 / 20150322903 - SYSTEMS AND METHODS FOR CONTROLLING FUEL VAPOR FLOW IN AN ENGINE-DRIVEN GENERATOR | 6 |
John Patrick Laitala | US | Appleton | 2010-06-17 / 20100147817 - ENCLOSED WELDER WITH RECESS PANEL | 1 |
John Laitala | US | Appleton | 2016-02-11 / 20160037979 - SHEET PRODUCT DISPENSERS AND RELATED METHODS FOR REDUCING SHEET PRODUCT USAGE | 1 |
Ville Laitala | FI | Turku | 2014-06-05 / 20140150517 - MEASUREMENT DEVICE | 2 |
Robert Laitar | US | Woodridge | 2014-04-03 / 20140090306 - SILICIC ESTER MODIFIED PHENOL/FORMALDEHYDE NOVOLAKS AND THEIR USE FOR THE PRODUCTION OF RESIN COATED SUBSTRATES | 1 |
David Stephen Laitar | US | Midland | 2014-12-18 / 20140371494 - PROCESS FOR THE PRODUCTION OF CHLORINATED PROPANES AND PROPENES | 1 |
David S. Laitar | US | Midland | 2016-05-05 / 20160122876 - ELECTROLESS COPPER PLATING COMPOSITIONS | 15 |
David S. Laitar | US | Midland | 2016-05-05 / 20160122876 - ELECTROLESS COPPER PLATING COMPOSITIONS | 15 |
Cheryl Anne Laite | CA | Nepean | 2014-09-18 / 20140261191 - MATERIALS AND METHODS TO PREVENT LINEAR FOREIGN BODIES | 1 |
Leopold Laitem | US | Lebanon | 2011-09-22 / 20110229428 - FABRIC SOFTENING COMPOSITIONS AND METHODS | 2 |
Peter G. Laitenberger | GB | Cambridge | 2012-10-25 / 20120267259 - METHOD AND APPARATUS FOR DETECTING A LIPOPHILIC ANALYTE OF INTEREST IN A SAMPLE | 3 |
Peter Laitenberger | GB | Cambridgeshire | 2011-05-26 / 20110120206 - METHODS OF CALIBRATING A SENSOR IN A PATIENT MONITORING SYSTEM | 1 |
Peter Georg Laitenberger | GB | Cambridge | 2014-09-18 / 20140266175 - Magnetic Detector | 6 |
Peter Laitenberger | GB | Cambridge | 2010-11-25 / 20100296546 - OPTICAL GUIDE WITH TEMPERATURE SENSING MATRIX | 1 |
Peter Georg Laitenberger | GB | Cambridgeshire | 2010-07-08 / 20100173421 - SENSOR | 3 |
Jean Francois Laithier | CH | Coffrane | 2009-02-26 / 20090053423 - Coating Blade | 1 |
Toni Laitila | CA | Peterborough | 2014-05-22 / 20140138499 - Adjustable Bag Retaining Apparatus | 3 |
Tony Peter Laitila | CA | Peterborough | 2013-11-14 / 20130298968 - SOLAR PANEL RACKING SYSTEM HAVING SEPARATE SUPPORT STRUCTURE AND COVER ASSEMBLY | 1 |
Andy Laitila | CA | Peterborough | 2014-05-22 / 20140138499 - Adjustable Bag Retaining Apparatus | 3 |
Mika Laitila | CA | Peterborough | 2014-05-22 / 20140138499 - Adjustable Bag Retaining Apparatus | 3 |
Toni Peter Laitila | CA | Peterborough | 2015-08-06 / 20150222220 - AERODYNAMIC AND FOOTING DESIGN FOR SOLAR PANEL RACKING SYSTEMS | 1 |
Mika Brian Laitila | CA | Peterborough | 2015-08-06 / 20150222220 - AERODYNAMIC AND FOOTING DESIGN FOR SOLAR PANEL RACKING SYSTEMS | 3 |
Antero Samuel Laitila | CA | Peterborough | 2015-08-06 / 20150222220 - AERODYNAMIC AND FOOTING DESIGN FOR SOLAR PANEL RACKING SYSTEMS | 3 |
Matti Einari Laitila | FI | Oulu | 2015-10-15 / 20150296428 - Cell Change In Discontinuous Mode | 16 |
Matti Einari Laitila | FI | Oulu | 2015-10-15 / 20150296428 - Cell Change In Discontinuous Mode | 16 |
Matti Laitila | FI | Oulu | 2009-06-18 / 20090156208 - Local network access using public cells | 1 |
Mika Laitila | FI | Hameenlinna | 2014-05-08 / 20140124156 - A PRINTING PAPER PRODUCT, AS WELL AS A METHOD AND A SYSTEM FOR MANUFACTURING A PRINTING PAPER PRODUCT | 1 |
Toni Peter Laitila | CA | Peterorough | 2015-02-19 / 20150048039 - MULTI-PURPOSE BAG RACK | 1 |
Antero Laitinen | FI | Kirkkonummi | 2015-06-25 / 20150175500 - METHODS OF DEOXYGENATION OF TALL OIL AND PRODUCTION OF POLYMERIZABLE MONOMERS THEREFROM | 2 |
Jyrki Laitinen | FI | Kuusisto | 2012-09-27 / 20120240692 - OPTICAL MEASUREMENT INTSTRUMENT | 8 |
Ossi Laitinen | FI | Oulu | 2009-12-10 / 20090301674 - METHOD AND MEASURING DEVICE FOR MEASURING RECYCLED FIBRE PULP | 1 |
Ari Laitinen | FI | Tampere | 2015-08-13 / 20150226427 - METHOD FOR COLLECTING FINE PARTICLES FROM FLUE GASES, AND A CORRESPONDING DEVICE AND ARRANGEMENT | 2 |
Ilkka Laitinen | FI | Pori | 2011-03-31 / 20110073468 - METHOD FOR ARRANGING ELECTRODES IN AN ELECTROLYTIC PROCESS AND AN ELECTROLYTIC SYSTEM | 1 |
Kirsi Laitinen | FI | Kaarina | 2014-05-08 / 20140127166 - SUPPLEMENTION OF MATERNAL DIET | 2 |
Marko Laitinen | FI | Vantaa | 2014-04-24 / 20140113162 - METHOD AND ARRANGEMENT FOR MINIMIZING NEED FOR SAFETY GASES | 2 |
Tommi Laitinen | FI | Espoo | 2014-05-01 / 20140122049 - Over-the-Air Test | 2 |
Jyrki Laitinen | FI | Kuusisto | 2012-09-27 / 20120240692 - OPTICAL MEASUREMENT INTSTRUMENT | 8 |
Matti Laitinen | FI | Helsinki | 2013-07-18 / 20130181750 - ACTIVE GATE DRIVE CIRCUIT | 1 |
Pauli Laitinen | FI | Helsinki | 2014-08-21 / 20140233260 - CHANGING GRAPHICS IN AN APPARATUS INCLUDING USER INTERFACE ILLUMINATION | 12 |
Pekka Ilmani Laitinen | FI | Helsinki | 2013-09-26 / 20130254529 - METHOD AND APPARATUS FOR PROVIDING A SCALABLE SERVICE PLATFORM USING A NETWORK CACHE | 1 |
Jukka Laitinen | FI | Vantaa | 2015-04-30 / 20150114761 - STALL CONDITION DETECTION | 1 |
Pasi Petteri Laitinen | FI | Oulunsalo | 2013-05-23 / 20130130698 - INDICATION OF USER EQUIPMENT MOBILITY STATE TO ENHANCE MOBILITY AND CALL PERFORMANCE | 6 |
Pekka Johannes Laitinen | FI | Helsinki | 2015-06-11 / 20150163669 - SECURITY MECHANISM FOR EXTERNAL CODE | 5 |
Matti Laitinen | FI | Kirkkonummi | 2015-06-11 / 20150162902 - METHOD AND APPARATUS FOR BALANCING CURRENTS | 14 |
Mikko-Ville Laitinen | FI | Espoo | 2013-01-24 / 20130022206 - SPATIAL AUDIO PROCESSOR AND A METHOD FOR PROVIDING SPATIAL PARAMETERS BASED ON AN ACOUSTIC INPUT SIGNAL | 4 |
Lauri Heikki Laitinen | FI | Espoo | 2015-01-15 / 20150016364 - Method And Apparatus For Facilitating Remote Participance In A Community | 1 |
Maarit Laitinen | FI | Tampere | 2015-03-19 / 20150079556 - TEACHING MEANS FOR MATHEMATICS | 1 |
Timo T. Laitinen | FI | Viiala | 2008-09-04 / 20080212291 - Removable electronic module | 1 |
Olli Laitinen | FI | Kuopio | 2009-01-08 / 20090011509 - INTEGRASE FUSION PROTEINS AND THEIR USE WITH INTEGRATING GENE THERAPY | 2 |
Simo Laitinen | FI | Tampere | 2015-12-10 / 20150353328 - METHOD AND SYSTEM FOR CONTROLLING THE CRANE OF A WORKING MACHINE BY USING BOOM TIP CONTROL | 1 |
Risto Laitinen | FI | Helsinki | 2015-10-22 / 20150299959 - METHOD FOR FORMING A SUBSEQUENTLY DRYING A COMPOSITE COMPRISING A NANOFIBRILLATED POLYSACCHARIDE | 1 |
Olli Heikki Laitinen | FI | Kuopio | 2009-01-22 / 20090023185 - Avidin Mutants | 1 |
Lauri Laitinen | FI | Espoo | 2014-02-20 / 20140051469 - METHOD, APPARATUS, AND COMPUTER PROGRAM PRODUCT FOR TRANSFERRING RESPONSIBILITY BETWEEN NETWORK CONTROLLERS MANAGING COEXISTENCE IN RADIO FREQUENCY SPECTRUM | 3 |
Ilkka Laitinen | FI | Gammelby | 2010-06-10 / 20100143218 - DEVICE FOR BATCH TREATMENT | 1 |
Risto Laitinen | FI | Imatra | 2014-11-27 / 20140345816 - METHOD OF CONTROLLING RETENTION AND AN INTERMEDIATE PRODUCT USED IN THE METHOD | 4 |
Anita Laitinen | FI | Helsinki | 2014-09-04 / 20140248697 - METHOD FOR EVALUATING CELL POPULATIONS | 3 |
Pekka J. Laitinen | FI | Helsinki | 2014-03-20 / 20140082360 - Security For Mobility Between MBMS Servers | 3 |
Tuukka Laitinen | US | Seattle | 2009-02-19 / 20090048854 - TRIP IDENTIFICATION AND RECORDING SYSTEMS | 1 |
Matti Laitinen | FI | Kirkkonummi | 2015-06-11 / 20150162902 - METHOD AND APPARATUS FOR BALANCING CURRENTS | 14 |
Olli Laitinen | FI | Tampere | 2014-09-11 / 20140255425 - Multi-CBV Vaccine for Preventing or Treating Type I Diabetes | 5 |
Jyrki Laitinen | FI | Turku | 2010-12-09 / 20100308234 - Improved Measurement System and Method | 1 |
Ernest W. Laitinen | US | Canby | 2013-07-18 / 20130183561 - ELECTRIC VEHICLE BATTERY CASE | 1 |
Ismo Laitinen | FI | Espoo | 2014-11-27 / 20140349717 - Apparatus, Methods, Computer Readable Storage Mediums and Computer Programs | 1 |
Pekka Laitinen | FI | Helsinki | 2012-05-03 / 20120110637 - Systems, Methods, and Apparatuses for Facilitating Authorization of a Roaming Mobile Terminal | 6 |
Kari-Matti Laitinen | FI | Jyvaskyla | 2010-12-30 / 20100325912 - Method, a Blade Holder and a Doctor Apparatus for Detaching a Web Threading Tail From a Moving Surface in a Fiber Web Machine | 1 |
Pasi Laitinen | FI | Oulunsalo | 2012-12-06 / 20120309338 - METHOD AND APPARATUS FOR EMERGENCY CALL HANDLING | 1 |
Timo Laitinen | FI | Viiala | 2009-06-18 / 20090156038 - Connector structure | 1 |
Juha Laitio | FI | Espoo | 2009-01-22 / 20090020581 - Method and Arrangement in Tail Threading of a Web Forming Machine | 1 |
Markku Laitkorpi | FI | Tampere | 2015-05-21 / 20150143549 - METHOD, AN APPARATUS, A COMPUTER SYSTEM, A SECURITY COMPONENT AND A COMPUTER READABLE MEDIUM FOR DEFINING ACCESS RIGHTS IN METADATA-BASED FILE ARRANGEMENT | 6 |
Burney J. Laitolais, Jr. | US | Lafayette | 2009-05-21 / 20090126941 - TUBULAR CONTROL APPARATUS | 1 |
Markku Laitonen | FI | Masku | 2010-02-25 / 20100043994 - End Part of an Air Dryer, Air Dryer, Method in the End Part of an Air Dryer and Use of Fan | 2 |
Rich Laitta | US | Lake Oswego | 2008-09-25 / 20080229600 - POOL MEASUREMENT TOOL, SYSTEM AND METHOD | 1 |
Kevin J. Laity | GB | Bearsted | 2010-05-13 / 20100119395 - VALVE ASSEMBLY FOR FUEL PUMP | 1 |
Ian A. Laity | US | San Diego | 2012-07-12 / 20120176906 - TESTING SYSTEM FOR A WIRELESS ACCESS DEVICE AND METHOD | 1 |
Kenneth T. Laivins | US | Hebron | 2009-07-30 / 20090189380 - PLASTIC HANDGUARD | 3 |
Glen A. Laivins | US | Hebron | 2009-07-30 / 20090189380 - PLASTIC HANDGUARD | 3 |
Farah Laiwalla | US | Providence | 2014-04-03 / 20140094674 - IMPLANTABLE WIRELESS NEURAL DEVICE | 1 |
Susana Lai-Yuen | US | Tampa | 2013-04-18 / 20130096591 - INCISION-LESS LAPAROSCOPIC INSTRUMENT | 1 |
Carlos A. Laiz | US | Ivrine | 2011-11-03 / 20110267038 - SHUNT SENSOR AND SHUNT SENSOR ASSEMBLY | 1 |
Marek Lajda | DE | Furth | 2009-04-02 / 20090084368 - IGNITION SYSTEM, EASY TO START FOR INTERNAL COMBUSTION ENGINES | 1 |
Catherine Ann Laje | US | El Paso | 2010-04-22 / 20100095974 - Tool for applying hair color | 1 |
Darryl Lajeunesse | CA | Red Deer | 2010-09-02 / 20100222711 - DEVICES, SYSTEMS AND METHODS FOR CAPTURING BIOMECHANICAL MOTION | 1 |
Jean Lajeunesse | CA | Candiac | 2014-06-26 / 20140179711 - PROCESS FOR PREPARING 2-AMINOTHIAZOLE-5-AROMATIC CARBOXAMIDES AS KINASE INHIBITORS | 4 |
Marc L. Lajeunesse | US | Lafayette | 2014-09-18 / 20140266807 - SYSTEM AND METHOD FOR GRAPHICALLY DISPLAYING AIRSPACE SPEED DATA | 1 |
Patrick Lajeunesse | CA | Ottawa | 2008-12-25 / 20080319949 - METHOD AND SYSTEM FOR INTERACTIVE, MULTI-USER ELECTRONIC DATA TRANSMISSION IN A MULTI-LEVEL MONITORED AND FILTERED SYSTEM | 1 |
James R. Lajeunesse | US | Nashua | 2011-01-20 / 20110015789 - WINDOW AND DOOR HARDWARE WITH INTEGRATED WIRELESS SENSORS | 1 |
Annie Lajeunesse | CA | Hudson | 2013-11-28 / 20130312351 - NOVEL ASSEMBLY KEY, DOOR KITS AND METHODS OF USING THE SAME | 3 |
Marc Lajeunesse | US | Lafayette | 2015-03-12 / 20150073628 - HELICOPTER SYSTEM AND METHOD FOR INTEGRATING COLLECTIVE FLIGHT DIRECTOR CUES | 1 |
Eric Lajeunesse | CA | Saint-Hubert | 2015-10-15 / 20150295874 - MESSAGE PRELOADING SYSTEM | 1 |
Daniel Evan Lajeunesse | CA | Aurora | 2013-02-14 / 20130041521 - VEHICLE MONITORING SYSTEM WITH AUTOMATIC DRIVER IDENTIFICATION | 2 |
Pedram Lajevardi | US | Menlo Park | 2014-11-13 / 20140333775 - System And Method For Object And Event Identification Using Multiple Cameras | 1 |
Pedram Lajevardi | US | Stanford | 2009-08-20 / 20090210185 - System and method for measuring DC offset in a sensor output by modulating a signal-independent operating parameter of the sensor | 1 |
Todd M. Lajewski | US | Lapeer | 2011-09-29 / 20110232353 - SHEET METAL BENDING BRAKE | 6 |
Abderrahim Lajfar | US | Houston | 2010-05-13 / 20100121292 - POTTY TRAINING INSERT FOR DIAPER AND COMBINATION THEREOF | 1 |
James Paul Lajiness | US | San Diego | 2015-11-19 / 20150329554 - COMPOUNDS AND COMPOSITIONS FOR INDUCING CHONDROGENESIS | 1 |
Nizar Lajnef | US | Lansing | 2014-09-11 / 20140257716 - METHODS FOR ESTIMATING REMAINING LIFE OF A MONITORED STRUCTURE | 2 |
Richard Lajoie | CA | St-Jean-Sur-Richelieu | 2014-09-11 / 20140258856 - TASK ASSISTANT INCLUDING NAVIGATION CONTROL | 2 |
Nathalie Lajoie | CA | Quebec | 2014-11-06 / 20140328878 - MALLEABLE PROTEIN MATRIX AND USES THEREOF | 1 |
Dan Lajoie | US | Santa Monica | 2012-10-04 / 20120254234 - SYSTEMS AND METHODS FOR AUDIO ASSET STORAGE AND MANAGEMENT | 4 |
Martin Lajoie | CA | Waterville | 2014-04-17 / 20140103705 - ENDLESS TRACK FOR TRACTION OF A VEHICLE, WITH ENHANCED ELASTOMERIC MATERIAL CURING CAPABILITY | 2 |
Andrew Lajoie | US | Superior | 2012-07-12 / 20120179026 - Method for Registering a Physical Space to Image Space | 2 |
Hugues Lajoie | KR | Yusung-Gu | 2010-11-25 / 20100295367 - ENDLESS TRACK FOR A WORK VEHICLE | 1 |
Gilles Andre Lajoie | CA | Waterloo | 2014-03-06 / 20140065119 - METHODS AND COMPOSITIONS COMPRISING CYCLIC ANALOGUES OF HISTATIN 5 FOR TREATING WOUNDS | 3 |
Hugues Lajoie | CA | Sherbrooke | 2013-06-27 / 20130162016 - Endless Track for Propelling a Vehicle, with Edge-Cutting Resistance | 1 |
Dave Lajoie | CA | Beloeil | 2010-02-25 / 20100045672 - Computerized Image Rendering With Per-Frame Buffer Scene Segmentation | 1 |
Marc J. Lajoie | US | Cambridge | 2014-02-13 / 20140045267 - Methods of Introducing Nucleic Acids into Cellular DNA | 1 |
Jonathan Lajoie | CA | Moncton | 2015-07-09 / 20150194021 - HYBRID MECHANICAL AND VIDEO SLOT MACHINE APPARATUS AND METHODS | 1 |
Stephane Lajoie | CA | Alma | 2012-07-19 / 20120185402 - ONLINE RECRUITMENT SYSTEM AND METHOD | 1 |
Michael L. Lajoie | US | Stamford | 2014-09-18 / 20140282786 - METHODS AND APPARATUS FOR PROVIDING AND UPLOADING CONTENT TO PERSONALIZED NETWORK STORAGE | 15 |
Corinne Lajoie | FR | Lavannes | 2013-02-07 / 20130035234 - HERBICIDE COMPOSITION HAVING IMPROVED EFFECTIVENESS, METHOD OF PREPARATION AND USE | 1 |
Mike L. Lajoie | US | Sherman Oaks | 2009-09-24 / 20090241144 - INTERACTIVE TELEVISION SYSTEM WITH ONE-TOUCH RECORDING AND CONFLICT DETECTION FEATURES | 1 |
Eric Lajoie | CA | Montreal | 2015-11-05 / 20150314455 - Method and Tool for Palletizing Mixed Load Products | 1 |
Kimberly Lajoie | US | Seattle | 2016-03-24 / 20160081678 - HINGED NEEDLE | 2 |
Darius S. Lajoie | US | Cumming | 2016-02-11 / 20160037754 - ADJUSTABLE HARNESS FOR PET AND METHODS OF USE | 2 |
Jacques Lajoie | CA | St-Jean-Sur-Richelieu | 2009-03-12 / 20090064443 - PAINT TRAY AND PAINT TRAY CARRIER WITH DEPLOYABLE HANDLE | 2 |
Jacques Lajoie | CA | Saint-Nicolas | 2011-06-09 / 20110132495 - TREE FELLING HEAD | 1 |
Tom Lajoie | US | Boise | 2008-12-25 / 20080319822 - Method and system for creating and trading schedules | 1 |
Marc Lajoie | CA | St. Hubert | 2008-10-23 / 20080258377 - Method and Apparatus for Feeding Flat Printed Products | 1 |
Jean-Philippe Lajoie | CA | Quebec | 2011-08-11 / 20110196653 - DENTAL DATA PLANNING | 1 |
Jean-Philippe Lajoie-Dorval | CA | Quebec | 2012-01-26 / 20120022834 - SURFACE MANIPULATION IN DENTAL PROSTHESIS DESIGN | 2 |
Marcello Lajolo | US | Princeton | 2009-08-20 / 20090210184 - Variation tolerant Network on Chip (NoC) with self-calibrating links | 2 |
Uros Lajovic | SI | Ljubljana | 2011-08-18 / 20110200387 - APPARATUS FOR PREVENTING VEHICULAR TRAFFIC MOVEMENT IN PROHIBITED DIRECTION | 1 |
Ton La, Jr. | US | Houston | 2008-12-11 / 20080303211 - Three-dimensional logical cube | 1 |
Raimo Lajunen | FI | Timola | 2011-12-08 / 20110296790 - Method for repairing exterior wall structures | 1 |
Pentti Lajunen | FI | Kotka | 2009-06-25 / 20090160612 - Measurement System, Measurement Method and New Use of Antenna | 1 |
Zahra Lak | CA | Toronto | 2016-04-21 / 20160112064 - PARALLEL HISTORY SEARCH AND ENCODING FOR DICTIONARY-BASED COMPRESSION | 3 |
Ann Marie Lak | US | Ludlow | 2010-02-25 / 20100048853 - POLYETHERIMIDE POLYMER FOR USE AS A HIGH HEAT FIBER MATERIAL | 1 |
Lea Lak | IL | Tel Aviv | 2014-10-02 / 20140296974 - SYSTEM AND METHOD FOR ASSISTING THE POSITIONING OF MEDICAL INSTRUMENTS | 1 |
Melike Lakadamyali | ES | Barcelona | 2016-03-10 / 20160069903 - METHOD FOR DETECTING CELLS | 1 |
Vasileios Lakafosis | US | Mountain View | 2016-04-28 / 20160119196 - Multipath Provisioning of L4-L7 Traffic in a Network | 1 |
Brian David Lakamp | US | Malibu | 2012-09-20 / 20120240065 - Dynamically Configured Graphical User Interface for a Software Application | 3 |
Brian David Lakamp | US | Culver City | 2014-11-20 / 20140344870 - MEDIA NETWORK ENVIRONMENT | 1 |
Brian D. Lakamp | US | Malibu | 2014-01-23 / 20140026227 - METHOD FOR GENERATING A SECURE COPY OF MEDIA DATA | 3 |
Vijaya Ramaraju Lakamraju | US | Longmeadow | 2016-02-18 / 20160049027 - ELECTRONIC LOCK WITH SELECTABLE POWER SOURCES | 10 |
Vijaya R. Lakamraju | US | Longmeadow | 2012-02-02 / 20120025948 - POWER MANAGEMENT CIRCUITRY FOR ELECTRONIC DOOR LOCKS | 1 |
Narendra V. Lakamraju | US | Hillsboro | 2012-10-11 / 20120256237 - EMBEDDED MEMS SENSORS AND RELATED METHODS | 1 |
Vijaya Ramaraju Lakamraju | US | Longmeadow | 2016-02-18 / 20160049027 - ELECTRONIC LOCK WITH SELECTABLE POWER SOURCES | 10 |
Narendra V. Lakamraju | US | Tempe | 2012-07-12 / 20120175714 - Embedded Microelectromechanical Systems Sensor and Related Devices and Methods | 2 |
Vamsee Lakamsani | US | Livermore | 2015-08-27 / 20150244645 - INTELLIGENT INFRASTRUCTURE CAPACITY MANAGEMENT | 4 |
Ari Lakaniemi | FI | Helsinki | 2013-06-13 / 20130151246 - ADAPTIVE VOICE ACTIVITY DETECTION | 7 |
Alexander O. Lakanu | US | Brooklyn Park | 2010-02-11 / 20100035453 - AXIAL LEAD CONNECTOR FOR IMPLANTABLE MEDICAL DEVICE | 1 |
Sarang Lakare | US | Chester Springs | 2009-12-17 / 20090309874 - Method for Display of Pre-Rendered Computer Aided Diagnosis Results | 8 |
Sarang Lakare | IN | Pune | 2010-07-01 / 20100166276 - PROBABILISTIC SEGMENTATION IN COMPUTER-AIDED DETECTION | 2 |
Edward E. Lakata | US | Johnstown | 2012-12-27 / 20120324928 - INTELLIGENT TOTAL AIR CLIMATE & CLEANING CONDITIONER | 1 |
Edward Lakatos | US | Bethel | 2010-09-30 / 20100248387 - Method for Measuring Luminescence at a Luminescence Detection Workstation | 1 |
Edward J. Lakatos | US | Bethel | 2015-11-12 / 20150322488 - Instrument for Monitoring Polymerase Chain Reaction of DNA | 2 |
Janos Lakatos | US | Mendon | 2013-10-31 / 20130284520 - Material Feeder System and Method of Use | 1 |
Kara L. Lakatos | US | Racine | 2012-10-25 / 20120270164 - CONTAINER CANDLE, INSERT THEREFOR AND METHOD OF MAKING | 2 |
Janos I. Lakatos | US | Mendon | 2015-08-20 / 20150233752 - System for Fossil Fuel Byproduct Management | 4 |
Janos Lakatos | GB | Nottinghamshire | 2010-01-14 / 20100005963 - SORBENT COMPOSITION | 1 |
Mathias Lakatos | DE | Dresden | 2011-07-21 / 20110177602 - Composite Structure | 2 |
Gregory Lakatos | CA | Vancouver | 2016-02-04 / 20160034616 - SYSTEMS AND METHODS FOR IDENTIFYING THERMODYNAMIC EFFECTS OF ATOMIC CHANGES TO POLYMERS | 3 |
Jorge Lakatos | BR | Sao Paulo | 2012-04-19 / 20120093960 - Press Plate Actuating System | 1 |
Edward Lakatos | US | Croton-On-Hudson | 2012-12-06 / 20120309103 - METHOD FOR MEASURING LUMINESCENCE AT A LUMINESCENCE DETECTION WORKSTATION | 2 |
David Lakatos | US | Cambridge | 2012-11-22 / 20120293411 - Methods and apparatus for actuated 3D surface with gestural interactivity | 1 |
Sergey Alexandrovich Lakatosh | RU | Moscow | 2008-10-23 / 20080262019 - Optical Isomers of (+) and (-)-Trans-2,3,4,4A,5,9B-Hexahydro-2,8-Dimethyl-1H-Pyrido[4,3-B] Indole | 1 |
Kara L. Lakatos (nee Kotary) | US | Racine | 2010-06-17 / 20100147972 - Breakable Wick for Use in a Dispenser for a Volatile Liquid | 1 |
Edward G. Lakatta | US | Bel Air | 2015-02-19 / 20150051136 - HUMAN SOLUBLE RECEPTOR FOR ADVANCED GLYCATION END PRODUCTS (sRAGE), METHODS OF PREPARING HUMAN sRAGE, AND TREATMENT METHODS USING sRAGE | 5 |
Edward G. Lakatta | US | Bethesda | 2015-02-19 / 20150051161 - Methods for the Detection of Advanced Glycation Endproducts and Markers for Disease | 2 |
Frederic Lakaye | FR | Cucq | 2013-03-07 / 20130059725 - Metallic Alloys with Microbiological Component and Catalytic Properties | 1 |
Frédéric Lakaye | FR | Sella Plage | 2013-08-29 / 20130224308 - GEL COMPRISING REACTIVE OXIDANT RELEASE AGENT | 1 |
Frederic Lakaye | FR | Stella Plage | 2015-03-26 / 20150086647 - PEROXYGEN RELEASE COMPOSITIONS AND METHOD FOR PRODUCING THEM | 1 |
Frédéric Lakaye | FR | Sella Plage | 2013-08-29 / 20130224308 - GEL COMPRISING REACTIVE OXIDANT RELEASE AGENT | 1 |
Hasnain Lakdawala | US | Beaverton | 2015-03-12 / 20150074156 - METHODS AND SYSTEMS TO COMPENSATE FOR NON-LINEARITY OF A STOCHASTIC SYSTEM | 18 |
Rahul Lakdawala | US | Cypress | 2013-09-12 / 20130235260 - A Button with Lens for a Display Housing | 2 |
Murtaza Mahammadali Lakdawala | US | Blaine | 2016-05-19 / 20160139156 - APPARATUSES, METHODS, AND SYSTEMS FOR HOME MONITORING OF PHYSIOLOGICAL STATES AND CONDITIONS | 1 |
Hasnain Lakdawala | US | Portland | 2015-07-02 / 20150188583 - FEEDBACK CALIBRATION OF DIGITAL TO TIME CONVERTER | 2 |
Rahul V. Lakdawala | US | Cypress | 2013-08-08 / 20130205130 - OPERATING SPEED OF A COMPONENT | 2 |
Khushroo H. Lakdawala | US | Santa Clarita | 2016-05-05 / 20160122573 - PROTECTIVE COATING SYSTEM FOR PLASTIC SUBSTRATE | 10 |
Hasnain Lakdawala | US | Santa Clara | 2015-06-25 / 20150181643 - DIRECT DIGITAL FREQUENCY GENERATION USING TIME AND AMPLITUDE | 1 |
Khushroo H. Lakdawala | US | Santa Clarita | 2016-05-05 / 20160122573 - PROTECTIVE COATING SYSTEM FOR PLASTIC SUBSTRATE | 10 |
Hasnain Mohammedi Lakdawala | US | San Diego | 2016-05-19 / 20160142085 - SAWLESS ARCHITECTURE FOR RECEIVERS | 4 |
Hasnain Lakdawala | US | San Diego | 2015-10-15 / 20150295611 - CONCURRENT TRANSMIT AND RECEIVE | 1 |
Rahul V Lakdawala | US | Houston | 2016-03-03 / 20160063254 - Retrieving System Boot Code from a Non-Volatile Memory | 1 |
Jeffrey M. Lakdawala | US | Peoria | 2012-06-14 / 20120146400 - Undercarriage System For Track-Type Machine And Debris Shunting Awning Assembly | 1 |
Ness Lakdawala | CA | St. Lambert | 2012-10-04 / 20120247132 - Air conditioning/dehumidifying unit | 3 |
Aftab D. Lakdawala | IN | Mumbai | 2009-07-16 / 20090182143 - NOVEL HETEROCYCLIC COMPOUNDS USEFUL FOR THE TREATMENT OF INFLAMMATORY AND ALLERGIC DISORDERS: PROCESS FOR THEIR PREPARATION AND PHARMACEUTICAL COMPOSITIONS CONTAINING THEM | 1 |
Steve Alan Lake | US | Encinitas | 2012-06-14 / 20120144544 - GARMENT USED FOR SKATEBOARD RIDING | 1 |
Rickie C. Lake | US | Meridian | 2015-01-29 / 20150031171 - METHODS FOR FORMING CONDUCTIVE ELEMENTS AND VIAS ON SUBSTRATES AND FOR FORMING MULTI-CHIP MODULES | 8 |
Rickie C. Lake | US | Eagle | 2012-07-12 / 20120175341 - METHODS FOR FORMING CONDUCTIVE ELEMENTS AND VIAS ON SUBSTRATES | 5 |
Jeff Lake | US | Marietta | 2015-10-29 / 20150305460 - INSPECTION POUCH | 2 |
Vincent M. Lake | US | Draper | 2014-07-10 / 20140190639 - RETRACTABLE COMPUTER RACK AISLE ROOF | 1 |
Stephen Lake | CA | Kitchener | 2015-12-24 / 20150370326 - SYSTEMS, ARTICLES, AND METHODS FOR WEARABLE HUMAN-ELECTRONICS INTERFACE DEVICES | 15 |
John W. Lake | US | Bakersfield | 2014-07-17 / 20140197283 - Pipe Crib-Block | 1 |
Douglas Lake | US | Scottsdale | 2016-05-05 / 20160122765 - QSOX1 AS AN ANTI-NEOPLASTIC DRUG TARGET | 5 |
Rickie C. Lake | US | Meridian | 2015-01-29 / 20150031171 - METHODS FOR FORMING CONDUCTIVE ELEMENTS AND VIAS ON SUBSTRATES AND FOR FORMING MULTI-CHIP MODULES | 8 |
Bryan F. Lake | US | Macomb | 2015-01-22 / 20150021939 - ENERGY ABSORBING VEHICLE COMPONENT | 2 |
Ryan D. Lake | US | Lenoir City | 2015-12-31 / 20150375835 - APPARATUS FOR TOWING A WATER SPORTS PERFORMER | 1 |
Josiah Lake | US | Beaverton | 2015-11-19 / 20150328519 - Elastic band that can be applied to a basketball and used for tactile and visual guidance for throwing or shooting a basketball | 2 |
Peter James Lake | US | Auburn | 2013-03-28 / 20130079972 - MAINTENANCE SYSTEMS AND METHODS FOR USE IN ANALYZING MAINTENANCE DATA | 1 |
Frederick D. Lake | CA | Coquitlam | 2015-12-31 / 20150377615 - METHOD OF DOCUMENTING A POSITION OF AN UNDERGROUND UTILITY | 1 |
Stephen Lake | CA | Kitchener | 2015-12-24 / 20150370326 - SYSTEMS, ARTICLES, AND METHODS FOR WEARABLE HUMAN-ELECTRONICS INTERFACE DEVICES | 15 |
Eric Lake | US | Lansing | 2015-12-31 / 20150374049 - Full body coat | 1 |
Gary B. Lake | US | Houston | 2012-06-07 / 20120138310 - STACKABLE MULTI-BARRIER SYSTEM AND METHOD | 10 |
Matt Lake | US | Encinitas | 2009-04-02 / 20090088800 - LOOP ROD SPINAL STABLIZATION DEVICE | 1 |
Tim Lake | US | San Francisco | 2013-11-21 / 20130311863 - SYSTEM FOR RE-WRITING DYNAMICALLY GENERATED UNIFORM RESOURCE LOCATORS IN PROXIED HYPER TEXT MARKUP LANGUAGE CONTENT | 1 |
Rick C. Lake | US | Meridian | 2013-01-03 / 20130004792 - MICROFEATURE WORKPIECES HAVING ALLOYED CONDUCTIVE STRUCTURES, AND ASSOCIATED METHODS | 3 |
Matthew Boyd Lake | US | Cumming | 2011-04-21 / 20110092942 - Matching Absorbent Article Components For A Uniform Appearance | 2 |
Gary Lake | US | Houston | 2011-02-17 / 20110037005 - HOLD OPEN CONFIGURATION FOR SAFETY VALVE AND METHOD | 2 |
Shannon M. Lake | US | Carrollton | 2014-11-06 / 20140328173 - Method and Apparatus for Software Programmable Intelligent Network | 3 |
Walter Donald Lake | US | Gilbert | 2012-10-25 / 20120271771 - METHODS AND APPARATUS FOR CONDUCTING ELECTRONIC TRANSACTIONS | 6 |
Rick Lake | US | 2012-03-15 / 20120061787 - LIQUID ELECTRICAL INTERCONNECT AND DEVICES USING SAME | 2 | |
Adam T. Lake | US | Portland | 2015-11-19 / 20150334465 - Peer to Peer Broadcast Acquisition | 11 |
Alan Lake | US | Midlothian | 2010-08-05 / 20100195208 - CUSTOMISATION OF A HOT STAMP FOIL OR LAMINATE | 1 |
Mike Lake | US | Bend | 2010-07-15 / 20100175576 - BULLETS, INCLUDING LEAD-FREE BULLETS, AND ASSOCIATED METHODS | 1 |
Daniel S. Lake | US | Hillsboro | 2016-05-19 / 20160142816 - AUTHENTICATION THROUGH TISSUE-CONDUCTED SOUND | 3 |
Philip Lake | US | Morris Plains | 2011-09-15 / 20110224239 - Combinations Comprising a S1P Receptor Agonist and a JAK3 Kinase Inhibitor | 4 |
Mark S. Lake | US | Erie | 2010-02-18 / 20100041778 - RECONFIGURABLE POLYMERIC FOAM STRUCTURE | 1 |
Peter J. Lake | US | Auburn | 2015-02-05 / 20150039177 - MAINTENANCE SYSTEMS AND METHODS FOR USE IN ANALYZING MAINTENANCE DATA | 3 |
John M. Lake | US | Cary | 2015-01-29 / 20150032888 - NETWORK EVENT MANAGEMENT | 7 |
Matthew B. Lake | US | Cumming | 2013-08-08 / 20130202856 - CROSS DIRECTIONAL ZONED BICOMPONENT FILMS, FILM LAMINATES, AND SYSTEMS AND METHODS FOR MANUFACTURE OF THE SAME | 5 |
Thomas P. Lake | US | Snohomish | 2009-08-06 / 20090197965 - COMPOUNDS, COMPOSITIONS AND METHODS FOR THE TREATMENT OF AMYLOID DISEASES AND SYNUCLEINOPATHIES SUCH AS ALZHEIMER'S DISEASE, TYPE 2 DIABETES, AND PARKINSON'S DISEASE | 2 |
Rick C. Lake | US | Boise | 2009-05-21 / 20090128300 - WIRELESS DEVICE WITH AN RFID INTERROGATOR | 1 |
Kyle M. Lake | US | Lexington | 2009-03-12 / 20090070801 - Automated Advertising Production Portal | 1 |
Paul J. Lake | US | Waltham | 2013-08-08 / 20130200607 - QUICK CONNECTOR ASSEMBLY | 2 |
Vincet Michael Lake | US | Sandy | 2011-05-12 / 20110108207 - RETRACTABLE COMPUTER RACK AISLE ROOF | 1 |
Partick D. Lake | US | Beavercreek | 2010-03-04 / 20100055465 - CARBON-CARBON COMPOSITES FOR USE IN THERMAL MANAGEMENT APPLICATIONS | 1 |
Max Lake | US | Yellow Springs | 2010-03-04 / 20100055465 - CARBON-CARBON COMPOSITES FOR USE IN THERMAL MANAGEMENT APPLICATIONS | 1 |
Carla R. Lake | US | Beavercreek | 2010-03-04 / 20100055465 - CARBON-CARBON COMPOSITES FOR USE IN THERMAL MANAGEMENT APPLICATIONS | 1 |
Ethan Lake | US | Renton | 2011-06-23 / 20110150599 - MODULAR NUT PLATE ASSEMBLIES AND METHODS OF USING THE SAME | 1 |
Doug Lake | US | Mesa | 2013-03-21 / 20130072660 - IDENTIFICATION AND USE OF NOVOPEPTIDES FOR THE TREATMENT OF CANCER | 2 |
William Henry Russell Lake | US | Lathrup Village | 2008-08-28 / 20080203756 - 4-bar hinge system for cab-high load bed cover adaptation to rear lift access | 1 |
Philip Lake | US | Murray | 2011-06-09 / 20110132850 - METHOD OF OPTIMIZING FEED DISTRIBUTION IN A SEDIMENTATION VESSEL | 2 |
David S. Lake | US | Rochester | 2012-10-25 / 20120268128 - Method for Principal Frequency Magnetic Resonance Elastography Inversion | 4 |
Jeffrey G. Lake | US | Vernon | 2015-07-30 / 20150214560 - FUEL CELL COMPONENT HAVING SELECTED COOLING CAPACITY DISTRIBUTION | 8 |
Douglas E. Lake | US | Charlottesville | 2015-05-14 / 20150133807 - Ventricular Activation (RR) Entropy Change As A Predictor of Sudden Cardiac Death in Cardiac Resynchronization Therapy Patients | 6 |
Michael A. Lake | US | Mount Pleasant | 2016-01-21 / 20160017541 - PROCESS FOR LOWERING MOLECULAR WEIGHT OF LIQUID LIGNIN | 3 |
Jeffrey Howard Lake | US | Bloomington | 2008-09-18 / 20080225426 - Magnetic recording device including a thermal proximity sensor | 1 |
Ronald W. Lake | US | Eugene | 2014-12-11 / 20140360023 - Adjustment screw for folding knife safety devices | 2 |
Mark Lake | US | Erie | 2011-08-11 / 20110192444 - LARGE-SCALE DEPLOYABLE SOLAR ARRAY | 2 |
Patrick Lake | US | Beavercreek | 2009-12-03 / 20090294736 - NANOCARBON-REINFORCED POLYMER COMPOSITE AND METHOD OF MAKING | 1 |
Steve Lake | US | Mcminnville | 2013-01-17 / 20130015184 - REUSABLE COVER WITH INTEGRATED FASTENERS FOR TRANSPORTING GOODS ON AN INDUSTRIAL SHIPPING RACK | 1 |
Marietta Lake | US | 2013-01-17 / 20130015184 - REUSABLE COVER WITH INTEGRATED FASTENERS FOR TRANSPORTING GOODS ON AN INDUSTRIAL SHIPPING RACK | 1 | |
Matthew Lake | US | Encinitas | 2015-02-26 / 20150057709 - SPINAL STABILIZATION DEVICE | 2 |
Jenna Lake | US | Solana Beach | 2009-06-04 / 20090140505 - Shopping Cart Security Device | 1 |
Sharon L. Lake | US | Mountain View | 2009-06-11 / 20090149910 - Gastric Treatment/Diagnosis Device and Attachment Device and Method | 2 |
Matthew Scott Lake | US | Encinitas | 2009-07-02 / 20090171461 - SPINAL IMPLANTS AND METHODS | 4 |
Matthew Scott Lake | US | Carlsbad | 2009-10-29 / 20090270989 - SPINAL IMPLANTS AND METHODS | 1 |
Sharon L. Lake | US | Palo Alto | 2009-12-03 / 20090299434 - Endoscopic System For Attaching a Device to a Stomach | 1 |
Sharon Lake | US | Woodside | 2010-07-01 / 20100162485 - Portable crib or containment device | 1 |
Robert C. Lake | US | Bakersfield | 2010-09-16 / 20100230949 - Pipe Coupler | 1 |
Sharon L. Lake | US | Menlo Park | 2015-07-16 / 20150196745 - SYSTEM FOR MARKING A LOCATION FOR TREATMENT WITHIN THE GASTROINTESTINAL TRACT | 4 |
Matt Lake | US | Carlsbad | 2010-05-13 / 20100121385 - ROD REDUCER INSTRUMENT FOR SPINAL SURGERY | 1 |
Stephen Lake | US | La Jolla | 2009-10-15 / 20090256324 - SUSPENSION SKATEBOARD TRUCK | 1 |
Roger K. Lake | US | Riverside | 2009-12-03 / 20090295611 - Optical Digital to Analog Converter | 1 |
William Lake | US | Whitehall | 2009-08-06 / 20090193914 - Torque Transfer Device | 1 |
Ben Lake | US | Houston | 2009-07-30 / 20090188662 - Pressure Balanced Piston for Subsurface Safety Valves | 1 |
Jeffrey Robert Lake | US | Pittsford | 2009-04-16 / 20090098491 - METHOD AND APPARATUS FOR THERMAL DEVELOPMENT | 2 |
Arden S. Lake | US | Poughkeepsie | 2009-03-12 / 20090065557 - SELECTIVE REWORK APPARATUS FOR SURFACE MOUNT COMPONENTS | 1 |
Walter B. Lake | US | Gaithersburg | 2009-03-05 / 20090064307 - Systems and/or methods for streaming reverse HTTP gateway, and network including the same | 1 |
James Lake | US | Gilbert | 2009-01-01 / 20090001568 - Wafer-level solder bumps | 1 |
Max L. Lake | US | Yellow Springs | 2014-11-27 / 20140349186 - METHOD OF DEPOSITING SILICON ON CARBON MATERIALS AND FORMING AN ANODE FOR USE IN LITHIUM ION BATTERIES | 4 |
Julie Lake | US | Boulder | 2008-10-23 / 20080261482 - METHOD AND KIT FOR PLAYING A GAME USING FIGURINES | 1 |
Randall T. Lake | US | Independence | 2008-10-16 / 20080254229 - Radiation Curable Coating Composition and Method | 1 |
John Allen Lake | US | Cedar Crest | 2014-08-07 / 20140221524 - Chemical Additives to Make Polymeric Materials Biodegradable | 4 |
Matthew S. Lake | US | Carlsbad | 2015-12-31 / 20150374525 - INTRAGASTRIC DEVICE | 9 |
Robert Lake | US | Memphis | 2015-12-10 / 20150351927 - EXPANDABLE SPINAL IMPLANT SYSTEM AND METHOD | 1 |
Adam T. Lake | US | Protland | 2011-08-25 / 20110205230 - SUBDIVIDING GEOMETRY IMAGES IN GRAPHICS HARDWARE | 1 |
Larry W. Lake | US | Austin | 2012-12-27 / 20120330553 - METHOD FOR GENERATING A GENERAL ENHANCED OIL RECOVERY AND WATERFLOOD FORECASTING MODEL | 1 |
Ryan Douglas Lake | US | Appling | 2015-04-16 / 20150102575 - VEHICLE SUSPENSION | 1 |
James K. Lake | US | Endicott | 2014-09-18 / 20140268605 - Electronic Package Mounting | 1 |
Steve Lake | US | Encinitas | 2015-03-05 / 20150061914 - RADIATION ATTENUATING CLOTHING | 2 |
Derek Lake | US | Evansville | 2015-11-05 / 20150315336 - CROSS-LINKED POLYCARBONATE RESIN WITH IMPROVED CHEMICAL AND FLAME RESISTANCE | 7 |
Jeffrey Lake | US | Eugene | 2014-04-10 / 20140097303 - Medical Fluid Line Alignment Devices and Related Systems and Methods | 1 |
Frank B. Lake | US | Mcsherrystown | 2014-04-10 / 20140097168 - Low-Manganese Gas-Shielded Flux Cored Welding Electrodes | 1 |
Steven Lake | US | Tupelo | 2014-02-20 / 20140049084 - ZERO-WALL CLEARANCE LINKAGE MECHANISM FOR PROVIDING ADDITIONAL LAYOUT | 1 |
Stephen Lake | US | Tupelo | 2014-02-20 / 20140049079 - ZERO-WALL CLEARANCE LINKAGE MECHANISM FOR PROVIDING ADDITIONAL LAYOUT | 1 |
Philip Lake | US | Parsippany | 2011-09-29 / 20110236382 - IMMUNOSUPPRESSIVE COMBINATION AND ITS USE IN THE TREATMENT OR PROPHYLAXIS OF INSULIN-PRODUCING CELL GRAFT REJECTION | 1 |
Roger Lake | US | Riverside | 2015-11-05 / 20150318856 - GRAPHENE-BASED NON-BOOLEAN LOGIC CIRCUITS | 1 |
Carla Lake | US | Beavercreek | 2014-09-18 / 20140264187 - Composite Powders For Laser Sintering | 1 |
Christopher J. Lake | US | Folsom | 2013-12-19 / 20130339758 - UTILIZATION OF SHARED WAKE PINS IN COMPUTING DEVICES | 2 |
David Lake | US | Santa Clara | 2014-10-23 / 20140317261 - DEFINING INTERDEPENDENT VIRTUALIZED NETWORK FUNCTIONS FOR SERVICE LEVEL ORCHESTRATION | 1 |
Kirk Wallace Lake | US | Cincinnati | 2015-11-12 / 20150321414 - Method and Apparatus for Deforming a Web | 10 |
Jeffery G. Lake | US | Vernon | 2014-04-24 / 20140113212 - Fuel Cell Systems and Related Arrangements for Limiting Relative Motion Between Fuel Cells | 2 |
Patrick D. Lake | US | Beavercreek | 2014-09-18 / 20140264187 - Composite Powders For Laser Sintering | 2 |
Adam T. Lake | US | Portland | 2015-11-19 / 20150334465 - Peer to Peer Broadcast Acquisition | 11 |
Michael A. Lake | US | Mt. Pleasant | 2016-04-28 / 20160115281 - LIGNIN PRODUCT AND PROCESS FOR MAKING SAME | 8 |
Philip Lake | US | Sandy | 2014-11-06 / 20140326054 - APPARATUS AND METHOD FOR DETERMINING ONE OR MORE CHARACTERISTICS OF A VISCOUS MATERIAL | 1 |
Steven V. B. Lake | US | Manchester | 2015-10-01 / 20150274097 - APPARATUS FOR PRECONDITIONING A CABIN OF A NON-ELECTRIC VEHICLE USING POWER FROM AN EXTERNAL SOURCE | 1 |
Matthew Lake | US | Cumming | 2012-10-04 / 20120251771 - METHOD OF MAKING A SMOOTH ELASTIC NONWOVEN COMPOSITE | 1 |
Colin Patrick Lake | US | Carol Stream | 2012-09-20 / 20120238319 - System and method for combined alarm detection and emergency signaling | 1 |
Jason Lake | US | Houston | 2015-09-24 / 20150266399 - SPINNING INFANT CAR SEAT | 1 |
Rick Lake | US | Meridian | 2015-10-01 / 20150281538 - MULTI-ARRAY IMAGING SYSTEMS AND METHODS | 14 |
Arden Steuart Lake | US | Poughkeepsie | 2012-08-02 / 20120198390 - AUTOMATIC GRAPHICAL RENDERING OF PROCESSES | 2 |
Matthew S. Lake | US | Carlsbad | 2015-12-31 / 20150374525 - INTRAGASTRIC DEVICE | 9 |
Julie Barbara Lake | US | S. Hamilton | 2015-09-10 / 20150251894 - Transportable Device for Automatically Filling Water Buckets, Troughs and Containers | 1 |
Jeffrey R. Lake | US | Pittsford | 2015-09-03 / 20150245691 - UMBRELLA ASSEMBLY | 1 |
James William Lake | US | Santa Monica | 2013-08-29 / 20130226863 - Internet Access Control Using Depth Parameters | 2 |
John Michael Lake | US | Cary | 2016-03-17 / 20160077829 - DETERMINING SOFTWARE COMPLEXITY | 11 |
Randall Lake | US | Independence | 2013-01-03 / 20130004663 - HAND SANDABLE FAST REPAIR BODY FILLER/PUTTY/PRIMER SURFACER | 5 |
Michael A. Lake | US | Mt. Pleasant | 2016-04-28 / 20160115281 - LIGNIN PRODUCT AND PROCESS FOR MAKING SAME | 8 |
Christopher Lake | US | Canton | 2012-02-23 / 20120046141 - TRANSMISSION TEST SYSTEM | 1 |
Kirk Wallace Lake | US | Cincinnati | 2015-11-12 / 20150321414 - Method and Apparatus for Deforming a Web | 10 |
Scott Lake | US | Bethel | 2016-04-21 / 20160109252 - LOCATING PLACE OF LODGING ALONG A ROUTE | 1 |
Peter Lake | GB | Grantham | 2011-01-20 / 20110014050 - TURBINE BLADE ASSEMBLY AND SEAL STRIP | 1 |
Maurice Lake | AU | Coffs Harbour | 2011-02-10 / 20110030301 - Building Panel Mounting System and Method | 1 |
Antony James Lake | AU | Queensland | 2010-10-07 / 20100251470 - Cistern Assembly | 1 |
Andrew Wylde Hingston Lake | AU | Daw Park | 2010-08-05 / 20100199372 - Pasture, forage and seed production technology through pod and leaf retention on annuals of the Medicago genus (annual medics) | 1 |
Fredrik Lake | SE | Sodertalje | 2012-04-26 / 20120101132 - New Substituted Oxindole Derivative | 2 |
John H. Lake | CA | Swift Current | 2009-10-29 / 20090271116 - INFORMATION CHARACTERIZATION SYSTEM AND METHODS | 1 |
Brian Lake | CA | Edmonton | 2009-10-29 / 20090271589 - STORAGE VIRTUALIZATION SYSTEM | 1 |
Robert Raymond Lake | CA | Mount Pearl | 2009-08-13 / 20090204631 - Method and System for Masking Data in a Consistent Manner Across Multiple Data Sources | 1 |
Tania Lake | GB | Bristol | 2009-02-19 / 20090045631 - DEPLOYMENT APPARATUS FOR SUBMERGED POWER PLANT | 1 |
Gary Benjamin Lake | US | Cypress | 2016-04-21 / 20160108701 - FAILSAFE SUBSURFACE CONTROLLED SAFETY VALVE | 1 |
Jon Christopher Lake | US | Sunnyvale | 2012-03-29 / 20120073044 - FOLDABLE CRIB | 1 |
Thomas Lake | US | Snohomish | 2015-10-01 / 20150274681 - Compounds for the treatment of Neurodegenerative Diseases | 25 |
Jeffrey G. Lake | US | Vernon | 2015-07-30 / 20150214560 - FUEL CELL COMPONENT HAVING SELECTED COOLING CAPACITY DISTRIBUTION | 8 |
Michael A. Lake | US | 2015-07-23 / 20150203774 - ENERGY PELLET | 1 | |
Stephen R. Lake | US | Tupelo | 2012-04-26 / 20120100288 - AUTOMATED POWDER-COATING METHOD | 1 |
Matthew Scott Lake | US | Millis | 2015-07-16 / 20150196408 - METHODS AND DEVICES FOR DEPLOYING AND RELEASING A TEMPORARY IMPLANT WITHIN THE BODY | 1 |
Gary B. Lake | US | Houston | 2012-06-07 / 20120138310 - STACKABLE MULTI-BARRIER SYSTEM AND METHOD | 10 |
Gary B. Lake | US | Broken Arrow | 2009-06-18 / 20090151924 - DOWNHOLE TOOL WITH SHAPE MEMORY ALLOY ACTUATOR | 2 |
Philip Lake | US | Parippany | 2014-04-03 / 20140093502 - Immunosuppressive Combination and Its Use in the Treatment or Prophylaxis of Insulin-producing Cell Graft Rejection | 1 |
Brian M. Lake | US | Oswego | 2012-05-03 / 20120109794 - SYSTEM, METHOD AND APPARATUS FOR PLANNING AND MANAGING ENGAGEMENTS | 1 |
Antony Lake | AU | Meringandan West | / - | 1 |
Scott C. Lake | US | West Chester | 2012-06-14 / 20120149268 - METHOD FOR IMPROVING IMPACT DAMAGE RESISTANCE TO TEXTILE ARTICLES, AND ARTICLES MADE THEREFROM | 1 |
Peter Lake | US | Auburn | 2016-04-14 / 20160104125 - COMPUTING SYSTEM AND METHOD FOR PROVIDING INFORMATION RELATING TO MAINTENANCE ACTIONS | 1 |
Brendan Hingston Lake | HK | Sheung Wan | 2016-03-31 / 20160088955 - CHANNEL GLIDE ASSEMBLIES | 1 |
Chester Lake | CA | Ajax | 2012-06-07 / 20120142503 - Pulley Apparatus for Resistance Exercises | 1 |
Mohsen Lakehal-Ayat | DE | Aachen | 2015-12-10 / 20150352920 - SUSPENSION SYSTEM USING OPTICALLY RECORDED INFORMATION, VEHICLES INCLUDING SUSPENSION SYSTEMS, AND METHODS OF USING SUSPENSION SYSTEMS | 2 |
Moshen Lakehal-Ayat | DE | Aachen | 2014-10-23 / 20140316637 - Active Suspension With Load Detection And Adaptation | 1 |
Mohsen Lakehal-Ayat | DZ | Aachen | 2016-02-18 / 20160046266 - METHOD AND DEVICE FOR AUTONOMOUS BRAKING OF A VEHICLE FOLLOWING COLLISION | 2 |
Mourad Lakehel | CH | Zuerich | 2011-07-07 / 20110164970 - Stator blade for a turbomachine, especially a stream turbine | 2 |
Mourad Lakehel | CH | Zurich | 2009-05-14 / 20090123276 - STEAM TURBINE | 1 |
Thomas Lee Lake, Ii | US | Portage | 2015-11-05 / 20150317515 - PORTABLE COMPUTING DEVICE AND ANALYSES OF PERSONAL DATA CAPTURED THEREFROM | 1 |
Clifford F. Lake, Jr. | US | Wayzata | 2013-08-01 / 20130198630 - ASSISTED HEARING DEVICE | 1 |
Lisa L. Lake-Maiorana | US | Sewell | 2015-05-28 / 20150143683 - COVERINGS FOR ELECTRIC CORDS AND METHODS OF COVERING ELECTRIC CORDS | 1 |
Charles D.e. Lakeman | US | Albuquerque | 2012-03-22 / 20120068669 - System for Energy Harvesting and/or Generation, Storage, and Delivery | 4 |
Pascal E.r.e.j. Lakeman | NL | Breda | 2016-02-18 / 20160046799 - POLYMERIC COMPOSITIONS AND PROCESSES FOR MOLDING ARTICLES | 1 |
Pascal E.r.e.j. Lakeman | NL | Bergen Op Zoom | 2013-04-25 / 20130101852 - COATED POLYMERIC PARTICULATES, AND A PROCESS FOR COATING POLYMERIC PARTICULATES | 7 |
Pascak E.r.e.j. Lakeman | NL | Terneuzen | 2010-05-20 / 20100125122 - PROCESS FOR THE PRODUCTION OF A (CO)POLYMER COMPOSITION BY MEDIATED FREE RADICAL CHAIN GROWTH POLYMERIZATION | 1 |
Pascal Lakeman | NL | Bergen Op Zoom | 2011-06-02 / 20110129631 - IGNITION RESISTANT CARBONATE POLYMER COMPOSITION | 1 |
Steven J. Lakeman | US | Newbury Park | 2009-01-15 / 20090014644 - IN-SITU ION SOURCE CLEANING FOR PARTIAL PRESSURE ANALYZERS USED IN PROCESS MONITORING | 1 |
Pascal E.r.e.j. Lakeman | NL | Jh Bergen Op Zoom | 2010-08-05 / 20100197863 - Compositions Exhibiting High ESCR and Comprising Monovinylidene Aromatic Polymers and Olefinic Copolymers Containing Unsaturation | 1 |
Steven J. Laken | US | Pepperell | 2015-01-15 / 20150013053 - METHODS AND SYSTEMS FOR REDUCING SPREAD OF MICROBES | 2 |
Haley A. Laken | US | Pepperell | 2011-02-17 / 20110038863 - METHODS AND COMPOSITIONS FOR IMPROVING RECOMBINANT PROTEIN PRODUCTION | 1 |
Kathleen T. Laken | US | Bloomingdale | 2011-04-21 / 20110093468 - SYSTEM AND METHOD FOR IMPROVING STORAGE AND RETREIVAL OF DOCUMENTS | 1 |
Mark Stephen Laker | GB | Eastleigh | 2009-04-16 / 20090100222 - System, Device, and Method for Detecting and Configuring Mirror Relationships in a Storage System | 1 |
Travis Shane Laker | US | Tremonton | 2012-08-23 / 20120214105 - Combustion device to provide a controlled heat flux environment | 1 |
Scott Laker | US | Birmingham | 2011-09-08 / 20110215215 - Securement of Laparoscopic Instruments on the Sterile Surgical Field | 1 |
Meir Laker | US | Hawthorne | 2013-05-30 / 20130139152 - CLOUD PROVISIONING ACCELERATOR | 1 |
Matthew B. Laker | US | West Valley City | 2015-08-06 / 20150217551 - EFFICIENT LAMINATION PRESS WITH THIN FLEXIBLE PLATENS | 4 |
David S. Laker | US | 2012-06-28 / 20120160336 - Devices and Methods for Varying the Geometry and Volume of Fluid Circuits | 1 | |
Scott Laker | US | Brimingham | 2011-04-28 / 20110095158 - SECUREMENT OF LAPAROSCOPIC INSTRUMENTS ON THE STERILE SURGICAL FIELD | 1 |
Robert Laker | US | Fremont | 2009-08-20 / 20090210437 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR SAVING AND RESTORING A COMPRESSION/DECOMPRESSION STATE | 1 |
Robert William Laker | US | Fremont | 2009-03-05 / 20090058693 - SYSTEM AND METHOD FOR HUFFMAN DECODING WITHIN A COMPRESSION ENGINE | 2 |
David Shipway Laker | US | Milford | 2010-12-02 / 20100301252 - Universal Valve System | 1 |
Mark S. Laker | GB | Hampshire | 2009-12-31 / 20090327368 - Dynamic Write Balancing in a Data Storage System | 1 |
Freddie Laker | US | Ft. Lauderdale | 2014-07-10 / 20140195240 - VISUAL CONTENT FEED PRESENTATION | 1 |
Mark Stephen Laker | GB | Eastleigh, Hampshire | 2015-12-24 / 20150370823 - Method and Apparatus to Enable Finer-Grained, Scalable Allocation of Storage in a Data Storage System | 1 |
Meir M. Laker | US | Spring Valley | 2015-12-03 / 20150347467 - DYNAMIC CREATION OF DOMAIN SPECIFIC CORPORA | 1 |
Stephen Lakes | US | Cincinnati | 2011-05-12 / 20110111996 - Lubricant Compositions | 1 |
Todd W. Lakes | US | Atlanta | 2009-04-09 / 20090094122 - SYSTEM AND METHOD OF COMPLETING A TRANSACTION INCLUDING PAYMENT VERIFICATION | 1 |
Jesse Lakes | US | Bethesda | 2015-03-26 / 20150088980 - REDIRECTION SERVICE PROFILING | 2 |
Yenal Bernhard Lakes | DE | Bonn | 2015-03-26 / 20150087594 - INDUCED NEURAL STEM CELLS | 1 |
Jesse Lakes | US | Seattle | 2014-09-18 / 20140280919 - REDIRECTION SERVICE RESOURCE LOCATOR MECHANISM | 2 |
Robert Lakes | US | San Jose | 2015-10-29 / 20150305428 - BICYCLE HELMET FIT SYSTEM | 1 |
Nathan D. Lakey | US | Chesterfield | 2015-11-05 / 20150315662 - MANTLE PHENOTYPE DETECTION IN PALM | 8 |
Jack Lakey | US | Longmont | 2012-05-03 / 20120110258 - STORAGE DEVICE CACHE | 1 |
Nathan Lakey | US | Chesterfield | 2014-06-12 / 20140163119 - NOVEL SINGLE NUCLEOTIDE POLYMORPHISMS AND COMBINATIONS OF NOVEL AND KNOWN POLYMORPHISMS FOR DETERMINING THE ALLELE-SPECIFIC EXPRESSION OF THE IGF2 GENE | 8 |
Leroy Ernest Lakey | US | Anderson | 2014-10-16 / 20140305290 - METHOD FOR NEUTRALIZING EXPLOSIVES AND ELECTRONICS | 4 |
Jonathan R. T. Lakey | US | Irvine | 2016-03-24 / 20160082236 - TRANSPLANTATION DEVICE AND METHOD OF USE | 1 |
Tim Lakey | US | Crossville | 2008-11-20 / 20080288868 - MULTIMEDIA PROJECT MANAGER, PLAYER, AND RELATED METHODS | 1 |
Nathan D. Lakey | US | Chesterfield | 2015-11-05 / 20150315662 - MANTLE PHENOTYPE DETECTION IN PALM | 8 |
Nathan Lakey | US | Chesterfield | 2014-06-12 / 20140163119 - NOVEL SINGLE NUCLEOTIDE POLYMORPHISMS AND COMBINATIONS OF NOVEL AND KNOWN POLYMORPHISMS FOR DETERMINING THE ALLELE-SPECIFIC EXPRESSION OF THE IGF2 GENE | 8 |
Jeremy Hugh Lakey | GB | Northumberland | 2011-05-12 / 20110111985 - Biosensor | 1 |
James Brian Lakey | CA | Edmonton | 2014-12-18 / 20140368665 - IPTV DIAGNOSTICS SYSTEM | 1 |
Jonathan Rt Lakey | US | Orange | 2015-05-14 / 20150132849 - EX VIVO MATURATION OF ISLET CELLS | 1 |
Andrew Lakey | GB | Hook | 2015-10-22 / 20150298118 - Test Device and Sample Carrier | 1 |
Jeremy Lakey | GB | Tyne And Wear | 2015-10-22 / 20150299272 - RECOMBINANT POLYPEPTIDE | 1 |
Sami Lakhal | TN | Bellevue | 2009-07-02 / 20090169554 - Polypeptides of Leishmania Major and Polynucleotides Encoding Same and Vaccinal, Therapeutical and Diagnostic Applications Thereof | 1 |
Samira Lakhal-Littleton | GB | Oxford Oxfordshire | 2014-12-04 / 20140356382 - Exosomes for Delivery of Biotherapeutics | 2 |
Santosh Lakhan | US | Kensington | 2011-06-09 / 20110132592 - INTEGRATED SYSTEM FOR THE EXTRACTION, INCINERATION AND MONITORING OF WASTE OR VENTED GASES | 1 |
Naeem Lakhani | GB | Croyden | 2015-02-19 / 20150050010 - Video to data | 1 |
Vinod C. Lakhani | US | Milpitas | 2014-09-18 / 20140281178 - METHOD FOR ASSIGNING ADDRESSES TO MEMORY DEVICES | 2 |
Mugdha Lakhani | GB | Cambridge | 2016-03-17 / 20160080149 - Secure Key Management for Roaming Protected Content | 1 |
Mehboob Lakhani | US | Houston | 2014-06-12 / 20140159373 - COMPACT WIND AND WATER TURBINE | 1 |
Mehboob Lakhani | US | Salt Lake City | 2011-09-01 / 20110210557 - COMPACT WIND AND WATER TURBINE SYSTEMS | 1 |
Mohammad Usman Lakhani | US | Irving | 2009-10-22 / 20090265636 - System and Method for Selling Preference-Based Virtual Objects | 1 |
Vinod Lakhani | US | Palo Alto | 2012-08-23 / 20120215967 - NON-VOLATILE MEMORY DEVICES AND CONTROL AND OPERATION THEREOF | 5 |
Faizel Lakhani | US | Campbell | 2015-04-16 / 20150106875 - SYSTEM AND METHOD FOR DATA MINING AND SECURITY POLICY MANAGEMENT | 4 |
Karim Lakhani | CA | Burnaby | 2013-08-01 / 20130194977 - Broadband System and Method | 1 |
Iqbal Lakhani | CA | Sherwood Park | 2008-12-04 / 20080300802 - Method of measuring gas flow | 2 |
Fara Lakhani | CA | Vancouver | 2009-11-12 / 20090280153 - TEXT NOT AVAILABLE | 1 |
Hanif M Lakhani | CA | Markham | 2014-10-30 / 20140317998 - METHODS AND SYSTEMS FOR PROCESSING CRUDE OIL | 1 |
Shailesh Lakhani | CA | Mississauga | 2011-12-15 / 20110306317 - Method and System for Multimedia Messaging Service (MMS) Rating and Billing | 2 |
Amin Lakhani | US | Bellevue | 2014-01-30 / 20140033080 - WEB BROWSER HAVING USER-CONFIGURABLE ADDRESS BAR BUTTON | 1 |
Samir Lakhani | US | Seattle | 2012-12-27 / 20120330948 - MULTI-PART SEARCH RESULT RANKING | 3 |
Danish Lakhani | PK | Karachi | 2015-07-09 / 20150193194 - SYSTEM AND METHOD FOR AUTOMATIC SYNCHRONIZATION OF AUDIO LAYERS | 1 |
Vinod Lakhani | US | Laguna Niguel | 2011-05-05 / 20110106977 - METHOD AND SYSTEM FOR HOST INDEPENDENT SECONDARY APPLICATION PROCESSOR | 2 |
Amit Lakhani | US | Emeryville | 2013-03-07 / 20130057857 - IMPEDANCE MATCHING GROUND PLANE FOR HIGH EFFICIENCY COUPLING WITH OPTICAL ANTENNAS | 1 |
Karim Lakhani | US | Boston | 2012-08-09 / 20120203842 - SYSTEM AND METHOD FOR CONDUCTING OPEN INNOVATION EVENTS | 1 |
Samir Lakhani | IN | Bangalore | 2013-08-15 / 20130208123 - Method and System for Collecting Evidence in a Security System | 1 |
Hetal V. Lakhani | IN | Rajkot | 2015-08-20 / 20150233787 - AIR GAP CRAWLER INSTALLATION DEVICE | 1 |
Vikas Lakhanpal | IN | Bengaluru | 2014-05-15 / 20140133613 - APPARATUS AND METHODS FOR CLOCK ALIGNMENT FOR HIGH SPEED INTERFACES | 1 |
Shriprasad Gaurishankar Lakhapati | US | Peoria | 2009-10-22 / 20090260599 - Motion coupler for a piezoelectric actuator | 1 |
Shriprasad G. Lakhapati | US | Peoria | 2012-03-22 / 20120067981 - Precision Ground Armature Assembly For Solenoid Actuator And Fuel Injector Using Same | 5 |
Shriprasad Lakhapati | US | Peoria | 2012-03-15 / 20120061491 - STATOR ASSEMBLY AND FUEL INJECTOR USING SAME | 7 |
Shriprasad Gaurishankar Lakhapati | US | Glendale Heights | 2012-07-19 / 20120181351 - NOZZLE AND NEEDLE OF A HIGH-PRESSURE UNIT FUEL INJECTOR | 2 |
Shriprasad G. Lakhapati | US | Glendale Heights | 2011-09-15 / 20110220064 - FLUID INJECTOR WITH AUXILIARY FILLING ORIFICE | 1 |
Mansoor A. Lakhdhir | US | Austin | 2014-10-16 / 20140310338 - IMAGE DISTRIBUTION FOR DYNAMIC SERVER PAGES | 2 |
Nishant Lakhera | US | Austin | 2016-04-28 / 20160118365 - DIE ATTACHMENT FOR PACKAGED SEMICONDUCTOR DEVICE | 2 |
Anukool Lakhina | US | Boston | 2010-03-18 / 20100071061 - Method and Apparatus for Whole-Network Anomaly Diagnosis and Method to Detect and Classify Network Anomalies Using Traffic Feature Distributions | 1 |
Anukool Lakhina | IN | Gurgaon | 2013-01-10 / 20130013659 - METHOD FOR STREAMING SVD COMPUTATION FIELD OF INVENTION | 1 |
Anukool Lakhina | IN | Gurgaon Haryana | 2013-11-14 / 20130304692 - SYSTEM AND METHOD FOR INFERRING INVISIBLE TRAFFIC | 1 |
Sunny Lakhmani | IN | Lucknow | 2016-04-21 / 20160110670 - RELATIONAL ANALYSIS OF BUSINESS OBJECTS | 2 |
Vikas Lakhotia | US | Valencia | 2014-09-18 / 20140260541 - GAS SENSING SYSTEMS AND METHODS | 2 |
Yury Lakhotkin | RU | Moscow | 2009-05-07 / 20090117372 - Alloyed tungsten produced by chemical vapour deposition | 1 |
Asma Lakhoua | FR | Charenton Le Pont | 2015-02-26 / 20150055126 - Method And Tool For Measuring The Geometric Structure Of An Optical Component | 1 |
Abdelmonaem Lakhzouri | FI | Tampere | 2014-11-27 / 20140349671 - INDOOR POSITIONING WITH ASSISTANCE DATA LEARNING | 8 |
Blago Lakic | CA | Vancouver | 2014-09-04 / 20140246458 - STACKABLE CONTAINER BODY | 2 |
Alex Lakic | GB | Wellesbourne | 2015-09-03 / 20150246693 - VEHICLE JACKING POINT AND REINFORCING INSERT | 2 |
Nikola Lakic | US | Indio | 2015-04-23 / 20150107244 - SELF-CONTAINED IN-GROUND GEOTHERMAL GENERATOR AND HEAT EXCHANGER WITH IN-LINE PUMP AND SEVERAL ALTERNATIVE APPLICATIONS | 6 |
Alex John Lakic | GB | Wellesbourne | 2010-09-16 / 20100231004 - Structural Member for a Motor Vehicle | 1 |
Branko Lakic | US | Kirkland | 2015-04-09 / 20150097076 - AIRCRAFT WING-TO-FUSELAGE JOINT WITH ACTIVE SUSPENSION AND METHOD | 1 |
Daniel M. Lakich | US | Walhalla | 2012-03-15 / 20120060606 - ACCELEROMETER BASED REMOVAL AND INVERSION TAMPER DETECTION AND TAP SWITCH FEATURE | 2 |
Ryan Cameron Lakin | US | Newton | 2009-04-16 / 20090099571 - VARIABLE ANGLE TARGETING DEVICE | 2 |
Paul Willaim Charles Lakin | GB | Worthing | 2012-09-20 / 20120234146 - HAIR GRAPHIC CUTTING APPARATUS | 1 |
Nick Lakin | US | Greenwood | 2015-12-17 / 20150360838 - SECURITY PACKAGING | 1 |
Ryan Lakin | US | Fredon | 2013-10-03 / 20130261563 - Passively Activated Safety Needle Assemblies and Methods of Use | 1 |
Michael Lakin | US | Katy | 2014-01-16 / 20140018571 - Eductor-Based Reactor and High Flow Pump Around Loops for Production of Acetic Acid | 1 |
Elizabeth Lakin | GB | Long Eaton, Nottingham | 2016-04-28 / 20160114336 - MINERAL PROCESSING | 1 |
Nicholas S. Lakin | US | Rochester Hills | 2015-12-24 / 20150367690 - Tire Inflation System Having a Seal | 4 |
Matthew Richard Lakin | US | Albuquerque | 2014-11-27 / 20140349276 - SIGNAL PROPAGATION BIOMOLECULES, DEVICES AND METHODS | 1 |
Matthew Richard Lakin | GB | Cambridge | 2012-10-25 / 20120271610 - STOCHASTIC SIMULATION OF MULTI-LANGUAGE CONCURRENT SYSTEMS | 1 |
Brian P. Lakin | US | San Diego | 2012-10-18 / 20120262771 - DEEP SUBMERSIBLE LIGHT WITH PRESSURE COMPENSATION | 1 |
Jonathan Lakin | GB | Bristol | 2011-06-02 / 20110129302 - CATHODIC PROTECTION MONITORING | 1 |
Michael Lakin | US | Dallas | 2012-06-21 / 20120157710 - Eductor-Based Reactor and Pump Around Loops for Production of Acetic Acid | 1 |
David Q. Lakin | US | Menlo Park | 2014-07-24 / 20140206936 - SIDE LOOKING MINIMALLY INVASIVE SURGERY INSTRUMENT ASSEMBLY | 1 |
Phillip Lakin | GB | Woodthorpe | 2014-05-15 / 20140132698 - Tape Drive and Method of Operation of a Tape Drive | 1 |
Phillip Lakin | GB | Nottingham | 2013-05-09 / 20130113870 - TAPE DRIVE AND METHOD OF OPERATION OF A TAPE DRIVE | 3 |
David Franz Lakin | GB | Cheltenham | 2014-11-06 / 20140328674 - COMPRESSOR | 1 |
Eric D. Lakin | US | Chippewa Falls | 2016-02-11 / 20160044828 - TRANSVERSE COOLING SYSTEM AND METHOD | 5 |
Christina Lakin | US | Newton | 2008-12-11 / 20080306490 - TRACKABLE DIAGNOSTIC SCOPE APPARATUS AND METHODS OF USE | 1 |
Bradley S. Laking | US | Luna Pier | 2012-07-12 / 20120174356 - ECOLOGICALLY FRIENDLY, FURNITURE QUALITY CASKET | 1 |
James D. Lakings | US | Houston | 2009-09-24 / 20090238040 - METHOD FOR IMAGING THE EARTH'S SUBSURFACE USING PASSIVE SEISMIC SENSING | 2 |
James D. Lakings | US | Evergreen | 2016-03-10 / 20160069182 - APPARATUS AND METHOD USING MEASUREMENTS TAKEN WHILE DRILLING TO MAP MECHANICAL BOUNDARIES AND MECHANICAL ROCK PROPERTIES ALONG A BOREHOLE | 1 |
James Lakings | US | Houston | 2009-12-03 / 20090296525 - NOISE SUPPRESSION FOR DETECTION AND LOCATION OF MICROSEISMIC EVENTS USING A MATCHED FILTER | 1 |
Bill Lakis | CN | Anhui | 2011-02-10 / 20110034293 - TRANSMISSION | 1 |
David Michael Lakis | US | Salt Lake City | 2015-02-19 / 20150052223 - SYSTEM AND METHOD FOR DETERMINING EFFECTIVE POLICY PROFILES IN A CLIENT-SERVER ARCHITECTURE | 2 |
Jani Lakkakorpi | FI | Helsinki | 2008-10-16 / 20080253285 - Apparatus, method, and computer program product providing improved silence suppression detection | 1 |
Naveen Lakkakula | US | Chandler | 2014-09-18 / 20140282579 - Processing Engine Implementing Job Arbitration with Ordering Status | 1 |
Harri Lakkala | FI | Tampere | 2008-11-20 / 20080288165 - Displaying a Map Having a Close Known Location | 2 |
Shankar Lakkapragada | US | San Jose | 2009-08-20 / 20090210731 - CIRCUIT FOR AND METHOD OF MINIMIZING POWER CONSUMPTION IN AN INTEGRATED CIRCUIT DEVICE | 1 |
Raghu Lakkapragada | IN | Bangalore | 2014-07-10 / 20140195431 - Aggregate Constraints for Payment Transactions | 1 |
Suresh Lakkapragada | US | Santa Clara | 2009-03-26 / 20090079974 - METHODS AND SYSTEMS FOR LITHOGRAPHY PROCESS CONTROL | 1 |
Himabindu Lakkaraju | IN | Bangalore | 2013-08-29 / 20130224714 - ENHANCING KNOWLEDGE BASES USING RICH SOCIAL MEDIA | 3 |
Dasaradhi Lakkaraju | US | Princeton Jct. | 2010-12-30 / 20100330158 - PROTEIN-ASSISTED DRUG DELIVERY SYSTEM FOR THE TARGETED ADMINISTRATION OF ACTIVE AGENTS | 1 |
Subha Lakkaraju | US | East Brunswick | 2010-02-04 / 20100031387 - PREVENTION OF TRANSGENE ESCAPE IN GENETICALLY MODIFIED PERENNIALS | 1 |
Prasad Lakkaraju | US | East Brunswick | 2014-07-03 / 20140183038 - Carbon Dioxide Capture and Conversion to Organic Products | 3 |
Aparna Lakkaraju | US | Madison | 2015-12-24 / 20150366876 - Use of Inhibitors of Acid Sphingomyelinase to Treat Acquired and Inherited Retinal Degenerations | 1 |
Harivardhan Reddy Lakkireddy | FR | Malakoff | 2010-12-02 / 20100305030 - NANOPARTICLES OF THERAPEUTIC AGENTS HAVING LOW WATER SOLUBILITY | 1 |
Harivardhan Reddy Lakkireddy | FR | Paris | 2013-09-19 / 20130243719 - POLYMERIC CONJUGATES OF ACTIVE PRINCIPLES, THEIR PROCESS OF PREPARATION AND THEIR POLYMERIC INTERMEDIATES | 1 |
Venkata R. Lakkireddy | US | Columbus | 2016-03-24 / 20160084137 - ON-BOARD DIAGNOSTIC METHODS FOR PARTIAL FILTRATION FILTERS | 1 |
Reddy Harivardhan Lakkireddy | FR | Malakoff | 2011-11-03 / 20110269830 - STATIN NANOPARTICLES | 1 |
Harlvardhan Reddy Lakkireddy | FR | Paris | 2015-02-05 / 20150037419 - Functional PLA-PEG Copolymers, the Nanoparticles Thereof, Their Preparation and Use for Targeted Drug Delivery and Imaging | 1 |
Ismail Lakkis | US | San Diego | 2015-06-11 / 20150163826 - Method and Apparatus for Directional Centralized Contention Based Period in a Wireless Communication System | 73 |
Manu Lakkur | US | Palo Alto | 2015-08-20 / 20150234930 - METHODS AND SYSTEMS FOR PROVIDING FUNCTIONAL EXTENSIONS WITH A LANDING PAGE OF A CREATIVE | 1 |
Gabe Lakner | US | Mason | 2013-10-31 / 20130284496 - Solid Core Glass Bead Seal With Stiffening Rib | 3 |
Ashley M. Lakner | US | Charlotte | 2013-02-28 / 20130053429 - Treatment of Fibrosis Using Microrna 19b | 1 |
Mourad Laknin | FR | Levallois Perret | 2012-06-07 / 20120138691 - METHOD OF RECEIVING A MODULE IN A SMART CARD BODY | 1 |
Mourad Laknin | FR | Vitre | 2011-05-26 / 20110121084 - Microcircuit Card Body Forming a Medium for Two Electronic Labels | 2 |
Sandor Lako | HU | Kecskemet | 2011-03-17 / 20110063965 - MULTIPLEXED DATA STORAGE METHOD | 1 |
Harold Dean Lakoduk | US | Ramsey | 2014-07-31 / 20140210323 - SIDE MOUNTED COMPONENT FOR CONFIGURABLE ENCLOSURE | 2 |
Everett L. Lakoduk | US | San Jose | / - | 1 |
Everett L. Lakoduk | US | Santa Clara | 2010-10-28 / 20100269448 - Remodeling Cable Protecting Plate | 1 |
Harold D. Lakoduk | US | Ramsey | 2012-12-06 / 20120304714 - Locking Mechanism for Configurable Enclosure | 1 |
Harold D. Lakoduk | US | Anoka | 2008-12-18 / 20080310126 - BLANKING PANEL | 1 |
Jason E. Lakomiak | US | Cleveland | 2010-06-17 / 20100147627 - MACHINE CONDITIONING MONITORING CLOSED LOOP LUBRICATION SYSTEM AND METHOD | 4 |
Paul Steven Lakomiak | US | Dekalb | 2013-09-12 / 20130237939 - NOVEL COMPOSITIONS COMPRISING STRUCTURAL ISOMERS OF 1,4-CYCLOHEXANEDIMETHANOL DIBENZOATE AND POLYMER COMPOSITIONS CONTAINING SAME | 2 |
Esteban Lakos | AR | Ciudad Jardin | 2009-08-06 / 20090196832 - Novel edible aqueous aerosol foam | 1 |
Allen J. Lakosky | US | Virginia | 2010-05-06 / 20100109859 - Hazard Flasher System for Personal Motor Vehicles | 1 |
Asma Lakoua | FR | Charenton Le Pont | 2014-10-30 / 20140320803 - Method For Providing An Optical System Of An Ophthalmic Spectacle Lens And Method For Manufacturing An Ophthalmic Spectacle Lens | 2 |
German Lakov | US | Brookline | 2014-09-18 / 20140260948 - HYDRAULIC ACTUATOR FOR A COMPRESSED AIR ENERGY STORAGE SYSTEM | 1 |
Tom Lakovic | US | Portland | 2011-02-03 / 20110028800 - COMPACT MOTION-SIMULATING DEVICE | 2 |
Ksenija Lakovic | US | San Jose | 2010-09-16 / 20100235718 - Decoding Techniques for Correcting Errors Using Soft Information | 1 |
Tomislav Lakovic | US | Portland | 2016-03-17 / 20160077495 - Athletic Watch | 11 |
Ksenija Lakovic | US | Menlo Park | 2010-07-08 / 20100172048 - Servo patterns for patterned media | 4 |
Tomislav Lakovic | US | Portland | 2016-03-17 / 20160077495 - Athletic Watch | 11 |
Joseph R. Lakowicz | US | Ellicott City | 2015-11-26 / 20150338402 - Tamm Structures for Enhanced Fluorescence Based Sensing, Imaging and Assays | 8 |
Joseph R. Lakowicz | US | Ellicott City | 2015-11-26 / 20150338402 - Tamm Structures for Enhanced Fluorescence Based Sensing, Imaging and Assays | 8 |
Rodney L. Lakowske | US | La Crosse | 2015-11-26 / 20150337840 - COMPRESSOR | 2 |
Seth Lakowske | US | Madison | 2008-10-09 / 20080249982 - Audio search system | 1 |
Rod Lakowske | US | La Crosse | 2015-07-09 / 20150192310 - METHODS AND APPARATUSES TO ISOLATE VIBRATION | 1 |
Rodney Lakowske | US | La Crosse | 2015-02-05 / 20150037186 - STRUCTURE FOR STABILIZING AN ORBITING SCROLL IN A SCROLL COMPRESSOR | 2 |
M'Hamed Lakrimi | GB | Marston | 2012-05-24 / 20120124823 - Method of Forming a Coupled Coil Arrangement | 2 |
M'Hamed Lakrimi | GB | Oxford | 2014-12-11 / 20140364318 - METHODS FOR FORMING JOINTS BETWEEN MAGNESIUM DIBORIDE CONDUCTORS | 2 |
M'Hamed Lakrimi | GB | Oxfordshire | 2016-03-24 / 20160086693 - SUPERCONDUCTING JOINTS | 4 |
Kenneth B. Lakritz | US | Winchester | 2015-05-21 / 20150142493 - Resource Scheduling And Monitoring | 2 |
Hamed Lakrout | US | Midland | 2013-03-28 / 20130075362 - Method Of Forming Open-Network Polishing Pads | 12 |
Hamed Lakrout | US | Midland | 2013-03-28 / 20130075362 - Method Of Forming Open-Network Polishing Pads | 12 |
Hamed Lakrout | US | Lake Jackson | 2015-04-30 / 20150115195 - CARBON-CARBON COMPOSITES | 6 |
David Allen Laks | US | Vista | 2011-07-14 / 20110170279 - ADJUSTABLE FASTENER FOR FOOT APPAREL | 1 |
Joseph J. Laks | US | Princeto | 2010-11-18 / 20100289965 - EQUALIZER BASED SYMBOL TIMING RECOVERY WITH SYMBOL ENABLED CONTROL | 1 |
Kaveh Laksari | US | Palo Alto | 2015-08-13 / 20150223543 - SYSTEM FOR REDIRECTING HEAD IMPACT FORCE | 1 |
Artur Laksberg | US | Redmond | 2011-07-14 / 20110173595 - LANGUAGE-BASED MODEL FOR ASYNCHRONOUS OPERATIONS | 5 |
Renata Lakse | SI | Smarjeske Toplice | 2008-12-04 / 20080300233 - Pharmaceutical Composition Comprising Simvastatin and Ezetimibe | 1 |
Narayanan Lakshimanan | IN | Chennai | 2011-05-26 / 20110125225 - INTERFERENTIAL NON INVASIV TEMPORARY PACER | 1 |
Gopi Lakshiminarayanan | US | Fremont | 2014-11-20 / 20140341293 - Frame-Compatible Full Resolution Stereoscopic 3D Compression And Decompression | 1 |
Haricharan Lakshman | IN | Bangalore | 2015-07-02 / 20150186421 - COMPUTER IMPLEMENTED SYSTEM FOR HANDLING TEXT DISTRACTERS IN A VISUAL SEARCH | 2 |
Tirunell V. Lakshman | US | Marlboro | 2014-10-02 / 20140294392 - HIGH-THROUGHPUT ROUTING IN AN OPTICAL NETWORK HAVING A MESH TOPOLOGY | 1 |
Jay Parthiban Lakshman | US | Bridgewater | 2014-10-30 / 20140323495 - Stabilized Amorphous Forms of Imatinib Mesylate | 6 |
Mahesh K. Lakshman | US | Hackensack | 2010-07-15 / 20100179312 - CONVERTIBLE NUCLEOSIDE DERIVATIVES | 1 |
Avinash Lakshman | US | Mercer Island | 2016-04-21 / 20160110110 - SYSTEM AND METHOD FOR PROVIDING HIGH AVAILABILITY DATA | 4 |
T.v. Lakshman | US | Morganville | 2016-03-31 / 20160094668 - METHOD AND APPARATUS FOR DISTRIBUTED CUSTOMIZED DATA PLANE PROCESSING IN A DATA CENTER | 14 |
Kakkirala Naga Venkata Surya Maruthi Lakshman | IN | Bangalore | 2013-10-17 / 20130275610 - METHOD AND APPARATUS FOR IMPROVING THE ADAPTIVE BIT RATE BEHAVIOR OF A STREAMING MEDIA PLAYER | 1 |
Srinivas Lakshman | US | San Jose | 2016-01-07 / 20160004611 - STORAGE SYSTEM WITH VIRTUAL DISKS | 3 |
Pratap Lakshman | IN | Hyderabad | 2012-12-20 / 20120324429 - Selectable Dual-Mode JIT Compiler for SIMD Instructions | 1 |
Srinivas Lakshman | MX | Mexico City | 2015-08-27 / 20150242255 - COMPUTER ARCHITECTURE AND PROCESS FOR APPLICATION PROCESSING ENGINE | 2 |
Haricharan Lakshman | DE | Berlin | 2016-02-18 / 20160048947 - UPSAMPLING AND SIGNAL ENHANCEMENT | 8 |
Jay P. Lakshman | US | Bridgewater | 2011-02-17 / 20110037185 - CONTINUOUS PROCESS FOR MAKING PHARMACEUTICAL COMPOSITIONS | 2 |
Mahesh K. Lakshman | US | Teaneck | 2014-04-17 / 20140107327 - METHODS FOR PREPARING DEUTERATED 1,2,3-TRIAZOLES | 2 |
Tirunell Lakshman | US | Morganville | 2011-02-10 / 20110035277 - SYSTEM FOR SCHEDULING ADVERTISEMENTS AND METHOD THEREOF | 3 |
Jay P. Lakshman | US | Cedar Knolls | 2010-01-28 / 20100021539 - Modified Release 1-[(3-Hydroxy-Adamant-1-Ylamino)-Acetyl]-Pyrrolidine-2(S)-Carbonitrile Formulation | 1 |
T.v. Lakshman | US | Morganville | 2016-03-31 / 20160094668 - METHOD AND APPARATUS FOR DISTRIBUTED CUSTOMIZED DATA PLANE PROCESSING IN A DATA CENTER | 14 |
Girish S. Lakshman | US | Issaquah | 2012-12-20 / 20120323645 - METHOD AND SYSTEM FOR ANTICIPATORY PACKAGE SHIPPING | 2 |
T. V. Lakshman | US | Morganville | 2015-11-26 / 20150341647 - ACCELERATED IMAGE PROCESSING | 5 |
T.k. Lakshman | US | San Carlos | 2014-01-02 / 20140006617 - PERSONAS IN APPLICATION LIFECYCLE MANAGEMENT | 1 |
Tirunellai V. Lakshman | US | Morganville | 2016-02-11 / 20160043929 - PROVIDING CLOUD-BASED SERVICES USING DYNAMIC NETWORK VIRTUALIZATION | 2 |
Avinash Lakshman | US | Fremont | 2016-01-07 / 20160004611 - STORAGE SYSTEM WITH VIRTUAL DISKS | 8 |
Tirunell V. Lakshman | US | Morganville | 2015-08-20 / 20150236783 - Apparatus And Method For Protection In A Data Center | 21 |
Tirunell V. Lakshman | US | Eatontown | 2010-12-30 / 20100329133 - NETWORK DETECTION OF REAL-TIME APPLICATIONS USING INCREMENTAL LINEAR REGRESSION | 2 |
Jay Parthiban Lakshman | US | East Hanover | 2010-01-21 / 20100015225 - SOLID DISPERSION OF A NEUROKININ ANTAGONIST | 1 |
Jay Parthiban Lakshman | US | Cedar Knolls | 2014-09-25 / 20140287040 - Formulation | 10 |
Girish Lakshman | US | Sammamish | 2016-04-28 / 20160114976 - PICKUP LOCATION MONITORING | 6 |
Ravikiran Lakshman | IN | Bangalore | 2009-11-12 / 20090278584 - CELL-BASED INTEGRATED CIRCUIT AND A METHOD OF OPERATING A THYRISTOR CIRCUIT IN A STANDARD CELL OF A CELL-BASED INTEGRATED CIRCUIT | 1 |
Jay Lakshman | US | Cedar Knolls | 2012-03-29 / 20120077879 - Process for making compositions with poorly compressible therapeutic compounds | 2 |
Haricharan Lakshman | DE | Berlin | 2016-02-18 / 20160048947 - UPSAMPLING AND SIGNAL ENHANCEMENT | 8 |
Ramakrishnan Lakshman | IN | Bangalore | 2009-12-03 / 20090296822 - Reduced Memory Mode Video Decode | 1 |
Jay Parthiban Lakshman | US | Cedar Knolls | 2014-09-25 / 20140287040 - Formulation | 10 |
Jan Parthiban Lakshman | US | Cedar Knolls | 2011-04-14 / 20110086096 - MODIFIED RELEASE 1- [ (3-HYDROXY-ADAMANT-1-YLAMINO)-ACETYL] -PYRROLIDINE-2 (S) -CARBONITRILE FORMULATION | 1 |
Sudheendra Lakshmana | US | Davis | 2013-12-26 / 20130343997 - Metal Coating of Rare Earth Nano-Phosphors and Uses Thereof | 2 |
Muralidharan Lakshmanachar | IN | Bangalore | 2009-11-12 / 20090282089 - DATA MIGRATION FACTORY | 1 |
Chandan Lakshmanaiah | US | Troy | 2015-01-22 / 20150025750 - TECHNIQUES FOR ROBUST PARK LOCK CONTROL | 2 |
Sridhar Lakshmanamurthy | US | Sunnyvale | 2016-05-12 / 20160132447 - AGGREGATING COMPLETION MESSAGES IN A SIDEBAND INTERFACE | 21 |
Sridhar Lakshmanamurthy | US | Sunnyvale | 2016-05-12 / 20160132447 - AGGREGATING COMPLETION MESSAGES IN A SIDEBAND INTERFACE | 21 |
Karthik Lakshmanan | US | Jersey City | 2015-05-21 / 20150137815 - CIRCULAR DIPOLE AND SURFACE COIL LOOP STRUCTURES AND METHODS FOR USING THE SAME | 1 |
Varadharajen Lakshmanan | IN | Bangalore | 2014-11-13 / 20140332089 - ANGLE VALVE WITH HAMMERLESS GRINDING | 1 |
Harsha Lakshmanan | US | Fremont | 2013-11-28 / 20130314954 - POWER SUPPLY INPUT ROUTING | 1 |
Hariharan Lakshmanan | US | Belmont | 2014-04-03 / 20140095450 - Custom Policy Driven Data Placement And Information Lifecycle Management | 3 |
Anand Lakshmanan | US | Sunnyvale | 2016-05-12 / 20160133134 - PARKING SPOT ALLOCATION | 3 |
Narayanan Lakshmanan | SG | Singapore | 2014-10-02 / 20140294575 - Centrifugal Pump Stage with Increased Compressive Load Capacity | 1 |
Geetika T. Lakshmanan | US | Cambridge | 2013-11-21 / 20130311242 - Business Process Analytics | 9 |
Geetika Tewari Lakshmanan | US | Cambridge | 2014-03-06 / 20140067732 - TRAINING DECISION SUPPORT SYSTEMS FROM BUSINESS PROCESS EXECUTION TRACES THAT CONTAIN REPEATED TASKS | 10 |
Shruti Lakshmanan | US | Belleville | 2011-01-20 / 20110012304 - Educational bath puzzle toy | 1 |
Ramji S. Lakshmanan | US | Philadelphia | 2010-11-25 / 20100297687 - DETECTION AND MEASUREMENT OF MASS CHANGE USING AN ELECTROMECHANICAL RESONATOR | 1 |
Sriram Lakshmanan | US | Atlanta | 2010-11-11 / 20100285752 - BEAMFORMING METHODS AND SYSTEMS EMPLOYING MEASURED POWER AT A RECEIVER TO PERFORM CHANNEL ESTIMATION | 3 |
Narayanan Lakshmanan | US | Bothell | 2014-12-04 / 20140359258 - Declarative Configuration Elements | 4 |
Guha Lakshmanan | US | Murphy | 2010-04-22 / 20100097072 - SYSTEM AND METHOD FOR CHECKING ANALOG CIRCUIT WITH DIGITAL CHECKER | 1 |
Viswanathan Lakshmanan | US | Thornton | 2010-03-18 / 20100070936 - WAIVER MECHANISM FOR PHYSICAL VERIFICATION OF SYSTEM DESIGNS | 3 |
Sridhar Lakshmanan | US | Belleville | 2009-10-08 / 20090252650 - PORTABLE MEMS-BASED SPECTRAL IDENTIFICATION SYSTEM | 1 |
Kris Lakshmanan | US | Piscataway | 2009-07-30 / 20090192863 - Incentive card program for attracting persons to product or service displays | 2 |
Thyagarajan Lakshmanan | US | Redmond | 2009-07-09 / 20090176451 - ENCODED COLOR INFORMATION FACILITATING DEVICE PAIRING FOR WIRELESS COMMUNICATION | 3 |
Mark Chandrakant Lakshmanan | US | Zionsville | 2009-05-28 / 20090137632 - Compositions And Methods For Treating Dyslipidemia | 1 |
Annamalai Lakshmanan | US | Freemont | 2013-01-10 / 20130012030 - METHOD AND APPARATUS FOR REMOTE PLASMA SOURCE ASSISTED SILICON-CONTAINING FILM DEPOSITION | 1 |
Gayathiri Ramadevi Lakshmanan | US | Santa Clara | 2015-12-03 / 20150348052 - CRM-BASED DISCOVERY OF CONTACTS AND ACCOUNTS | 1 |
Anand Lakshmanan | US | San Jose | 2014-09-18 / 20140266938 - Electronic Device Having Multiport Antenna Structures With Resonating Slot | 3 |
Prakash Lakshmanan | AU | Queensland | 2012-12-27 / 20120329158 - Methods of Plant Regeneration and Apparatus Therefor | 1 |
Pawan Lakshmanan | US | Englewood | 2014-09-18 / 20140282694 - ADDRESSABLE REALTIME MESSAGING FOR TELEVISION RECEIVERS | 1 |
Vaikuntam I. Lakshmanan | CA | Mississauga | 2015-11-05 / 20150315677 - Process for the separation of iron in extraction of titanium in mixed chloride media | 9 |
Thyagarajan Lakshmanan | US | San Jose | 2015-12-24 / 20150371222 - WEBSITE CONTENT OPTIMIZATION FOR TARGET MARKET | 2 |
Karthik Singaram Lakshmanan | US | Plano | 2011-06-09 / 20110138197 - PROCESSOR POWER MANAGEMENT | 1 |
Anupama Lakshmanan | IN | Bangalore | 2014-08-28 / 20140241447 - Receiver With Cyclic Prefix Determination | 2 |
Balasubramanian Lakshmanan | US | Rochester Hills | 2016-03-24 / 20160087288 - SYSTEMS AND METHODS FOR CONTROLLING OXYGEN CONCENTRATION IN A CATHODE OF A FUEL CELL SYSTEM | 4 |
Pradeep Maheshwaran Lakshmanan | IN | Bangalore | 2014-03-13 / 20140074539 - BUSINESS ATTRIBUTE DRIVEN SIZING ALGORITHMS | 1 |
Annamalai Lakshmanan | US | Fremont | 2016-04-28 / 20160118260 - METHODS FOR FORMING A METAL SILICIDE INTERCONNECTION NANOWIRE STRUCTURE | 11 |
Prakash Lakshmanan | AU | Indooroopilly | 2013-07-18 / 20130180173 - PLANT ARTIFICIAL SEEDS HAVING MULTILAYERS AND METHODS FOR THE PRODUCTION THEREOF | 2 |
Anupama Lakshmanan | SG | Nanos | 2014-09-11 / 20140256625 - ANTI-AMYLOIDOGENIC, ALPHA-HELIX BREAKING ULTRA-SMALL PEPTIDE THERAPEUTICS | 1 |
Geetika T. Lakshmanan | US | Hawthorne | 2012-03-15 / 20120066166 - Predictive Analytics for Semi-Structured Case Oriented Processes | 1 |
Vivek Lakshmanan | US | Seattle | 2015-12-10 / 20150356113 - AUTOMATED DATA SYNCHRONIZATION | 3 |
Geetika Tewari Lakshmanan | US | Winchester | 2014-12-11 / 20140365403 - GUIDED EVENT PREDICTION | 3 |
Balasubramanian Lakshmanan | US | Pittsford | 2014-07-24 / 20140205924 - SELECTIVELY REACTING TO THE MINIMUM CELL VOLTAGE DROP RATE IN A FUEL CELL SYSTEM | 30 |
Venkatachalam Lakshmanan | US | Newark | 2014-10-23 / 20140315715 - COMPOSITIONS AND METHODS FOR INCREASING BIOMASS, IRON CONCENTRATION, AND TOLERANCE TO PATHOGENS IN PLANTS | 2 |
Anantasrinivas Lakshmanan | IN | Chennai | 2013-09-12 / 20130238639 - METHODS, SYSTEMS AND COMPUTER READABLE MEDIA FOR COMPARING XML DOCUMENTS | 1 |
V. S. Lakshmanan | CA | Vancouver | 2012-02-09 / 20120036136 - SECURING DATABASE CONTENT | 1 |
Saravanan Lakshmanan | US | Sugar Land | 2015-02-26 / 20150058302 - ADDRESSING CACHE COHERENCE IN UPDATES TO A SHARED DATABASE IN A NETWORK ENVIRONMENT | 1 |
Priya Padmanabhan Lakshmanan | IN | Chennai | 2015-07-02 / 20150187011 - COMPUTERIZED SYSTEM AND METHOD OF EVALUATING INSURANCE PRODUCT UNDERWRITING AND RATING DATA | 2 |
Vaikuntam I. Lakshmanan | CA | Mississauga | 2015-11-05 / 20150315677 - Process for the separation of iron in extraction of titanium in mixed chloride media | 9 |
Subramanian Lakshmanan | US | San Jose | 2016-05-19 / 20160140373 - EMBEDDING INFORMATION IN AN IMAGE FOR FAST RETRIEVAL | 10 |
Geetika T. Lakshmanan | US | Cambridge | 2013-11-21 / 20130311242 - Business Process Analytics | 9 |
Vivek Lakshmanan | CA | Toronto | 2012-05-10 / 20120117298 - Managing Memory Across a Network of Cloned Virtual Machines | 1 |
Lajish Vimala Lakshmanan | IN | Thane (w) | 2012-05-10 / 20120114245 - Online Script Independent Recognition of Handwritten Sub-Word Units and Words | 1 |
Geetika T. Lakshmanan | US | Winchester | 2016-03-31 / 20160092775 - INFERRING INTENT IN HYBRID NETWORKS | 31 |
Raman Lakshmanan | US | Long Branch | 2015-05-07 / 20150127367 - Healthcare Information Management Via Financial Networks | 1 |
Ramji S. Lakshmanan | IE | Dublin | 2013-08-15 / 20130205902 - ASYMMETRIC SENSOR | 1 |
Anupama Lakshmanan | US | Santa Clara | 2013-03-21 / 20130072191 - Determining a Handoff Metric | 3 |
Annamalai Lakshmanan | US | Fremont | 2016-04-28 / 20160118260 - METHODS FOR FORMING A METAL SILICIDE INTERCONNECTION NANOWIRE STRUCTURE | 11 |
Annamalai Lakshmanan | US | Stuart | 2015-10-01 / 20150278733 - METHOD AND SYSTEM FOR REPORTING EVENTS AND CONDITIONS | 1 |
Laks Lakshmanan | CA | Vancouver | 2010-09-16 / 20100235317 - DIVERSIFYING RECOMMENDATION RESULTS THROUGH EXPLANATION | 1 |
Geetika T. Lakshmanan | US | Winchester | 2016-03-31 / 20160092775 - INFERRING INTENT IN HYBRID NETWORKS | 31 |
Geetika Tewari Lakshmanan | US | Cambridge | 2014-03-06 / 20140067732 - TRAINING DECISION SUPPORT SYSTEMS FROM BUSINESS PROCESS EXECUTION TRACES THAT CONTAIN REPEATED TASKS | 10 |
Meenakshi Sundaram Lakshmanan | US | Hayward | 2013-04-25 / 20130103834 - Multi-Tenant NATting for Segregating Traffic Through a Cloud Service | 2 |
Chandrasekhar Lakshmanan | IN | Chennai | 2012-06-21 / 20120158802 - MP4 CONTAINER FILE FORMATS AND METHODS OF PROCESSING MP4 CONTAINER FILES | 1 |
Chandrasekar Lakshmanan | IN | Karnatatak | 2015-09-17 / 20150260617 - PARTICLE IMPACTOR WITH SELECTIVE HEIGHT ADJUSTMENT | 1 |
Subramanian Lakshmanan | US | San Jose | 2016-05-19 / 20160140373 - EMBEDDING INFORMATION IN AN IMAGE FOR FAST RETRIEVAL | 10 |
Laks V.s. Lakshmanan | CA | Vancouver | 2010-04-08 / 20100088130 - Discovering Leaders in a Social Network | 1 |
Balaji Lakshmanan | IN | Chennai | 2010-03-11 / 20100062712 - CUSTOMIZED BLUETOOTH ENABLED IDENTIFICATION DEVICE | 2 |
Sala Lakshmanan | IN | Bangalore | 2008-12-18 / 20080312718 - Skin Stimulation Device and a Method and Computer Program Product for Detecting a Skin Stimulation Location | 1 |
Nallakannu P. Lakshmanan | US | Millsboro | 2015-10-29 / 20150306209 - CANINE PARVOVIRUS TYPE 2c ISOLATES AND METHODS OF USE | 3 |
Nachiyappan Lakshmanan | IN | Bangalore | 2015-10-01 / 20150272553 - ULTRASOUND PROBE FOR AUTOMATED MANAGEMENT OF DATA ENTRY FOR A PATIENT | 1 |
Karthik Lakshmanan | US | Pittsburgh | 2014-02-06 / 20140040904 - METHOD AND APPARATUS FOR IMPROVING PROCESSING PERFORMANCE OF A MULTI-CORE PROCESSOR | 1 |
Srinivasan Lakshmanan Chettiar | SG | Singapore | 2013-03-28 / 20130077818 - DETECTION METHOD OF OPTICAL NAVIGATION DEVICE | 2 |
Mahesh Lakshmanaperumal | US | Des-Plaines | 2015-04-16 / 20150105144 - USES OF LOCATION TRACKING IN MOBILE DEVICES | 7 |
Venkatachalam Lakshmannan | US | Newark | 2013-07-18 / 20130184150 - COMPOSITIONS AND METHODS FOR INCREASING BIOMASS, IRON CONCENTRATION, AND TOLERANCE TO PATHOGENS IN PLANTS | 3 |
Vidhya Lakshmi | IN | Chennai | 2015-04-02 / 20150096037 - ENHANCED VIEW COMPLIANCE TOOL | 1 |
Brinda B. Lakshmi | US | Woodbury | 2012-06-14 / 20120149037 - COLORIMETRIC SENSORS CONSTRUCTED OF DIACETYLENE MATERIALS | 10 |
Vasanta K. Lakshmi | IN | Pune | 2014-02-27 / 20140059522 - Generating Test Cases for Covering Enterprise Rules and Predicates | 1 |
Madhavan Lakshmi | US | Providence | 2013-09-05 / 20130228522 - ARSENIC REMOVAL SYSTEM | 1 |
Amrita Lakshmi | IN | Bangalore | 2012-09-13 / 20120233160 - SYSTEM AND METHOD FOR ASSISTING A USER TO IDENTIFY THE CONTEXTS OF SEARCH RESULTS | 2 |
Kommineni Vasanta Lakshmi | IN | Bangalore | 2014-06-19 / 20140172512 - EFFICIENTLY GENERATING TEST CASES | 1 |
Dhana Lakshmi | GB | Cranfield | 2011-11-17 / 20110278175 - Aniline Derivatives, Polymers, and Uses Thereof | 1 |
Krathi Lakshmi | IN | Bangalore | 2010-12-30 / 20100329355 - SYSTEM AND METHOD FOR CONFIGURABLE PACKET STREAMING | 1 |
Narasimha Murthy Nukala Lakshmi | US | Pleasanton | 2013-01-24 / 20130024864 - Scalable Hardware Mechanism to Implement Time Outs for Pending POP Requests to Blocking Work Queues | 1 |
Baddireddi Subhadra Lakshmi | IN | Tamil Nadu | 2010-05-13 / 20100120902 - STANDARIZED BIOACTIVE HERBAL EXTRACTS | 1 |
Channarayapatna Shankar Lakshmi | AU | Balmain | 2010-05-27 / 20100128090 - Mems Bubble Generator Incorporating Superalloy Heater In Direct Contact With Bubble Formation Liquid Without Intervening Protective Coating | 1 |
Dhana Lakshmi | GB | Cranfield Bedfordshire | 2011-05-12 / 20110111350 - Conjugated Polymers | 1 |
Vidhya Lakshmi | IN | Chennal | 2016-04-28 / 20160117524 - ENHANCED VIEW COMPLIANCE TOOL | 1 |
Kranthi Lakshmi | IN | Bangalore | 2011-08-25 / 20110208917 - DATA PROCESSING CIRCUIT WITH CACHE AND INTERFACE FOR A DETACHABLE DEVICE | 1 |
Mookanahallipatna Ramasesha Lakshmikantha | ES | Madrid | 2014-05-15 / 20140136163 - METHOD TO COUPLE FLUID-FLOW AND GEOMECHANICAL MODELS FOR INTEGRATED PETROLEUM SYSTEMS USING KNOWN TRIGGERING EVENTS | 2 |
Ashvin Lakshmikantha | US | Urbana | 2008-09-11 / 20080219285 - Multi-Channel Communications Protocol | 1 |
Ashvin Lakshmikantha | US | San Jose | 2009-05-14 / 20090122698 - VIRTUAL QUEUE | 1 |
Shashi Kanth Lakshmikantha | US | Sunnyvale | 2008-10-30 / 20080270842 - COMPUTER OPERATING SYSTEM HANDLING OF SEVERE HARDWARE ERRORS | 1 |
Prakash Lakshmikanthan | US | Farmers Branch | 2016-04-14 / 20160101501 - PERMEATED GROOVING IN CMP POLISHING PADS | 3 |
Ramanathan Lakshmikanthan | US | 2012-07-05 / 20120170580 - BANK AWARE MULTI-BIT TRIE | 1 | |
Ramanathan Lakshmikanthan | US | Milpitas | 2014-01-02 / 20140003430 - METHOD AND APPARATUS FOR PROVIDING RESILIENCY IN MULTICAST NETWORKS | 7 |
Srikanth Lakshmikanthan | US | Milpitas | 2015-07-23 / 20150207339 - BATTERY PACK | 3 |
Ramanathan Lakshmikanthan | US | Santa Clara | 2016-05-05 / 20160127142 - EXPLICIT BLOCK ENCODING OF MULTICAST GROUP MEMBERSHIP INFORMATION WITH BIT INDEX EXPLICIT REPLICATION (BIER) | 10 |
Ramanathan Lakshmikanthan | US | Santa Clara | 2016-05-05 / 20160127142 - EXPLICIT BLOCK ENCODING OF MULTICAST GROUP MEMBERSHIP INFORMATION WITH BIT INDEX EXPLICIT REPLICATION (BIER) | 10 |
Arvind Lakshmikumar | IN | Bangalore | 2016-01-28 / 20160025948 - METHOD AND APPARATUS FOR OPTICAL ALIGNMENT | 1 |
Kadaba Lakshmikumar | US | Basking Ridge | 2016-05-05 / 20160126971 - Monotonic Segmented Digital to Analog Converter | 4 |
Arvind Kondangi Lakshmikumar | IN | Bangalore | 2013-05-02 / 20130107072 - MULTI-RESOLUTION IP CAMERA | 2 |
Anand V. Lakshmikumaran | US | Westminster | 2015-02-26 / 20150055244 - READ/WRITE HEAD WITH IMPROVED CONTACT | 2 |
Anand V. Lakshmikumaran | US | Denver | 2016-03-10 / 20160071530 - TAPE HEAD SURFACE WITH NON-UNIFORM CROSS-WIDTH EDGE PROFILES | 1 |
Sankarakrishnan Lakshminarasimhan | IN | Chennai | 2016-05-19 / 20160140628 - SYSTEM AND METHOD FOR DETERMINING A COST OF A CASTING PROCESS | 1 |
Prasanna Lakshminarasimhan | CA | Edmonton | 2014-10-16 / 20140309687 - WOUND CLAMP | 2 |
Sivaram Lakshminarasimhan | IN | Velachery | 2013-05-23 / 20130132109 - SYSTEM AND METHOD FOR REMOTE MANAGEMENT OF MEDICAL DEVICES AND PATIENTS | 1 |
N. Lakshminarasimhan | IN | Chennai | 2009-11-19 / 20090288018 - FRAMEWORK FOR SUPPORTING TRANSITION OF ONE OR MORE APPLICATIONS OF AN ORGANIZATION | 1 |
Arvindsrinivasan Lakshminarasimhan | US | San Jose | 2013-08-01 / 20130194914 - LINK AGGREGATION IN SOFTWARE-DEFINED NETWORKS | 1 |
Krishna Lakshminarasimhan | IN | Bangalore | 2015-11-12 / 20150322850 - TURBOCHARGER AND CASING | 1 |
Chacko Lakshminarasimhan | IN | Chennai | 2016-03-24 / 20160086112 - PREDICTING RENEWAL OF CONTRACTS | 1 |
Ganesh Lakshminaraya | US | Plainsboro | 2008-09-11 / 20080219157 - SYSTEM AND METHOD FOR DATA RECONFIGURATION IN AN OPTICAL COMMUNICATION NETWORK | 1 |
Venkataraghavan Lakshminarayachar | IN | Karnataka | 2011-05-26 / 20110125713 - SYSTEMS AND METHODS FOR SIMULTANEOUS FILE TRANSFER AND COPY ACTIONS | 1 |
Gopi Lakshminarayan | US | Fremont | 2016-04-14 / 20160105695 - Transmitting Display Management Metadata Over HDMI | 2 |
Neel Lakshminarayan | US | Norwood | 2012-09-20 / 20120239597 - METHOD, APPARATUS AND COMPUTER PROGRAM PRODUCT FOR PROVIDING EVIDENCE-BASED CLINICAL DECISION SUPPORT THAT IS WORKFLOW ENVIRONMENT INDEPENDENT | 1 |
Viswanathan Lakshminarayan | US | Phoenix | 2016-02-11 / 20160043039 - SEMICONDUCTOR DEVICE WITH AN ISOLATION STRUCTURE COUPLED TO A COVER OF THE SEMICONDUCTOR DEVICE | 2 |
Ramasubramaniam Lakshminarayan | US | Plano | 2008-09-11 / 20080221959 - System and architecture for managing distributed design chains | 1 |
Venkat Lakshminarayan | US | Ann Arbor | 2008-11-27 / 20080290642 - SYSTEM AND METHOD FOR CLEARING CRUSH SPACE IN A VEHICLE THROUGH THE USE OF ACTIVE COMPONENTS | 1 |
Choudur Lakshminarayan | US | Austin | 2016-04-21 / 20160110417 - Incrementally Updating Statistics | 25 |
Srikanth Lakshminarayan | IN | Karnataka | 2010-09-23 / 20100241831 - DATA PACKET PROCESSING METHOD FOR A MULTI CORE PROCESSOR | 1 |
Kannan Lakshminarayan | IN | Chennai | 2014-10-16 / 20140304950 - METHOD AND APPARATUS FOR CARDING OF STAPLE FIBERS | 1 |
Choudur K. Lakshminarayan | US | Austin | 2013-10-31 / 20130289426 - CLASSIFICATION OF A SIGNAL IN A TIME DOMAIN | 2 |
Choudur Lakshminarayan | US | Austin | 2016-04-21 / 20160110417 - Incrementally Updating Statistics | 25 |
Nagaraj Chickmagalur Lakshminarayan | IN | Bangalore | 2016-01-28 / 20160029346 - IOT ENABLED WIRELESS ONE-GO/ALL-GO PLATFORM SENSOR NETWORK SOLUTIONFOR CONNECTED HOME SECURITY SYSTEMS | 1 |
Keerti Lakshminarayan | US | San Jose | 2015-02-05 / 20150038129 - Network Device Configuration by Mobile Device | 1 |
Umesh Vidyaranyapura Lakshminarayana | IN | Nagar | 2016-05-05 / 20160127311 - RECLAIMING LEASED IP ADDRESSES IN WIRELESS NETWORKS | 1 |
Vemula Lakshminarayana | IN | Hyderabad | 2009-11-12 / 20090281327 - PROCESS FOR THE PREPARATION OF OLMESARTAN MEDOXOMIL | 1 |
Sreenivasa Sundapalyam Lakshminarayana | IN | Bangalore | 2012-03-29 / 20120077436 - METHOD AND APPARATUS TO TRANSFER FILES BETWEEN TWO TOUCH SCREEN INTERFACES | 1 |
Umesh Vidyaranyapura Lakshminarayana | IN | Bangalore | 2014-12-25 / 20140376408 - mDNS SUPPORT IN UNIFIED ACCESS NETWORKS | 1 |
Apoorva Lakshminarayana | DE | Neckargemuend | 2015-12-24 / 20150371559 - SHOULDER KIT ASSEMBLY FOR CRASH TEST DUMMY | 1 |
Venkataraghavan Lakshminarayanachar | IN | Karnataka | 2011-05-26 / 20110126172 - SYSTEMS AND METHODS FOR VIRTUAL MACHINE THREAD TRACING | 1 |
Venkataraghavan Lakshminarayanachar | IN | Bangalore | 2015-07-30 / 20150213050 - MANAGEMENT OF LONG-RUNNING LOCKS AND TRANSACTIONS ON DATABASE TABLES | 8 |
Venkataraghavan Lakshminarayanachar | IN | Bangalore | 2015-07-30 / 20150213050 - MANAGEMENT OF LONG-RUNNING LOCKS AND TRANSACTIONS ON DATABASE TABLES | 8 |
Vani Lakshminarayanan | US | Scottsdale | 2015-10-22 / 20150299290 - IMMUNOGENIC VACCINE | 2 |
Gunaseelan Lakshminarayanan | US | Mountain View | 2015-06-25 / 20150178133 - PRIORITIZING DATA REQUESTS BASED ON QUALITY OF SERVICE | 1 |
Kishore Lakshminarayanan | US | Santa Clara | 2009-04-23 / 20090106079 - Executive Field Service Task Start to Finish | 2 |
Anand Lakshminarayanan | US | Redmond | 2013-10-24 / 20130283188 - TEMPLATE BASED MANAGEMENT OF SERVICES | 4 |
Dhamodharan Lakshminarayanan | US | Austin | 2008-11-06 / 20080275779 - MOBILE PAYMENT SERVICES | 1 |
Karthik K. Lakshminarayanan | US | San Mateo | 2014-10-02 / 20140297884 - DELIVERING A VIDEO STREAM | 2 |
Sitaraman Suthamali Lakshminarayanan | US | Atlanta | 2012-07-19 / 20120185936 - Systems and Methods for Detecting Fraud Associated with Systems Application Processing | 1 |
Sitaraman Suthamali Lakshminarayanan | US | Dunwoody | 2013-07-18 / 20130185717 - METHOD AND SYSTEM FOR MANAGING POWER CONSUMPTION DUE TO VIRTUAL MACHINES ON HOST SERVERS | 5 |
Gopi Lakshminarayanan | US | Fremont | 2015-07-16 / 20150201178 - Frame Compatible Depth Map Delivery Formats for Stereoscopic and Auto-Stereoscopic Displays | 5 |
Mahadevan Lakshminarayanan | IN | Abhiramapuram | 2013-11-28 / 20130318044 - MYSQL DATABASE HETEROGENEOUS LOG BASED REPLICATION | 2 |
Ramanan Lakshminarayanan | IN | Bangalore | 2011-12-22 / 20110309944 - PATIENT TABLE SYSTEM FOR MEDICAL SYSTEM FOR MEDICAL APPLICATIONS AND ASSOCIATED MEDICAL IMAGING DEVICE | 1 |
Sudhakar Lakshminarayanan | US | Peoria | 2013-01-03 / 20130000998 - Viscous Fan Drive for an Engine Cooling System | 1 |
Balaji Lakshminarayanan | US | Woburn | 2016-04-07 / 20160099686 - LINEARITY AND NOISE IMPROVEMENT FOR MULTILEVEL POWER AMPLIFIER SYSTEMS USING MULTI-PULSE DRAIN TRANSITIONS | 1 |
Balaji Lakshminarayanan | GB | London | 2013-08-29 / 20130226839 - ROBUST BAYESIAN MATRIX FACTORIZATION AND RECOMMENDER SYSTEMS USING SAME | 1 |
Sridhar Lakshminarayanan | US | San Jose | 2013-04-04 / 20130085895 - HIGH THROUGHPUT GLOBAL ORDER PROMISING SYSTEM | 1 |
Lakshminarayanan Lakshminarayanan | US | Round Rock | 2015-06-11 / 20150161532 - BUSINESS PROCESS MODELING USING A QUESTION AND ANSWER SYSTEM | 1 |
Karthik Lakshminarayanan | US | Ronkonkoma | 2014-06-05 / 20140152874 - TRANSMISSION OF IMAGES FOR INVENTORY MONITORING | 3 |
Anantharaman Lakshminarayanan | SG | Singapore | 2013-04-04 / 20130086695 - METHOD AND SYSTEM FOR REMOTE ACCESS TO DATA STORED ON A HOST SYSTEM | 2 |
Gunaseelan Lakshminarayanan | CN | Shenzhen | 2014-04-17 / 20140108672 - Content Delivery Network Routing Method, System and User Terminal | 1 |
Gopi Lakshminarayanan | US | San Francisco | 2016-01-28 / 20160029012 - RE-TARGETING A THREE-DIMENSIONAL IMAGE SIGNAL | 1 |
Ramaswamy Lakshminarayanan | US | Salt Lake City | 2013-03-21 / 20130073050 - COATED IMPLANTS AND RELATED METHODS | 8 |
Ramaswamy Lakshminarayanan | US | Salt Lake City | 2013-03-21 / 20130073050 - COATED IMPLANTS AND RELATED METHODS | 8 |
Karthik Lakshminarayanan | US | Issaquah | 2009-02-26 / 20090055340 - Analysis of software conflicts | 1 |
Arun Lakshminarayanan | US | San Jose | 2015-07-02 / 20150186989 - PRICING AND LISTING CONFIGURATION RECOMMENDATION ENGINE | 4 |
Ramaswamy Lakshminarayanan | US | West Jordan | 2014-09-18 / 20140265065 - CHARGE-COMPENSATING DOPANT STABILIZED ALUMINA-ZIRCONIA CERAMIC MATERIALS AND RELATED MATERIALS, APPARATUS, AND METHODS | 7 |
Sunil Lakshminarayanan | US | Houston | 2016-01-07 / 20160003019 - System and Method for Modeling and Design of Pulse Fracturing Networks | 1 |
Vijay Lakshminarayanan | US | Beaverton | 2015-11-26 / 20150339096 - PERSONAL MUSIC PLAYER | 2 |
Gunaseelan Lakshminarayanan | US | Cupertino | 2015-12-03 / 20150347450 - INTER-VERSION MAPPING OF DISTRIBUTED FILE SYSTEMS | 4 |
Renganarayana Lakshminarayanan | US | Fort Collins | 2008-11-27 / 20080294870 - SWITCH MEMORY ARCHITECTURES | 1 |
Karthik Lakshminarayanan | US | Wilmington | 2015-08-06 / 20150217143 - IN-LINE ACCESSORY FOR A SELF-CONTAINED BREATHING APPARATUS | 6 |
Chandrashekhar Lakshminarayanan | IN | Tamil Nadu | 2016-03-10 / 20160071048 - METHODS AND SYSTEMS FOR CROWDSOURCING OF TASKS | 1 |
Karthik Lakshminarayanan | US | Cupertino | 2014-09-04 / 20140250069 - SYSTEMS AND METHODS FOR SELECTIVELY SYNCHRONIZING FILES | 5 |
Arun Lakshminarayanan | US | Sunnyvale | 2010-12-02 / 20100306224 - Online Measurement of User Satisfaction Using Long Duration Clicks | 1 |
Karthik Lakshminarayanan | US | Bellevue | 2009-07-30 / 20090193496 - DETECTION OF HARDWARE-BASED VIRTUAL MACHINE ENVIRONMENT | 1 |
Karthikeyan Lakshmi Narayanan | IN | Tamil Nadu | 2012-02-09 / 20120035357 - PROCESS FOR THE PREPARATION OF CARBAPENEM ANTIBIOTIC | 1 |
Ram Lakshmi Narayanan | US | Sunnyvale | 2013-12-19 / 20130337778 - NEIGHBOUR CELL RELATION | 1 |
Ramaswamy Lakshminarayaran | US | Salt Lake City | 2013-11-14 / 20130304210 - Total Disc Implant | 1 |
Anand Lakshminath | US | Fremont | 2016-01-28 / 20160026686 - SYSTEM AND METHODS TO CONFIGURE A QUERY LANGUAGE USING AN OPERATOR DICTIONARY | 12 |
Anand Lakshminath | US | Fremont | 2016-01-28 / 20160026686 - SYSTEM AND METHODS TO CONFIGURE A QUERY LANGUAGE USING AN OPERATOR DICTIONARY | 12 |
Uma Lakshmipathy | US | Carlsbad | 2015-09-03 / 20150247852 - MARKERS CAPABLE OF DISTINGUISHING CELL PLURIPOTENCY AND USES THEREOF | 7 |
Sandeep Lakshmipathy | IN | Bangalore | 2015-05-28 / 20150145948 - Multicasting a Videoconference Recording to a Plurality of Clients | 24 |
Sandeep Lakshmipathy | IN | Bangalore | 2015-05-28 / 20150145948 - Multicasting a Videoconference Recording to a Plurality of Clients | 24 |
Aparna Lakshmiratan | US | Kirkland | 2016-01-07 / 20160005395 - GENERATING COMPUTER RESPONSES TO SOCIAL CONVERSATIONAL INPUTS | 7 |
Mikhail Laksin | US | Boonton | 2013-01-31 / 20130029057 - PROCESS FOR CURING A COMPOSITION BY ELECTRON BEAM RADIATION, AND BY GAS-GENERATED PLASMA AND ULTRAVIOLET RADIATION | 9 |
Maria L. Lakso | FI | Espoo | 2009-09-17 / 20090232145 - INTERNET/INTRANET ACCESS MECHANISM | 1 |
Steven R. Lakso | US | Sanford | 2010-06-03 / 20100137478 - POLYMER MICROFILLER COMPOSITES | 3 |
Elisabeth Lakso | SE | Stenungsund | 2014-03-27 / 20140088537 - METHOD OF PRODUCING AN ABSORBENT GARMENT, AND AN ABSORBENT GARMENT PRODUCED ACCORDING TO THE METHOD | 10 |
Steven R. Lakso | US | Sanlord | 2011-06-09 / 20110136659 - POROUS BODY PRECURSORS, SHAPED POROUS BODIES, PROCESSES FOR MAKING THEM, AND END-USE PRODUCTS BASED UPON THE SAME | 1 |
Alan N. Lakso | US | Geneva | 2016-05-19 / 20160139021 - MULTIMODAL SENSOR, METHOD OF USE AND FABRICATION | 2 |
Elisabeth Lakso | SE | Stenungsund | 2014-03-27 / 20140088537 - METHOD OF PRODUCING AN ABSORBENT GARMENT, AND AN ABSORBENT GARMENT PRODUCED ACCORDING TO THE METHOD | 10 |
Indra Laksono | CA | Richmond Hill | 2015-12-24 / 20150373351 - METHOD AND SYSTEM FOR RATE CONTROL DURING VIDEO TRANSCODING | 42 |
Joakim Laksov | SE | Danderyd | 2011-01-06 / 20110000489 - CONTROL UNIT, METHOD AND COMPUTER-READABLE MEDIUM FOR OPERATING A VENTILATOR | 2 |
Liisa Laksy | FI | Oulu | 2013-04-04 / 20130086527 - Method, Apparatus, Computer Program and User Interface | 2 |
Axel Lakus-Becker | AU | New South Wales | 2010-12-16 / 20100316137 - FOR ERROR CORRECTION IN DISTRIBUTED VIDEO CODING | 6 |
Axel Lakus-Becker | AU | Artarmon | 2013-10-17 / 20130271615 - METHOD OF REMOVING AN ARTEFACT FROM AN IMAGE | 6 |
Jason G. Laky | US | Highland Park | 2013-10-31 / 20130290167 - SYSTEM AND METHOD FOR CREDIT RISK MANAGEMENT FOR EDUCATIONAL INSTITUTIONS | 1 |
Bansi Lal | IN | Maharashtra | 2011-06-23 / 20110152247 - BENZOXAZEPINE COMPOUNDS, THEIR PREPARATION AND USE | 1 |
Vipul Lal | US | Santa Clara | 2015-01-29 / 20150033055 - Techniques for Managing Power and Performance of Multi-Socket Processors | 5 |
Amrish K. Lal | US | Sunnyvale | 2008-11-06 / 20080275843 - Identifying an application user as a source of database activity | 1 |
Rakesh Lal | US | Goleta | 2013-06-06 / 20130140189 - Compact electric appliance for providing gas for combustion | 3 |
Dhananjay Lal | US | Seven Fields | 2014-11-20 / 20140340822 - LOAD PANEL INCLUDING AN AGGREGATOR COMPONENT AND A NUMBER OF ELECTRICAL SWITCHING APPARATUS ASSIGNING UNIQUE ADDRESSES THERETO | 2 |
Preeti G. Lal | US | Santa Clara | 2014-02-27 / 20140056906 - SECRETED PROTEINS | 7 |
Raghav Lal | US | Palo Alto | 2014-10-09 / 20140304057 - LOYALTY REWARDS OPTIMIZATION BILL PAYABLES AND RECEIVABLES SERVICE | 8 |
Ratnesh Lal | US | Goleta | 2009-03-05 / 20090062900 - Devices, systems and methods to detect endothelialization of implantable medical devices | 2 |
Someet Lal | US | Cupertino | 2016-03-31 / 20160095151 - Systems and Methods for Improved Transitions Continuity Between Wireless Protocols | 1 |
Amit K. Lal | US | Ladera Ranch | 2011-02-03 / 20110026033 - Optical Inspection Using Spatial Light Modulation | 1 |
Akshay Lal | US | San Jose | 2014-03-13 / 20140075134 - CONCURRENT SET STORAGE IN DISTRIBUTED STORAGE NETWORK | 2 |
Preeti Lal | US | Santa Clara | 2013-09-19 / 20130244927 - POLYNUCLEOTIDES ENCODING SIGNAL PEPTIDE-CONTAINING MOLECULES | 6 |
Rajesh Lal | US | San Diego | 2010-09-30 / 20100251181 - USER INTERFACE FOR DIGITAL PHOTO FRAME | 1 |
Ashutosh Lal | US | Moraga | 2015-07-23 / 20150205919 - METHOD AND SYSTEM TO PROVIDE PATIENT INFORMATION AND FACILITATE CARE OF A PATIENT | 1 |
Shannon Dinesh Lal | CA | Ottawa | 2009-02-05 / 20090033487 - MOBILE RADIATION SURVEILLANCE NETWORK | 1 |
Jaideep Mohan Lal | CA | Ottawa | 2009-12-17 / 20090308867 - COLLAPSIBLE BOTTLE | 1 |
Partha Lal | GB | Edinburgh | 2010-07-01 / 20100169094 - SPEAKER ADAPTATION APPARATUS AND PROGRAM THEREOF | 1 |
Davinder Gopal Lal | CA | Abbotsford | 2011-03-31 / 20110073101 - CONTROL SYSTEM FOR HEATING SYSTEMS | 2 |
Reshma Lal | US | Hillsboro | 2016-03-31 / 20160094558 - SECURING SENSOR DATA | 13 |
Namita Lal | US | Palo Alto | 2015-08-20 / 20150234710 - SYSTEM AND METHOD FOR MANAGING DEDUPLICATION USING CHECKPOINTS IN A FILE STORAGE SYSTEM | 1 |
Ashish Lal | US | Brookline | 2012-03-08 / 20120059043 - THERAPEUTIC AND DIAGNOSTIC STRATEGIES | 2 |
Birendra K. Lal | US | Durham | 2012-02-16 / 20120041416 - DRUG DELIVERY DEVICE FOR FLUID RESTRICTED PATIENTS | 1 |
Amit Lal | US | Ithaca | 2016-04-28 / 20160113672 - ULTRASONIC SURGICAL INSTRUMENT | 20 |
Ashish Kumar Lal | IN | Bangalore | 2015-08-27 / 20150241379 - COMPOSITIONS FOR FABRIC BASED LATERAL FLOW ASSAY DEVICE USING ELECTROCHEMICAL DETECTION MEANS, AND DEVICES THEREFROM | 1 |
Ratneshwar Lal | US | La Jolla | 2014-03-20 / 20140080198 - MOLECULAR ZIPPER TWEEZERS AND SPRING DEVICES | 1 |
Reshma Lal | US | Portland | 2014-07-03 / 20140189356 - METHOD OF RESTRICTING CORPORATE DIGITAL INFORMATION WITHIN CORPORATE BOUNDARY | 1 |
Kanhaiya Lal | IN | Haryana | 2008-10-02 / 20080241949 - Process for preparing quetiapine fumarate | 1 |
Banwari Lal | IN | Rajasthan | 2009-01-29 / 20090029879 - PROCESS FOR ENHANCED OIL RECOVERY USING A MICROBIAL CONSORTIUM | 2 |
Raj Kishori Lal | IN | Lucknow | 2009-07-30 / 20090191292 - High essential oil and eugenol yielding cultivar of ocimum sanctum 'CIM-AYU' | 1 |
Puja Lal | IN | New Delhi | 2012-07-19 / 20120183182 - Integrated capture and analysis of documents | 1 |
Kunal Lal | IN | New Delhi | 2010-07-15 / 20100177815 - SYSTEMS AND METHODS FOR PERFORMING COMBINED EQUALIZATION IN COMMUNICATION SYSTEMS | 1 |
Sachin Lal | US | Clarksburg | 2014-06-26 / 20140177761 - METHOD AND APPARATUS FOR PROVIDING AN ENHANCED ZERO-IF RECEIVER ARCHITECTURE FOR A WIRELESS COMMUNICATIONS SYSTEM | 1 |
Jaideep Lal | CA | Ottawa | 2012-07-19 / 20120185321 - END-TO-END MULTI-VENDOR LOYALTY E-CARD SYSTEM | 1 |
Puja Lal | IN | Chennai | 2011-04-07 / 20110081051 - AUTOMATED QUALITY AND USABILITY ASSESSMENT OF SCANNED DOCUMENTS | 1 |
Lakhvir Lal | IN | Palampur | 2011-05-05 / 20110107461 - CLONING OF NOVEL GENE SEQUENCES EXPRESSED AND REPRESSED DURING WINTER DORMANCY IN THE APICAL BUDS OF TEA | 1 |
Brij Lal | IN | Himachal Pradesh | 2010-04-29 / 20100102271 - Essential oil with citronellol and rose oxides from dracocephalum heterophyllum benth and a process thereof | 1 |
Abhishek Lal | IN | Faridabad | 2016-04-07 / 20160099033 - COLUMN DECODER CIRCUITRY FOR A NON-VOLATILE MEMORY | 6 |
Bansi Lal | IN | Mumbai | 2011-07-14 / 20110172425 - NOVEL WATER BASED PROCESS FOR THE PREPARATION OF SUBSTITUTED DIPHENYLMETHYL PIPERAZINES | 5 |
Sharad Satender Lal | IN | Bangalore | 2011-01-27 / 20110022861 - REDUCING POWER CONSUMPTION IN DATA CENTERS HAVING NODES FOR HOSTING VIRTUAL MACHINES | 1 |
Sharad Lal | IN | Bangalore | 2011-02-03 / 20110029969 - ALTRUISTIC DEPENDABLE MEMORY OVERCOMMIT FOR VIRTUAL MACHINES | 1 |
Sohan Lal | IN | Hanumangarh Jn. | 2014-12-11 / 20140362085 - EFFECTIVE ARRANGEMENT OF DATA ELEMENTS | 1 |
Tanmay Lal | US | Akron | 2014-01-16 / 20140018625 - COLONOSCOPE CLOSURE DEVICE | 1 |
Reshma Lal | US | Hillsboro | 2016-03-31 / 20160094558 - SECURING SENSOR DATA | 13 |
Rakesh Lal | US | Irving | 2011-11-24 / 20110288640 - Systems, methods and apparatus for fabricating an orthopedic implant | 1 |
Rakesh M. Lal | US | Irving | 2011-11-24 / 20110287379 - Display method and system for enabling an operator to visualize and correct alignment errors in imaged data sets | 1 |
Dhananjay Lal | US | Herndon | 2015-10-15 / 20150293549 - LOAD PANEL SYSTEM | 1 |
Rahul Ravindra Lal | US | Redmond | 2014-10-23 / 20140317489 - DEVICE-INDEPENDENT VALIDATION OF WEBSITE ELEMENTS | 1 |
Preeti G. Lal | US | Burlingame | 2016-05-12 / 20160130332 - ANTIBODIES TO HUMAN SIGNAL PEPTIDE-CONTAINING PROTEINS | 5 |
Arun Lal | US | Eden Prairie | 2016-05-19 / 20160140195 - CUSTOM PARALLIZATION FOR DATABASE TABLE LOADING | 1 |
Dhananjay Lal | US | Chantilly | 2015-10-29 / 20150309516 - DOMAIN MANAGEMENT AND CONFIGURATION SETTINGS | 1 |
Birendra K. Lal | US | Lake Zurich | 2013-08-29 / 20130224866 - MEDICAL FLUID ACCESS DEVICE WITH ANTISEPTIC INDICATOR | 2 |
Amit Lal | US | Ithaka | 2014-09-18 / 20140263695 - METHOD AND APPARATUS FOR ATOMIZING AND VAPORIZING LIQUID | 1 |
Mihir Lal | US | Greer | 2011-08-18 / 20110197594 - Method of Controlling a Combustor for a Gas Turbine | 3 |
Mihir Lal | US | Greenville | 2013-01-10 / 20130008172 - Systems and Methods for Modified Wobbe Index Control With Constant Fuel Temperature | 1 |
Aprajita Lal | IN | Banaglore | 2014-08-21 / 20140237612 - PRIVACY SETTING IMPLEMENTATION IN A CO-BROWSING ENVIRONMENT | 1 |
Rakesh L. Lal | US | Isla Vista | 2014-04-03 / 20140094010 - METHOD OF FORMING ELECTRONIC COMPONENTS WITH INCREASED RELIABILITY | 1 |
Amit Lal | IN | Krishna Nagar | 2012-03-29 / 20120079607 - Request Based License Mode Selection | 3 |
Ritu Lal | US | Palo Alto | 2014-09-18 / 20140276257 - METHOD AND SYSTEM FOR REGULATING CORE BODY TEMPERATURE | 1 |
Neeraj Lal | US | Cary | 2016-05-19 / 20160143109 - Advanced Light Emitting Diode Luminaire | 1 |
Vikrant Lal | US | Sunnyvale | 2015-11-05 / 20150318952 - HYBRID OPTICAL TRANSMITTER AND/OR RECEIVER STRUCTURE | 5 |
Bansi Lal | IN | Mummbai | 2013-02-21 / 20130045993 - INHIBITORS OF CYCLIN-DEPENDENT KINASES AND THEIR USE | 1 |
Rakesh K. Lal | US | Isla Vista | 2015-09-17 / 20150263112 - ENHANCEMENT-MODE III-NITRIDE DEVICES | 9 |
Raghav Lal | US | Palo Alto | 2014-10-09 / 20140304057 - LOYALTY REWARDS OPTIMIZATION BILL PAYABLES AND RECEIVABLES SERVICE | 8 |
Sunil Lal | IN | Kundalahally | 2013-11-21 / 20130311982 - AUTOMATED UPGRADE FOR AN OPERATING SYSTEM USING A GATEWAY SERVER | 1 |
Sunil Lal | IN | Bangalore | 2013-11-21 / 20130311574 - SELF REGISTRATION OF EVENT-CONSUMERS/PRODUCERS AND AUTO DISCOVERY | 1 |
Ranjan Lal | US | Wellesley | 2013-08-29 / 20130226952 - SYSTEM AND METHOD FOR PRESENTING INPUT SUGGESTIONS IN INPUT FIELDS OF A SPECIFIC TYPE IN WEB PAGES | 1 |
Rachit Lal | US | Houston | 2014-02-27 / 20140058960 - PREDICTIVE MODELING: LITIGATION DECISION ANALYSIS AND OPTIMIZATION | 1 |
Amit Lal | IN | Hyderabad | 2014-11-27 / 20140351326 - MANAGING USER STATE OF CLOUD DESKTOPS | 2 |
Shankar Lal | MY | Petaling Jaya | 2013-03-28 / 20130078323 - ADAPTOGENIC ACTIVITY OF LABISIA PUMILA EXTRACT | 1 |
Someet K. Lal | US | Santa Clara | 2014-07-10 / 20140194134 - COMMUNICATION DURING THERMAL MITIGATION | 1 |
Gauri Sankar Lal | US | Whitehall | 2016-05-05 / 20160122467 - Amidopolyamines with Enhanced Gel-Time for Elevated Temperature Applications | 10 |
Akash Lal | IN | Bangalore | 2014-04-03 / 20140096112 - IDENTIFYING EXECUTION PATHS THAT SATISFY REACHABILITY QUERIES | 3 |
Agarwal Nand Lal | IN | Bharuch | 2015-02-19 / 20150051400 - A PROCESS FOR THE PREPARATION OF METHYLPHENIDATE HYDROCHLORIDE AND ITS INTERMEDIATES THEREOF | 1 |
Manoj Kumar Lal | IN | Bangalore | 2013-09-26 / 20130254737 - PROJECT DELIVERY SYSTEM | 1 |
Sohan Lal | IN | Hanumangarth Jn. | 2015-02-12 / 20150042660 - EFFECTIVE ARRANGEMENT OF DATA ELEMENTS | 1 |
Rakesh K. Lal | US | Isla Vista | 2015-09-17 / 20150263112 - ENHANCEMENT-MODE III-NITRIDE DEVICES | 9 |
Puja Lal | IN | Delhi | 2014-06-12 / 20140162723 - Integrated capture and analysis of documents | 1 |
Akash Lal | US | Madison | 2008-12-04 / 20080301655 - PROGRAM ABSTRACTION BASED ON PROGRAM CONTROL | 1 |
Rahul Lal | US | Redmond | 2014-06-19 / 20140172821 - GENERATING FILTERS FOR REFINING SEARCH RESULTS | 1 |
Gauri Sankar Lal | US | Whitehall | 2016-05-05 / 20160122467 - Amidopolyamines with Enhanced Gel-Time for Elevated Temperature Applications | 10 |
Rakesh Lal | IN | Ghaziabad | 2016-02-11 / 20160041738 - BIDIRECTIONAL TEXT SELECTION | 1 |
Birendra K. Lal | US | Palatine | 2010-04-22 / 20100100056 - BARRIER ASSEMBLY FOR USE WITH NEEDLELESS CONNECTOR | 2 |
Bachchu Lal | US | Pikesville | 2012-03-29 / 20120076775 - Combination of HGF Inhibitor and EGF Inhibitor to Treat Cancer | 3 |
Dhananjay Lal | US | Pittsburgh | 2011-06-16 / 20110143790 - TOPOLOGY ARRANGEMENT FOR ACHIEVING RELIABLE COMMUNICATION IN WIRELESS AUTOMOTIVE NETWORKS | 5 |
Rakesh Mohan Lal | US | Irving | 2011-03-03 / 20110055360 - NETWORK DATA TRANSMISSION METHOD AND SYSTEM | 2 |
Himanshu Lal | US | Mercer Island | 2013-05-16 / 20130124348 - PLUG-IN BASED CHIP CARD PAYMENTS | 5 |
Amit Lal | US | Ithaca | 2016-04-28 / 20160113672 - ULTRASONIC SURGICAL INSTRUMENT | 20 |
Amit Lal | US | Ithica | 2008-09-04 / 20080214967 - ULTRASONIC SURGICAL INSTRUMENT | 1 |
Archit Lal | US | Ithaca | 2013-05-16 / 20130122300 - LITHIUM-BASED COMPOUND NANOPARTICLE COMPOSITIONS AND METHODS OF FORMING THE SAME | 5 |
Rakesh Mohan Lal | US | Plano | 2009-05-28 / 20090138279 - SYSTEMS, METHODS AND APPARATUS FOR ANALYSIS AND VISUALIZATION OF METADATA INFORMATION | 2 |
Rakesh M. Lal | US | Cambridge | 2016-02-11 / 20160038033 - METHOD, SYSTEM AND APPARATUS FOR QUANTIFYING ORAL HEALTH WITH A TOOTHBRUSH | 2 |
Nicholas Lala | US | Raleigh | 2014-05-29 / 20140146068 - Slide Control for Setting Boundaries Between Regions of a Data Range | 1 |
Nazim I. Lala | US | Woodinville | 2016-01-07 / 20160006760 - DETECTING AND PREVENTING PHISHING ATTACKS | 2 |
Deepak S. Lala | US | Lower Gwynedd | 2015-08-27 / 20150239849 - INHIBITORS OF BETA-SECRETASE | 4 |
Ritesh Lala | US | San Francisco | 2014-07-03 / 20140184566 - ELECTRONIC APPARATUS, METHOD OF CONTROLLING THE SAME, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Deepak Lala | US | Fremont | 2012-07-05 / 20120173844 - APPARATUS AND METHOD FOR DETERMINING A CACHE LINE IN AN N-WAY SET ASSOCIATIVE CACHE | 3 |
Alba Lala | US | League City | 2012-06-14 / 20120149939 - Recovery of Acetic Acid from Heavy Ends in Vinyl Acetate Synthesis Process | 1 |
Alessandro Lala | IT | Napoli | 2014-10-16 / 20140308975 - METHOD FOR THE DETERMINATION OF A RECEIVER FOR LOCATION INFORMATION | 5 |
Pam Lalak | US | Valhalla | 2010-07-01 / 20100166937 - SHELF-STABLE, NON-ALCOHOLIC, HAZE-FREE MALT BEVERAGE AND METHODS | 1 |
Satish Lalam | US | Redmond | 2012-08-09 / 20120203930 - NAME-BASED CUSTOMIZATION OF EXECUTABLES FROM WEB | 1 |
Richard Anthony Lalama | US | Canton | 2013-06-27 / 20130165352 - Well Treatment Fluid Compositions and Methods of Use That Include a Delayed Release Percarbonate Formulation | 3 |
Mayank Lalan | IN | Bangalore Karnataka | 2014-12-18 / 20140369833 - Methods and Systems for Blade Health Monitoring | 1 |
Christopher Lalancette | US | Raleigh | 2015-09-10 / 20150254096 - MANAGEMENT OF INTER-DEPENDENT CONFIGURATIONS OF VIRTUAL MACHINES IN A CLOUD | 1 |
Richard Lalancette | CA | Ottawa | 2012-06-28 / 20120165100 - CROWD MOBILE SYNCHRONIZATION | 3 |
Jean-Marc Lalancette | CA | Sherbrooke | 2015-09-24 / 20150267275 - RECOVERY OF NICKEL AND COBALT FROM LATERITES BY SONIC ASSISTED SULFATATION | 7 |
Eric Lalancette | CA | Sherbrooke | 2011-05-05 / 20110104478 - STRUCTURAL FOAM AND MANUFACTURE THEREOF | 1 |
Sèbastien Lalancette | CA | Saint-Augustin De Desmaures | 2010-09-23 / 20100241008 - ECCENTRIC PRESSURE CATHETER WITH GUIDEWIRE COMPATIBILITY | 1 |
Sébastien Lalancette | CA | St-Augustin-De-Desmaures | 2012-09-13 / 20120227505 - MINIATURE HIGH SENSITIVITY PRESSURE SENSOR | 3 |
Daniel Lalancette | CA | Quebec | 2014-11-27 / 20140346289 - CABLE TRAY ASSEMBLY | 3 |
Christopher Lalancette | US | Ayer | 2016-04-28 / 20160117514 - DATA ACCESS CONTROL SYSTEMS AND METHODS | 2 |
Daniel Lalancette | US | St-Jean-Sur-Richelieu | 2013-10-03 / 20130256025 - UTILITY METER SOCKET AND CONDUIT AND METHOD OF INSTALLATION | 1 |
Christopher Lalancette | US | Somerville | 2013-08-29 / 20130227089 - BUILDING VIRTUAL MACHINE DISK IMAGES FOR DIFFERENT CLOUD CONFIGURATIONS FROM A SINGLE GENERIC VIRTUAL MACHINE DISK IMAGE | 3 |
Daniel Lalancette | CA | St-Jean-Sir-Richelieu | 2013-05-30 / 20130137294 - METERSOCKET CONNECTOR | 1 |
Daniel Lalancette | CA | Saint-Jean-Sur-Richelieu | 2008-12-18 / 20080308290 - Switch box extender grounding strap | 1 |
Sèbastien Lalancette | CA | Saint-Augustin De Desmaures | 2015-05-07 / 20150121674 - METHOD FOR DISPOSABLE GUIDEWIRE OPTICAL CONNECTION | 4 |
Sébastien Lalancette | CA | St-Augustin-De-Desmaures | 2011-03-17 / 20110066047 - ECCENTRIC PRESSURE CATHETER WITH GUIDEWIRE COMPATIBILITY | 2 |
Daniel Lalancette | CA | St-Jean-Sur-Richelieu | 2016-01-28 / 20160028217 - ELECTRICAL CABINET WITH VENTED EXHAUST | 15 |
Daniel Lalancette | CA | St-Jean-Sur-Richelieu | 2016-01-28 / 20160028217 - ELECTRICAL CABINET WITH VENTED EXHAUST | 15 |
Jocelyn Lalancette | CA | Quebec | 2008-08-28 / 20080203604 - Wood and Non-Wood Fibers Hybrid Composition and Uses Thereof | 1 |
Sebastien Lalande | FR | Toulouse | 2012-08-23 / 20120211601 - AIRCRAFT NOSE SECTION INCLUDING A LOCK FOR ACCESSING THE COCKPIT | 1 |
Hubert Lalande | FR | Cannes-La-Bocca | 2012-05-24 / 20120125571 - Heat-Dissipating Device for Space-Based Equipment, Notably for a Satellite | 1 |
Jerome Lalande | FR | Paris | 2015-10-29 / 20150308739 - Drying System | 1 |
Severine Lalande | FR | Pau | 2012-09-06 / 20120226442 - METHOD FOR POSITIONING A WELL RELATIVE TO SEISMIC IMAGE OF THE SUBSOIL | 1 |
Jerome Lalande | FR | Champigny Sur Marne | 2010-07-15 / 20100179044 - GLASS SUBSTRATE WITH REFRACTIVE INDEX GRADIENT AND MANUFACTURING PROCESS OF SAME | 2 |
Joelle Lalande | FR | Bordeaux Cauderan | 2009-04-16 / 20090098331 - METHOD OF PRODUCING A FIBROUS LAYER FOR THE MANUFACTURE OF A PREFORM OF A COMPOSITE PART | 1 |
Jerome Lalande | FR | Saint-Maur Des Fosses | 2013-12-19 / 20130333594 - CHEMICALLY RESISTANT GLASS COMPOSITION FOR THE MANUFACTURE OF GLASS REINFORCING STRANDS | 5 |
Sylvain Lalande | FR | Bauquay | 2013-12-12 / 20130332568 - METHOD OF DATA PROCESSING BY A NAVIGATION MODULE | 1 |
Jerome Lalande | FR | Chamigny Sur Marne | 2009-07-09 / 20090176639 - Method and furnace with series-arranged baths for producing glass frits | 1 |
Jerome Lalande | FR | Saint-Maur Des Fosse | 2010-09-30 / 20100248928 - GLASS STRANDS WITH LOW ALUMINA CONTENT CAPABLE OF REINFORCING ORGANIC AND/OR INORGANIC MATERIALS | 1 |
Jacques Lalane | FR | Saintorens De Gameville | 2010-01-07 / 20100000227 - AIR INTAKE FOR AN AIRCRAFT TURBINE ENGINE | 1 |
Jacques Lalane | FR | Saint Orens De Gameville | 2013-07-18 / 20130183513 - WALL MADE FROM A COMPOSITE MATERIAL REINFORCED SO AS TO LIMIT THE SPREAD OF A CRACK IN A DIRECTION | 15 |
Jacques Lalane | FR | Saint Orens | 2010-08-12 / 20100199629 - SYSTEME D'ANTI GIVRAGE ET DE DEGIVRAGE DE NACELLE DE MOTEUR D'AERONEF A TAPIS RESISTIF | 2 |
Jacques Lalane | FR | Saint Orens De Gameville | 2013-07-18 / 20130183513 - WALL MADE FROM A COMPOSITE MATERIAL REINFORCED SO AS TO LIMIT THE SPREAD OF A CRACK IN A DIRECTION | 15 |
Alshad S. Lalani | US | Tarrytown | 2008-12-11 / 20080305075 - Treatment of Hyperproliferative Diseases with Vinca Alkaloid N-Oxide and Analogs | 1 |
Alshad S. Lalani | US | Terrytown | 2012-05-03 / 20120107230 - Treatment of Hyperproliferative Diseases with Vinca Alkaloid N-Oxide Analogs | 1 |
Hamid Lalani | US | Dove Canyon | 2013-05-09 / 20130117375 - System and Method for Granular Tagging and Searching Multimedia Content Based on User Reaction | 1 |
Adil Lalani | US | Santa Clara | 2009-04-09 / 20090094652 - Methods and Apparatus for Simultaneous Uploading and Streaming of Media | 1 |
Alshad S. Lalani | US | Briarcliff Manor | 2010-01-21 / 20100016252 - Mannich Base N-Oxide Drugs | 1 |
Hubert Lalanne | FR | Colomiers | 2011-07-14 / 20110173241 - DATA INTEGRATION IN SERVICE ORIENTED ARCHITECTURES | 2 |
Frederic Lalanne | FR | Bernin | 2013-02-14 / 20130039113 - INTEGRATED DRAM MEMORY DEVICE | 3 |
Bernard Lalanne | FR | Pau | 2013-05-23 / 20130129487 - DEVICE FOR CONTROLLING PIVOTABLE VANES OF A TURBO-MACHINE | 1 |
Xavier Lalanne | FR | Melun | 2009-10-22 / 20090265109 - Method for acquiring and processing magnetometric data by local updates in real time | 1 |
Jean-Daniel Lalanne | FR | Saint Medard En Jalles | 2010-01-14 / 20100005780 - METHOD OF MANUFACTURING A CMC FLOW MIXER LOBED STRUCTURE FOR A GAS TURBINE AEROENGINE | 1 |
Philippe Lalanne | FR | Palaiseau | 2014-11-06 / 20140326945 - Optoelectronic Arrangement Provided with a Semiconductor Nanowire with a Longitudinal Section that is Surrounded by a Part of a Mirror | 3 |
Florent Lalanne | FR | St. Didier De Formans | 2011-12-15 / 20110305679 - Blood-Cholesterol-Lowering Strain of Lactobacillus Delbrueckii | 1 |
Frédérìc Lalanne | FR | Bernin | 2013-02-14 / 20130039113 - INTEGRATED DRAM MEMORY DEVICE | 1 |
Clement Lalanne | FR | Toulouse | 2013-07-11 / 20130177390 - TURBINE ENGINE SHAFT | 1 |
Nabile Lalaoua | US | Solvang | 2010-07-08 / 20100173759 - Door Mounted Gym | 1 |
Nabile Lalaoua | US | Las Vegas | 2015-07-23 / 20150202484 - BODY TRANSFORMER | 7 |
Said Lalaouna | ES | Sant Esteve Sesrovires | 2014-11-27 / 20140345908 - DEVICE FOR REDUCING THE CORONA EFFECT | 1 |
Aikaterini Lalatsa | GR | Patra | 2013-02-07 / 20130034590 - Delivery of Hydrophilic Drugs | 1 |
Aikaterini Lalatsa | GB | London | 2010-09-02 / 20100222281 - DELIVERY OF HYDROPHILIC DRUGS | 1 |
Richard Lalau | CA | North Vancouver | 2014-01-23 / 20140021887 - APPARATUS FOR REDUCING CURRENT DRAIN AND CURRENT SPIKE IMPACT ON BATTERY-POWERED ELECTRONIC DISPENSERS | 6 |
Richard Ybo Lalau | CA | North Vancouver | 2014-10-02 / 20140291437 - ELECTRONIC ROLL TOWEL DISPENSER | 2 |
Avraham Lalazar | IL | Makeret Batia | 2009-08-27 / 20090217405 - Isolated nucleotide sequences responsible for the tomato high pigment-1 mutant phenotypes (hp-1 and hp-1w) and uses thereof | 1 |
Gadi Lalazar | IL | Mevaseret Zion | 2010-09-30 / 20100249048 - BETA GLYCOLIPIDS FOR THE TREATMENT OF CALCIFICATION RELATED DEGENERATIVE DISORDERS | 1 |
Gadi Lalazar | IL | Mevasseret Zion | 2013-08-29 / 20130224216 - ANTI-LPS ENRICHED IMMUNOGLOBULIN FOR USE IN TREATMENT AND/OR PROPHYLAXIS OF A PATHOLOGIC DISORDER | 3 |
Gad Lalazar | IL | Mavasseret Zion | 2013-06-27 / 20130164302 - Immuno-Modulating Compositions for the Treatment of Immune-Mediated Disorders | 1 |
Gadi Lalazer | IL | Mevasseret | 2012-05-31 / 20120135007 - Anti-LPS Enriched Immunoglobulin Preparations For The Treatment And/Or Prophylaxis Of A Pathologic Disorder | 1 |
Nikhil Lalchandani | IN | Bangalore | 2014-01-02 / 20140006279 - Consistent Interface for Business Transaction Authorisation Request and Rejected Direct Debits Post Processing Initiation Run | 1 |
Rahmi Lale | NO | Trondheim | 2010-09-30 / 20100248972 - Enhanced Expression Method | 2 |
Makhlouf Laleg | CA | Pointe-Claire | 2013-01-17 / 20130017394 - HIGH ASPECT RATIO CELLULOSE NANOFILAMENTS AND METHOD FOR THEIR PRODUCTION | 6 |
Pooya Lalehzri | US | New Hyde Park | 2014-09-18 / 20140265258 - DETACHABLE SIDE-BY-SIDE STROLLER | 1 |
Dries Laleman | BE | Leuven | 2015-12-31 / 20150377675 - Hygienic Dispenser | 1 |
Michael C. Lalena | US | Webster | 2015-02-19 / 20150049863 - ALIGNMENT APPARATUS FOR X-RAY IMAGING SYSTEM | 13 |
Benoit Laleu | FR | Collonges-Sous-Saleve | 2015-10-15 / 20150290208 - PYRAZOLO PYRIDINE DERIVATIVES AS NADPH OXIDASE INHIBITORS | 11 |
Benoît Laleu | FR | Collonges-Sous-Saleve | 2012-12-13 / 20120316380 - Pyrazolo Piperidine Derivatives as NADPH Oxidase Inhibitors | 3 |
Iraj Lalezari | US | 2014-12-18 / 20140371316 - DERIVATIVES OF PHENOXYISOBUTYRIC ACID | 1 | |
Parviz Lalezari | US | Scarsdale | 2014-06-19 / 20140171372 - Treatments for Alzheimer's disease | 4 |
Iraj Lalezari | US | Scarsdale | 2014-06-19 / 20140171372 - Treatments for Alzheimer's disease | 2 |
Farzin Lalezari | US | Boulder | 2012-07-26 / 20120188137 - BROADBAND ANTENNA SYSTEM ALLOWING MULTIPLE STACKED COLLINEAR DEVICES AND HAVING AN INTEGRATED, CO-PLANAR BALUN | 3 |
Iraj Lalezari | US | Louisville | 2014-12-18 / 20140371316 - DERIVATIVES OF PHENOXYISOBUTYRIC ACID | 4 |
Rajesh Lalgowdar | IN | Bangalore | 2016-03-24 / 20160087923 - SELECTIVE MESSAGE REPUBLISHING TO SUBSCRIBER SUBSETS IN A PUBLISH-SUBSCRIBE MODEL | 5 |
Rajesh C. Lalgowdar | IN | Bangalore | 2015-07-30 / 20150213050 - MANAGEMENT OF LONG-RUNNING LOCKS AND TRANSACTIONS ON DATABASE TABLES | 3 |
Hariharan G. Lalgudi | US | San Diego | 2014-09-18 / 20140269895 - INTEGRATED DOWNSCALE IN VIDEO CORE | 2 |
Ramanathan S. Lalgudi | US | Westerville | 2015-12-03 / 20150342221 - MITIGATION OF ANTI-NUTRITIONAL SUBSTANCES IN PLANT MEAL | 15 |
Raghunath V. Lalgudi | US | Clayton | 2010-02-25 / 20100050302 - NUCLEIC ACID SEQUENCES FROM CYANIDIUM CALDARIUM AND USES THEREOF | 3 |
Ramanathan S. Lalgudi | US | Westerville | 2015-12-03 / 20150342221 - MITIGATION OF ANTI-NUTRITIONAL SUBSTANCES IN PLANT MEAL | 15 |
Ramanathan S. Lalgudi | US | Columbus | 2011-01-06 / 20110003231 - Fuel Cell Components Including Immobilized Heteropolyacids | 3 |
Subramanian Lalgudi | US | Natick | 2013-12-05 / 20130325420 - System and Method for Testing Causality of Tabulated S-Parameters | 1 |
Ramanathan S. Lalgudi | US | 2015-09-17 / 20150259462 - Aqueous Prepolymer Dispersions | 1 | |
Hariharan Ganesh Lalgudi | US | San Diego | 2015-07-30 / 20150215621 - RATE CONTROL USING COMPLEXITY IN VIDEO CODING | 3 |
Raghunath V. Lalgudi | US | Watertown | 2009-08-27 / 20090217414 - Nucleic acid molecules and other molecules associated with plants and uses thereof for plant improvement | 1 |
Basker R. Lalgudi | US | Chadds Form | 2010-01-07 / 20100004375 - IMPACT MODIFIED POLYPHENYLENE SULFIDE | 1 |
Raghunath Lalgudi | US | Newtonville | 2010-11-18 / 20100293663 - Nucleic Acid Molecules and Other Molecules Associated with Plants and Uses Thereof for Plant Improvement | 1 |
Basker R. Lalgudi | US | Chadds Ford | 2010-01-07 / 20100003486 - BREATHABLE, DEET-RESISTANT POLYETHER BLOCK POLYAMIDE | 1 |
Raghunath V. Lalgudi | US | Arlington | 2008-10-23 / 20080263730 - Nucleic acid molecules and other molecules associated with plants | 1 |
Hariharan G. Lalgudi | US | Tucson | 2009-05-21 / 20090129690 - LIFTING-BASED VIEW COMPENSATED COMPRESSION AND REMOTE VISUALIZATION OF VOLUME RENDERED IMAGES | 2 |
Arvind M. Lali | IN | Mumbai | 2015-09-10 / 20150252397 - CONTINUOUS BIOTRANSFORMATION OF SUBSTITUTED AROMATIC CARBOXYLIC ACIDS TO THEIR SELECTIVE ALDEHYDES AND ALCOHOLS | 3 |
Arvind Mallinath Lali | IN | Mumbai | 2013-05-30 / 20130137147 - METHOD FOR PRODUCTION OF FERMENTABLE SUGARS FROM BIOMASS | 6 |
Arvind Mallinath Lali | IN | Maharashtra | 2010-09-02 / 20100222570 - Process for production of chlorinated sucrose based on hydrophobic affinity chromatography | 1 |
Jean-Charles Lalibertá | CA | Ste-Claire | 2010-04-22 / 20100095895 - Food dispenser | 1 |
Jean-Charles Lalibertá | CA | Ste-Claire | 2010-04-22 / 20100095895 - Food dispenser | 1 |
Brian Laliberte | US | Novato | 2014-01-30 / 20140032401 - METHOD OF HIGH SPEED DOWNLOADING | 1 |
Sebastien Laliberte | CA | Ile Perrot | 2009-01-29 / 20090030048 - Novel pharmaceutical compounds | 2 |
Sebastien Laliberte | CA | Vaudreui-Dorion | 2010-03-11 / 20100063091 - RENIN INHIBITORS | 1 |
Jean-Francois Laliberte | CA | Boucherville | 2015-09-24 / 20150268937 - APPLICATION FOR BUILDER FOR INDUSTRIAL AUTOMATION | 10 |
Sebastien Laliberte | CA | Vaudreuil -Dorion | 2010-05-13 / 20100120859 - NOVEL CASE OF RENIN INHIBITORS | 1 |
Sebastien Laliberte | CA | St. Lazare | 2012-02-09 / 20120035214 - RENIN INHIBITORS | 4 |
Eric M. Laliberte | US | Spencer | 2011-05-12 / 20110108408 - VACUUM DEHYDRATOR | 1 |
Donald R. Laliberte | US | Lawrenceville | 2009-11-05 / 20090274145 - Methods, Systems, and Products for Emergency Communications | 1 |
Mark Laliberte | US | Columbia | 2010-04-01 / 20100080553 - AUTOMATIC LASER SHUTDOWN AND RECOVERY IN RESPONSE TO A LINK BREAK | 1 |
Ronald R. Laliberte | US | Prospect | 2011-07-21 / 20110173891 - SLIDING DOOR LOCK WITH DUAL BREAK-OUT RELEASE | 2 |
Helene Laliberte | US | Rochester Hills | 2014-09-25 / 20140288698 - MEDICAMENT INVENTORY SYSTEM AND METHOD | 6 |
Thierry Laliberte | CA | Quebec | 2013-05-09 / 20130112645 - PASSIVELY ACTUATED BRAKING SYSTEM | 9 |
Brian Laliberte | US | Parker | 2012-02-09 / 20120035943 - SYSTEM AND METHOD FOR ALLOCATING CONTRIBUTIONS TO RECIPIENTS AFFILIATED WITH A CAUSE | 1 |
Sebastien Laliberte | CA | Saint-Lazare | 2012-07-26 / 20120190701 - RENIN INHIBITORS | 1 |
Jean-Francois Laliberte | CA | Boucherville | 2015-09-24 / 20150268937 - APPLICATION FOR BUILDER FOR INDUSTRIAL AUTOMATION | 10 |
Thomas R. Laliberte | US | Inver Grove Heights | 2014-10-02 / 20140295135 - METHOD OF MAKING ABSORBENT FOAM COMPOSITES | 4 |
Thierry Laliberte | CA | Quebec | 2013-05-09 / 20130112645 - PASSIVELY ACTUATED BRAKING SYSTEM | 9 |
Scott Christopher Laliberte | CA | Vancouver | 2015-11-26 / 20150336831 - WASTEWATER TREATMENT PROCESS AND SYSTEM | 2 |
Eric Laliberte | US | Naperville | 2014-09-18 / 20140260861 - Blade Drop for Power Device and Method of Manufacturing Thereof | 2 |
Marc Laliberte | CA | Lasalle | 2015-07-02 / 20150183667 - METALLOID CONTAMINATED WATER SOLUTION PURIFICATION PROCESS FOR SAFE HUMAN CONSUMPTION-RATED REDUCTION OF CONTAMINANT CONCENTRATION THEREIN, BY PRECIPITATION WITHOUT OXIDATION | 1 |
Denis Laliberté | CA | Quebec | 2015-05-21 / 20150135658 - LID FOR LEAF COLLECTION WITH A BLOWER/VACUUM AND THE DISCHARGE OF DEBRIS INTO A BAG | 5 |
William Laliberté | CA | Quebec | 2015-05-21 / 20150135658 - LID FOR LEAF COLLECTION WITH A BLOWER/VACUUM AND THE DISCHARGE OF DEBRIS INTO A BAG | 5 |
Rémi Laliberté | CA | Saint-Bruno-De-Montarville | 2014-02-13 / 20140044424 - HEATING VENT CONDUIT | 1 |
Denis Laliberté | CA | Quebec | 2013-07-18 / 20130180070 - LID WITH A CURVED EDGE FOR USE WITH A LEAVES COLLECTION SYSTEM WITH A PORTABLE BLOWER/VACUUM ALLOWING THE REJECTION AND RETENTION OF DEBRIS IN A BAG | 2 |
William Laliberté | CA | Quebec | 2013-07-18 / 20130180070 - LID WITH A CURVED EDGE FOR USE WITH A LEAVES COLLECTION SYSTEM WITH A PORTABLE BLOWER/VACUUM ALLOWING THE REJECTION AND RETENTION OF DEBRIS IN A BAG | 2 |
Ronald P. Laliberty | US | Dudley | 2011-04-14 / 20110083242 - SPORT GLOVE | 3 |
Nikola Lalic | AT | St. Valentin | 2011-07-07 / 20110163753 - Sensor system and method for detection of fluids with a certain material composition | 1 |
Hrvoje Lalic | DE | Ludwigsburg | 2008-09-04 / 20080210787 - Fuel Injection Device For an Internal Combustion Engine Using Direct Fuel Injection | 1 |
Zohreh Lali-Dastjerdi | DK | Kgs. Lyngby | 2016-03-03 / 20160065324 - ALL-OPTICAL REGENERATION SYSTEM FOR OPTICAL WAVELENGTH DIVISION MULTIPLEXED COMMUNICATION SYSTEMS | 1 |
Pierre-Yves Laligand | US | Palo Alto | 2015-11-19 / 20150334457 - TV Mode Change in Accordance with Number of Viewers Present | 11 |
Pierre-Yves Laligand | US | Mountain View | 2013-03-14 / 20130063455 - Systems and Methods for Rendering User Interface Elements in Accordance with a Device Type | 1 |
Pierre-Yves Laligand | US | Palo Alto | 2015-11-19 / 20150334457 - TV Mode Change in Accordance with Number of Viewers Present | 11 |
Branislav Lalik | DE | Duisburg | 2013-08-08 / 20130204475 - METHOD FOR THE ENERGY-OPTIMIZED OPERATION OF A FLOOR-BOUND HEAVY-DUTY TRANSPORTATION VEHICLE THAT CAN BE DISPLACED ON RUBBER TIRES AND HAS AN ELECTRIC DRIVE | 1 |
Janice Lalikos | US | 2012-12-20 / 20120323283 - BONE FIXTURE ASSEMBLY | 1 | |
Janice Lalikos | US | Worcester | 2012-10-04 / 20120253407 - BONE FIXTURE ASSEMBLY | 1 |
Jacob Michael Lalinsky | US | Dearborn | 2015-11-05 / 20150313370 - MATTRESS THERMAL MANAGEMENT SYSTEM | 2 |
Jacob Michael Lalinsky | US | Berkley | 2015-11-19 / 20150334482 - SPEAKER SYSTEM | 1 |
Emmett Lalish | US | Seattle | 2015-09-10 / 20150251357 - FABRICATING FULL COLOR THREE-DIMENSIONAL OBJECTS | 2 |
Vinod A. Lalithambika | GB | Cambridgeshire | 2010-04-15 / 20100091525 - POWER CONVERTERS | 1 |
Vinod A. Lalithambika | GB | Cambridge Cambridgeshire | 2009-02-12 / 20090040796 - BIPOLAR TRANSISTOR DRIVERS | 2 |
Vinod Lalithambika | GB | Cambridge | 2015-03-05 / 20150061624 - PWM/PFM CONTROLLER FOR USE WITH SWITCHED-MODE POWER SUPPLY | 1 |
Vinod A. Lalithambika | GB | Cambridge | 2010-04-08 / 20100085115 - SIGNAL GENERATOR | 3 |
Pornchai Lalitnuntikul | TH | Samutprakarn | 2014-08-28 / 20140239886 - Automatic Protocol (AP) for USB Charger System | 1 |
Pornchai Lalitnuntikul | TH | Amphur Muang | 2014-05-08 / 20140125131 - POWER ADAPTER FOR DYNAMICALLY ADJUSTING OUTPUT VOLTAGE AND POWER SUPPLY SYSTEM WITH THE SAME | 1 |
Ludmilla Lalive | CH | Clarens | 2011-03-03 / 20110048410 - ABSORBER FOR A THERMAL SOLAR PANEL | 1 |
Francois Lalive | CH | Montreux | 2009-03-12 / 20090064992 - ABSORBER FOR A SOLAR HEATING PANEL | 1 |
Liudmila Lalive | CH | Montreux | 2009-03-12 / 20090064992 - ABSORBER FOR A SOLAR HEATING PANEL | 1 |
Francois Lalive | CH | Clarens | 2011-03-03 / 20110048410 - ABSORBER FOR A THERMAL SOLAR PANEL | 1 |
Mehul Laliwala | US | Kennesaw | 2013-08-01 / 20130194768 - Zonal Utility Control System | 1 |
Karim Lalji | US | Sudbury | 2014-11-20 / 20140343069 - COMBINATION OF SEDATIVE AND A NEUROTRANSMITTER MODULATOR, AND METHODS FOR IMPROVING SLEEP QUALITY AND TREATING DEPRESSION | 2 |
Karim Lalji | CA | West Vancouver | 2014-10-23 / 20140315910 - Combinations of Eszopiclone and Trans 4-(3,4-Dichlorophenyl)-1,2,3,4-Tetrahydro-N-Methyl-1-Napthalenamine or Trans 4-(3,4-Dichlorophenyl)-1,2,3,4-Tetrahydro-1-Napthalenamine, and Methods of Treatment of Menopause and Mood, Anxiety, and Cognitive Disorders | 5 |
David Lalji | US | Margate | 2009-07-30 / 20090189427 - VEHICLE SEAT PROTECTOR | 1 |
Alkarim "al" Lalji | US | Bothell | 2012-01-26 / 20120023084 - Computer-Implemented System And Method For Providing Searchable Online Media Content | 2 |
Karim Lalji | US | West Vancouver | 2012-05-17 / 20120123164 - Combinations of Eszopiclone and Trans 4-(3,4-Dichlorophenyl)-1,2,3,4-Tetrahydro-N-Methyl-1-Napthalenamine or Trans 4-(3,4-Dichlorophenyl)-1,2,3,4-Tetrahydro-1-Napthalenamine, and Methods of Treatment of Menopause and Mood, Anxiety, and Cognitive Disorders | 1 |
Vipul Kishore Lalka | US | Highland Park | 2012-02-09 / 20120035930 - Keyword Alerting in Conference Calls | 5 |
John P. Lalka | US | Aurora | 2008-09-04 / 20080214881 - Propylene polymer catalyst donor component | 2 |
Vipul Kishore Lalka | US | Matawan | 2013-11-14 / 20130304913 - Programmable Presence Proxy for Determining a Presence Status of a User | 2 |
Michael Stephen Lalka, Ii | US | Macomb | 2014-05-01 / 20140117190 - Support Frame Assembly And Method Of Forming A Support Frame Assembly | 1 |
Rustam Lalkaka | US | Redmond | 2016-03-17 / 20160080406 - DETECTING ANOMALOUS ACTIVITY FROM ACCOUNTS OF AN ONLINE SERVICE | 3 |
Arjun Bharat Lall | US | San Francisco | 2015-04-09 / 20150099566 - SYSTEMS AND METHODS TO CONTROL MOVEMENT BASED ON A RACE EVENT | 3 |
Sonia Lall | IN | Portland | 2012-01-26 / 20120021080 - Production and Extraction of Procyanidins from Plant Cell Cultures | 1 |
Abhishek Lall | US | Manhattan | 2013-05-23 / 20130128737 - Methods and Devices for Assigning a Wireless Communication Device to a Wireless Coverage Area Based on Early Termination Gain | 1 |
Manjinder Singh Lall | US | East Lyme | 2014-07-24 / 20140206651 - Hydroxamic Acid Derivatives Useful As Antibacterial Agents | 4 |
Namrita Lall | ZA | Hillcrest | 2015-06-25 / 20150174059 - ORAL CARE | 1 |
Pathik Sunil Lall | US | Raleigh | 2013-08-22 / 20130218938 - FLOATING-POINT ADDER WITH OPERAND SHIFTING BASED ON A PREDICTED EXPONENT DIFFERENCE | 2 |
Carolyn Lall | US | Los Angeles | 2012-07-12 / 20120179220 - METHODS AND SYSTEMS FOR DETERMINING IF AN ARRHYTHMIA INITIATED IN AN ATRIUM OR A VENTRICLE | 1 |
Raj Lall | US | 2012-07-12 / 20120178816 - CARBAMIDE PEROXIDE TREATMENTS FOR THE REPRODUCTIVE TRACT | 1 | |
Jason Lall | CA | Guelph | 2011-05-19 / 20110114196 - Electronic pressure regulator | 1 |
Manjinder S. Lall | US | East Lyme | 2014-03-27 / 20140088093 - TRICYCLIC TETRAHYDROQUINOLINE ANTIBACTERIAL AGENTS | 1 |
Sonia Lall | US | Portland | 2013-12-05 / 20130323843 - POLYPHENOL PRODUCTION BY VACCINIUM MYRTILLUS CELL CULTURES | 2 |
Pravat Kumar Lall | US | Sunnyvale | 2012-04-26 / 20120102545 - METHOD AND SYSTEM FOR PROTECTING AGAINST UNKNOWN MALICIOUS ACTIVITIES BY DETERMINING A REPUTATION OF A LINK | 1 |
Ravi Lall | US | Portland | 2015-07-09 / 20150194953 - Hot-Socket Circuitry | 2 |
Pravat Lall | US | Sunnyvale | 2013-04-11 / 20130091580 - Detect and Prevent Illegal Consumption of Content on the Internet | 1 |
Rajiv Lall | US | Menomonie | 2016-05-12 / 20160128932 - DENTAL HARD CHEW SUPPLEMENTS CONTAINING ANTIMICROBIAL ACTIVES | 4 |
Terrence Lall | CA | Toronto | 2015-08-27 / 20150238167 - DUAL MODALITY ENDOCAVITY BIOPSY IMAGING SYSTEM AND METHOD | 2 |
Manjinder S. Lall | US | Groton | 2015-04-23 / 20150111884 - TRICYCLIC TETRAHYDROQUINOLINE ANTIBACTERIAL AGENTS | 2 |
Nitesh Lall | IN | Balaghat | 2010-12-16 / 20100318553 - PRODUCT FIX-EFFECTIVENESS TRACKING AND NOTIFICATION SYSTEM AND METHOD | 3 |
Tracey Deborah Lall | US | Astoria | 2014-09-18 / 20140279304 - Method, System and Program Product for Matching of Transaction Records | 1 |
Carolyn Anjali Lall | US | Woburn | 2015-05-28 / 20150148696 - Electrocardiogram Pace Pulse Detection and Analysis | 1 |
Brejesh Lall | IN | New Delhi | 2015-03-19 / 20150078654 - Visual Descriptors Based Video Quality Assessment Using Outlier Model | 3 |
Suhail David Lall | IN | Bangalore | 2014-05-22 / 20140143270 - GENERATING DYNAMIC DRILLDOWN REPORTS | 1 |
Manjinder Lall | US | East Lyme | 2015-05-28 / 20150148326 - Monobactams | 3 |
Ashwin Lall | US | Rochester | 2013-05-16 / 20130124923 - Device and Method for Detecting and Diagnosing Correlated Network Anomalies | 5 |
Nigel Lall | CA | Toronto | 2015-07-09 / 20150193869 - SYSTEMS AND METHODS FOR PROVIDING BALANCE NOTIFICATIONS TO CONNECTED DEVICES | 4 |
Justin Lall | TT | Valley View | 2013-06-20 / 20130152305 - MATTRESS SUPPORT ELEMENT | 1 |
Ravinder P. Lall | US | Clarksville | 2012-08-30 / 20120217402 - TACTICAL CHEMICAL BIOLOGICAL THREAT DETECTION | 2 |
Namrita Lall | ZA | Pretoria | 2015-04-30 / 20150118337 - EXTRACT OF GREYIA RADLKOFERI AND USE THEREOF | 1 |
Manjit Lall | GB | Glasgow | 2012-04-19 / 20120090183 - Cutting Tool | 1 |
Jorma Lalla | FI | Littoinen | 2014-03-06 / 20140062673 - APPARATUS COMPRISING A READER AND METHOD FOR CONTROLLING THE READER | 1 |
Steven Lalla | US | Austin | 2013-07-25 / 20130190041 - Smartphone Speakerphone Mode With Beam Steering Isolation | 1 |
Adrienne Lalla | US | College Point | 2011-12-01 / 20110295676 - METHODS AND APPARATUS FOR RECOGNIZING COMPACT DISCS AND ISSUING CORRESPONDING CREDITS | 1 |
Etho Satish Lalla | AU | Brisbane | 2014-09-18 / 20140274831 - GEAR LUBRICANT COMPRISING CARBON BLACK | 2 |
Bernd Lalla | DE | Koeln | 2010-12-23 / 20100324257 - OMEGA-AMINO CARBOXYLIC ACIDS, OMEGA-AMINO CARBOXYLIC ACID ESTERS, OR RECOMBINANT CELLS WHICH PRODUCE LACTAMS THEREOF | 1 |
Robert Lalla | DE | Lorrach | 2013-08-01 / 20130197818 - Measuring Arrangement for Registering Chemical and/or Physical, Measured Variables, as well as Measuring Device therefor | 4 |
Helmut Lalla | DE | Muhlheim | 2011-05-19 / 20110119170 - SYSTEM AND METHOD FOR PERFORMING AN OPENING AUCTION OF A DERIVATIVE | 1 |
Caroline Helen Lalla | US | Cary | 2015-09-10 / 20150254358 - DEVICE TASK SUGGESTION GENERATOR | 1 |
Nathaniel C. Lallatin | US | Park City | 2010-06-10 / 20100143290 - MONOCLONAL ANTIBODIES TO HUMAN THYMIDINE KINASE TO TREAT CANCER | 2 |
Boris Lalleman | FR | Paris | 2016-05-19 / 20160136077 - OXIDATION DYEING PROCESS USING A COMPOSITION COMPRISING AN AMINOBENZIMIDAZOLONE OXIDATION BASE AND A METAL CATALYST | 19 |
Anne-Sophie Lalleman | FR | Chevreuse | 2013-04-18 / 20130094627 - PORTABLE AND VERSATILE X-RAY OR GAMMA IMAGING DEVICE FOR NON-DESTRUCTIVE EXAMINATION OF SUSPICIOUS PACKAGES, INTEGRATING TRANSMISSION AND BACKSCATTERING IMAGING TECHNIQUES | 1 |
Jean-Yves Lallemand | FR | Palaiseau | 2012-02-16 / 20120040930 - TETRACYCLIC TERPENE SERIES COMPOUNDS, METHODS FOR PREPARING SAME, USES THEREOF AS MEDICINES AND PHARMACEUTICAL COMPOUNDS CONTAINING SAME | 2 |
Frédéric Lallemand | FR | Fresnes | 2016-03-24 / 20160082107 - COMPOSITIONS CONTAINING QUATERNARY AMMONIUM COMPOUNDS | 14 |
Bénédicte Lallemand | BE | Waimes | 2010-09-02 / 20100222576 - 2-Oxo-1-pyrrolidine derivatives, processes for preparing them and their uses | 1 |
Michael Lallemand | FR | Dardilly | 2014-08-28 / 20140238349 - Device for Dispensing a Liquid Additive Into a Fuel Circulation Circuit for an Internal Combustion Engine, Vehicle Comprising Such a Device, And Method For Using Said Device | 1 |
Bénédicte Lallemand | BE | Namur | 2012-02-09 / 20120035239 - 2-OXO-1-PYRROLIDINE DERIVATIVES, PROCESSES FOR PREPARING THEM AND THEIR USES | 1 |
Maud Isabelle Lallemand | FR | Beauvais | 2013-05-23 / 20130129897 - FROZEN CONFECTIONERY PRODUCT WITH A NATURAL STABILISER | 1 |
Mickaël Lallemand | FR | Toulouse | 2013-05-16 / 20130119193 - METHOD AND DEVICE FOR THE LATERAL STABILIZATION OF AN AIRCRAFT | 1 |
Frédéric Lallemand | FR | Fresnes | 2016-03-24 / 20160082107 - COMPOSITIONS CONTAINING QUATERNARY AMMONIUM COMPOUNDS | 14 |
Maud Lallemand | FR | Beauvais | 2011-07-28 / 20110183041 - FROZEN CONFECTIONERY HAVING HIGH PROTEIN AND LOW FAT CONTENT | 1 |
Mickaël Lallemand | FR | Toulouse | 2013-05-16 / 20130119193 - METHOD AND DEVICE FOR THE LATERAL STABILIZATION OF AN AIRCRAFT | 1 |
Michael Lallemand | FR | Saint-Denis | 2015-08-20 / 20150232775 - SYNERGISTIC DETERGENT AND ACTIVE METAL COMPOUND COMBINATION | 11 |
Bénédicte Lallemand | BE | Waimes | 2010-09-02 / 20100222576 - 2-Oxo-1-pyrrolidine derivatives, processes for preparing them and their uses | 1 |
Frédéric Lallemand | FR | Fresnes | 2012-03-08 / 20120058187 - WATER-IN-OIL TYPE EMULSION FOR TREATING A DISEASE OF THE EYE | 5 |
Maud Isabelle Lallemand | FR | Beauvals | 2016-01-28 / 20160021912 - FROZEN CONFECTIONERY PRODUCT WITH IMPROVED STABILITY | 1 |
Bénédicte Lallemand | BE | Waimes | 2010-09-02 / 20100222576 - 2-Oxo-1-pyrrolidine derivatives, processes for preparing them and their uses | 1 |
Michael Lallemand | FR | Saint-Denis | 2015-08-20 / 20150232775 - SYNERGISTIC DETERGENT AND ACTIVE METAL COMPOUND COMBINATION | 11 |
Eliette Lallemand | FR | Argenteuil | 2010-11-18 / 20100291199 - Compositions and Methods For The Treatment and Prevention of Disease | 1 |
Christopher Lallemand | FR | Paris | 2011-08-04 / 20110189658 - CELL, METHOD AND KIT FOR CONDUCTING AN ASSAY FOR NEUTRALIZING ANTIBODIES | 1 |
Bénédicte Lallemand | BE | Namur | 2012-02-09 / 20120035239 - 2-OXO-1-PYRROLIDINE DERIVATIVES, PROCESSES FOR PREPARING THEM AND THEIR USES | 1 |
Bénédicte Lallemand | BE | Waimes | 2010-09-02 / 20100222576 - 2-Oxo-1-pyrrolidine derivatives, processes for preparing them and their uses | 1 |
Marco Lallemand | DE | Burgdorf | 2012-08-16 / 20120205439 - INTERNET COMMUNITY BASED COUNTERFEIT AND GREY MARKET COMBATING METHOD | 1 |
Fabrice Lallemand | FR | Bussieres | 2016-02-18 / 20160047056 - ELECTROPLATING BATH FOR ZINC-IRON ALLOYS, METHOD FOR DEPOSITING ZINC-IRON ALLOY ON A DEVICE AND SUCH A DEVICE | 1 |
Joe Lallemand | DE | Muenchen | 2015-10-29 / 20150310265 - Method and System for Proactively Recognizing an Action of a Road User | 1 |
Christophe Lallemand | FR | Paris | 2009-06-25 / 20090162889 - GENE REPORTER ASSAY, KIT, AND CELLS FOR DETERMINING THE PRESENCE AND/OR THE LEVEL OF A MOLECULE THAT ACTIVATES SIGNAL TRANSDUCTION ACTIVITY OF A CELL SURFACE PROTEIN | 3 |
Benedicte Irma Leonce Frederique Lallemand | BE | Brussels | 2010-06-03 / 20100137302 - Fused Thiazole Derivatives as Kinase Inhibitors | 1 |
Alex Lallemant | FR | Fegersheim | 2016-05-19 / 20160139033 - Device for Compensating for the Drift of a Phase Shift of a Device for Modulating the Polarization State of a Light Beam | 1 |
Mathieu Lallemant | FR | Maison Laffitte | 2011-05-19 / 20110114211 - Two-Shutter Three-Way Valve | 1 |
Berangere Lallemant | FR | Paris | 2014-10-09 / 20140301715 - Map Your Movie | 2 |
Mathieu Lallemant | FR | Maisons-Laffitte | 2016-05-19 / 20160138464 - ASSEMBLY FOR AN AIR CIRCUIT OF A HEAT ENGINE | 11 |
Nicolas Alban Lallemant | NL | Veldhoven | 2012-01-12 / 20120008113 - LITHOGRAPHIC APPARATUS, CONTROL SYSTEM AND DEVICE MANUFACTURING METHOD | 1 |
Mathieu Lallemant | FR | Maisons-Laffitte | 2016-05-19 / 20160138464 - ASSEMBLY FOR AN AIR CIRCUIT OF A HEAT ENGINE | 11 |
Sylvain Lallemant | FR | Paris | 2009-02-05 / 20090033853 - Liquid crystal display comprising improved switching means at the display periphery | 1 |
Cendrine Lallement | FR | Bouzy | 2011-07-07 / 20110162327 - METHOD AND PLANT FOR PACKAGING LIQUID PRODUCTS IN A FLEXIBLE BAG | 2 |
Dominique L. Lallement | FR | Grenoble | 2011-12-15 / 20110307115 - AUTOMATIC MATCHING OF SOURCES TO LOADS | 1 |
Fabrice Lallement | FR | Grenoble | 2014-07-03 / 20140183601 - METHOD FOR TRANSFERRING A LAYER OF A SEMICONDUCTOR AND SUBSTRATE COMPRISING A CONFINEMENT STRUCTURE | 4 |
Sebastien Lallement | FR | Verzy | 2014-09-18 / 20140270537 - APPARATUS AND METHOD FOR DIGITAL MICROSCOPY IMAGING | 1 |
Michael Kenneth Lallement | US | Wichita | 2015-04-02 / 20150090810 - THRUST REVERSER HYDRAULIC ACTUATION SYSTEM WITH SERVO SYNCHRONIZATION | 1 |
Michael Lallement | US | Wichita | 2010-10-21 / 20100264676 - TERTIARY LOCK FOR PIVOT DOOR THRUST REVERSER | 1 |
Régis Lallement | FR | Cestas | 2011-05-05 / 20110100182 - MACHINE FOR AUTOMATICALLY CUTTING SHEET MATERIALS PROVIDED WITH A BULGED DEPRESSION BOX | 1 |
Dominique Lallement | FR | Grenoble | 2015-12-17 / 20150362981 - GROUPING POWER SOURCES AND POWER LOADS TO GROUPS THAT SHARE SIMILAR PROPERTIES | 1 |
Yannick Lallement | CA | Toronto | 2014-08-21 / 20140236663 - SYSTEM AND METHOD FOR PROVIDING UNIFIED WORKFLOWS INTEGRATING MULTIPLE COMPUTER NETWORK RESOURCES | 1 |
Fabrice Lallement | FR | Aix Les Bains | 2013-06-06 / 20130139946 - PROCESS FOR BONDING TWO SUBSTRATES | 4 |
Régis Lallement | FR | Cestas | 2011-05-05 / 20110100182 - MACHINE FOR AUTOMATICALLY CUTTING SHEET MATERIALS PROVIDED WITH A BULGED DEPRESSION BOX | 1 |
Bernard Louis Lallement | FR | Eaubonne | 2015-07-30 / 20150209861 - METHOD OF PREHEATING A SET OF SHELL MOLDS FOR LOST-WAX CASTING | 1 |
Susana Lallena | ES | Madrid | 2015-05-21 / 20150139588 - HYBRID FIBER CONNECTOR PATCH CORD ASSEMBLIES | 2 |
Vivien Lalleron | FR | Juvisy-Sur-Orge | 2016-02-11 / 20160040779 - METHOD FOR CONTROLLING STATIONARY CLUTCHING OF A GEARBOX | 1 |
David D. Lalley | US | Rochester | 2009-12-10 / 20090304419 - ACTIVE ROTATION OF AIR KNIFE FOR INCREASED PERFORMANCE | 1 |
Marc Lalley | US | Franklin | 2012-11-01 / 20120274640 - Quasi-Three-Dimensional Display Apparatus | 3 |
David Lalley | US | Webster | 2009-11-19 / 20090285608 - METHOD AND APPARATUS FOR AUTOMATIC FUSER WEB MATERIAL ADVANCEMENT IN AN IMAGE PRODUCTION UNIT | 1 |
David Lalley | US | Rochester | 2010-09-02 / 20100221046 - APPARATUSES USEFUL FOR PRINTING AND METHODS OF STRIPPING MEDIA FROM SURFACES IN APPARATUSES USEFUL FOR PRINTING | 1 |
Matthew Lalley | US | Franklin | 2012-11-01 / 20120274640 - Quasi-Three-Dimensional Display Apparatus | 3 |
Tuomo Lalli | FI | Oulu | 2015-07-16 / 20150196822 - PRECISION GOLF COURSE MAP | 1 |
Lauri Lalli | FI | Joensuu | 2016-04-28 / 20160117245 - APPARATUS, A SYSTEM, A METHOD AND A COMPUTER PROGRAM FOR ERASING DATA STORED ON A STORAGE DEVICE | 1 |
Claudia Lalli | IT | Firenze | 2010-04-08 / 20100087326 - HETEROCYLIC COMPOUNDS CONTAINING THE MORPHOLINE NUCLEUS THEIR PREPARATION AND USE | 1 |
Anthony S. Lalli | US | Santa Fe | 2011-07-28 / 20110179765 - Jet engine shield and deicer | 1 |
Mike Lalli | US | Somerville | 2015-09-10 / 20150254880 - METHODS AND DEVICES FOR DISPLAYING TREND AND VARIABILITY IN A PHYSIOLOGICAL DATASET | 1 |
Michael Lalli | US | Haverhill | 2014-03-13 / 20140073895 - Electrode Padset | 3 |
Jason D. Lalli | US | Washington | 2015-09-03 / 20150246830 - Electrocoagulation System Using Three Phase AC Power | 2 |
Jennifer Hoyt Lalli | US | Blacksburg | 2012-08-16 / 20120204551 - SELF-ASSEMBLED FILMS AND PROCESSES THEREOF | 11 |
Franck Lalli | FR | Pertuis | 2010-02-11 / 20100032098 - WELDING DEVICE FOR PLASTIC BAGS AND METHOD OF HEATING ITS HEATING STRIPS | 1 |
Jennifer Hoys Lalli | US | Blacksburg | 2008-10-09 / 20080245413 - SELF ASSEMBLED PHOTOVOLTAIC DEVICES | 1 |
Jennifer Hoyt Lalli | US | Blacksburg | 2012-08-16 / 20120204551 - SELF-ASSEMBLED FILMS AND PROCESSES THEREOF | 11 |
Anthony Salvatore Lalli. | US | Santa Fe | 2015-02-19 / 20150050395 - Wrapping for string cheese | 1 |
Pierre-Michel Lallican | FR | Petit Mars | 2015-10-08 / 20150286886 - SYSTEM AND METHOD FOR SUPERIMPOSED HANDWRITING RECOGNITION TECHNOLOGY | 3 |
Pierre-Michel Lallican | FR | Nantes Cedex 3 | 2015-12-10 / 20150356360 - SYSTEM AND METHOD FOR SUPERIMPOSED HANDWRITING RECOGNITION TECHNOLOGY | 1 |
Jean-Pierre Lallier | FR | Saint Bonnet De Mure | 2013-08-22 / 20130217780 - Biostatic Neutralizing Composition for Aqueous Fluids | 8 |
Jean-Pierre Lallier | FR | Saint Bonnet De Mure | 2013-08-22 / 20130217780 - Biostatic Neutralizing Composition for Aqueous Fluids | 8 |
Francois Lallier | FR | Saint-Pol De Leon | 2008-12-11 / 20080305178 - USE OF A HIGH MOLECULAR WEIGHT EXTRACELLULAR HAEMOGLOBIN AS A BLOOD SUBSTITUTE | 1 |
Justin Lallinger | US | Durham | 2009-11-19 / 20090287350 - PHARMACEUTICAL DISPENSING SYSTEMS AND GRAPHICAL USER INTERFACES ASSOCIATED WITH SAME | 2 |
John Kenneth Lallo | US | Boynton Beach | 2011-09-29 / 20110232536 - Multi-Functional Apparatus For Aiding Cleaning Of Edged Surfaces And Storage | 1 |
Anita Lalloo | US | Audubon | 2015-10-08 / 20150283077 - CONTROLLED RELEASE HYDROGELS | 2 |
Yvan Lallot | FR | Bollene | 2008-09-18 / 20080228022 - Vacuumable Gel for Decontaminating Surfaces and Use Thereof | 1 |
Nicolas Lallouet | FR | Fiennes | 2015-03-19 / 20150080221 - SUPERCONDUCTING CABLE JOINT | 8 |
Nicolas Lallouet | FR | Paris | 2009-10-22 / 20090264296 - CONNECTION ARRANGEMENT FOR TWO SUPERCONDUCTOR CABLES | 1 |
M. Laurent Lallouet | FR | Equemauville | 2015-09-17 / 20150260455 - METHOD FOR FILTERING AN AIR FLOW IN A GRAIN DRYER | 1 |
Nicolas Lallouet | FR | Siennes | 2011-11-03 / 20110269630 - ARRANGEMENT WITH A SUPERCONDUCTIVE CABLE | 1 |
Nicolas Lallouet | US | 2010-02-04 / 20100029488 - Termination for a superconductive cable | 1 | |
Nicolas Lallouet | FR | Fiennes | 2015-03-19 / 20150080221 - SUPERCONDUCTING CABLE JOINT | 8 |
Sean Lalloway | US | Danbury | 2009-12-31 / 20090320659 - Method and apparatus for making storage container from plastic bottle | 1 |
Tero Lallukka | FI | Mikkeli | 2016-04-21 / 20160107266 - METHOD AND A PLATE MODULE FOR MANUFACTURING A THERMAL MODIFICATION KILN | 1 |
Pamela Kaur Lally | US | Atlanta | 2016-01-28 / 20160023836 - MICROWAVABLE PREPACKAGED DRINK CONTAINER | 1 |
Fiona Francesca Lally | US | New Lebanon | 2013-06-27 / 20130166324 - SYSTEM AND METHOD FOR A COMBINATION GROUP AND INDIVIDUAL TRAVEL INSURANCE PROGRAM | 1 |
John Martin Lally | US | Bendbrook | 2013-09-05 / 20130228943 - ANTIMICROBIAL MEDICAL DEVICES | 2 |
Thomas Lally | US | Oak Brook | 2015-09-10 / 20150250924 - Multi-Purpose Bio-Material Composition | 2 |
Maeve Lally | IE | Dublin | 2011-02-24 / 20110046182 - EFFICIENT ASPIRIN PRODRUGS | 1 |
Olaf Lally | IE | Galway | 2016-05-19 / 20160136374 - TRACHEAL TUBE POSITIONING DEVICES AND METHODS | 7 |
Olaf James Lally | IE | Galway | 2014-09-18 / 20140261403 - PHONATION ENABLED TRACHEAL APPARATUS | 1 |
Adam P. Lally | US | Cold Spring | 2016-05-05 / 20160125013 - EVALUATING PASSAGES IN A QUESTION ANSWERING COMPUTER SYSTEM | 20 |
John Martin Lally | US | Lilburn | 2012-11-01 / 20120276278 - MEDICAL DEVICES HAVING ANTIMICROBIAL COATINGS THEREON | 4 |
Michelle Lally | US | Cumberland | 2016-01-07 / 20160002304 - TREATING HUMAN IMMUNODEFICIENCY VIRUS INFECTIONS | 1 |
Edward A. Lally | US | La Jolla | 2013-11-07 / 20130296321 - CRYSTALLINE FORMS AND PROCESSES FOR THE PREPARATION OF PHENYL-PYRAZOLES USEFUL AS MODULATORS OF THE 5-HT2A SEROTONIN RECEPTOR | 2 |
Nick Lally | US | Newton | 2012-08-16 / 20120208677 - Lip builder | 1 |
Richard W. Lally | US | Virginia Beach | 2014-09-04 / 20140246548 - ROTATING HOLDER ASSEMBLY AND KIT | 1 |
Regan Ute Lally | US | Oyster Bay | 2013-07-25 / 20130187299 - Pure and Humid Clean Air Attachment for Hot Air Heating Systems and Air conditioning Vents | 1 |
Vincent Lally | US | White Plains | 2015-10-29 / 20150312407 - CONTEXT PROFILE IDENTIFICATION AND SHARING | 1 |
Thomas Jospeh Lally | US | Oak Brook | 2014-03-20 / 20140079942 - FIRE-RETARDANT COATING, METHOD FOR PRODUCING FIRE-RETARDANT AND HEAT-RESISTNAT BUILDING MATERIALS | 1 |
Philip M. Lally | US | Palo Alto | 2009-10-22 / 20090261925 - SLOW WAVE STRUCTURES AND ELECTRON SHEET BEAM-BASED AMPLIFIERS INCLUDING SAME | 1 |
James P. Lally | US | Odenton | 2010-11-04 / 20100278313 - DIGITAL SUBSCRIBER LINE TESTING TOOL | 1 |
Evan M. Lally | US | Blacksburg | 2015-12-03 / 20150346053 - DISPERSION CORRECTION IN OPTICAL FREQUENCY-DOMAIN REFLECTOMETRY | 2 |
Robert William Lally | US | Orchard Park | 2010-09-02 / 20100221692 - Educational Pendulum | 1 |
Brian E. Lally | US | Wyncote | 2011-08-04 / 20110190400 - Novel Compounds for Treatment of Malignant Tumors | 1 |
John Martin Lally | US | Laguna Niguel | 2008-08-28 / 20080203592 - Method for imparting hydrogel contact lenses with desired properties | 1 |
Michael F. Lally | US | Lawton | 2012-06-07 / 20120142083 - BIOFILTER | 2 |
Charles Lally | US | Vista | 2009-03-26 / 20090083436 - Wireless broadcast protocol | 1 |
Thomas J. Lally | US | Oak Brook | 2009-03-26 / 20090077760 - Fire-retardant, method for manufacturing fire-retardant cellulose-based | 2 |
Adam Lally | US | Cold Spring | 2014-09-11 / 20140258286 - SYSTEM AND METHOD FOR PROVIDING ANSWERS TO QUESTIONS | 3 |
John Martin Lally | US | Benbrook | 2014-04-24 / 20140112994 - Method for Making Medical Devices Having Antimicrobial Coatings Thereon | 3 |
Kenneth S. Lally | US | Honeoye Falls | 2010-01-14 / 20100008515 - MULTIPLE ACOUSTIC THREAT ASSESSMENT SYSTEM | 1 |
Thomas Joseph Lally | US | Oak Brook | 2015-11-05 / 20150314045 - BIO-MATERIAL COPOSITION AND METHOD OF USE | 3 |
Adam P. Lally | US | Cold Spring | 2016-05-05 / 20160125013 - EVALUATING PASSAGES IN A QUESTION ANSWERING COMPUTER SYSTEM | 20 |
Brett A. Lally | US | Metairie | 2011-07-21 / 20110176858 - CONVEYOR SHAFT ASSEMBLY WITH SPRING CLIP | 3 |
Thomas Lally | US | Chicago | 2012-12-06 / 20120308552 - Hemostatic bio-material composition and method | 2 |
Katherine Anne Lally | US | Orchard Park | 2010-09-02 / 20100221692 - Educational Pendulum | 1 |
Jerald A. D. Lalman | CA | Windsor | 2011-11-24 / 20110287926 - Method of surface treatment of aluminum foil and its alloy and method of producing immobilized nanocatalyst of transition metal oxides and their alloys | 2 |
Jerald A. Lalman | CA | Windsor | 2016-03-03 / 20160064758 - Microbial Fuel Cell for Generating Electricity, and Process for Producing Feedstock Chemicals Therefor | 1 |
Johanna P. Lalman | CA | Winnipeg | 2010-02-11 / 20100035697 - Golf club moveable disc shaft angle adjustment technology | 2 |
Helene Lalo | FR | Toulouse | 2011-09-15 / 20110223679 - METHOD OF SEEKING AT LEAST ONE ANALYTE IN A MEDIUM LIKELY TO CONTAIN IT | 2 |
Cyril Lalo | US | Los Angeles | 2013-08-15 / 20130212137 - Tip Calculator | 5 |
Eyal Lalo | US | Hallandale | 2011-08-25 / 20110205858 - COUPLING ASSEMBLY FOR A WRISTWATCH | 1 |
Jean-Baptiste Laloe | US | Saratoga Springs | 2015-09-24 / 20150270142 - DE-OXIDATION OF METAL GATE FOR IMPROVED GATE PERFORMANCE | 3 |
Dennis P. Laloge | US | Batesville | 2010-01-07 / 20100000017 - Lift System with Kinematically Dissimilar Lift Mechanisms | 1 |
Filippo La Loggia | IT | Gropello Cairol | 2010-12-30 / 20100331539 - PROCESS FOR THE PREPARATION OF PREGNANE DERIVATIVES | 1 |
Barry P. Lalomia | US | Corning | 2011-12-01 / 20110289969 - APPARATUS AND METHOD FOR CONTROLLING THICKNESS OF A FLOWING RIBBON OF MOLTEN GLASS | 1 |
Mary Lalomia | US | Snoqualmie | 2011-03-03 / 20110054266 - METHOD FOR PROTOCOL CREATION IN A DIAGNOSTIC IMAGING SYSTEM | 1 |
Mary J. Lalomia | US | Portland | 2015-10-22 / 20150304594 - Identifying Ancillary Information Associated With An Audio/Video Program | 5 |
Brent S. Lalomia | US | Portage | 2015-04-16 / 20150105740 - SURGICAL WASTE COLLECTION UNIT WITH A MANIFOLD RECEIVER THAT IS OFFSET RELATIVE TO THE HORIZONTAL | 5 |
John R. Lalonde | US | Lake Elmo | 2015-12-10 / 20150352357 - EXTERNAL STIMULATION THERAPY FOR DORSAL GENITAL NERVE STIMULATION | 2 |
Robert Lalonde | US | Wyomissing | 2011-05-26 / 20110119868 - Method of Making A Custom Sports Handle | 1 |
Olivier Lalonde | CA | Montreal | 2014-12-04 / 20140354434 - METHOD AND SYSTEM FOR MODIFYING A MEDIA ACCORDING TO A PHYSICAL PERFORMANCE OF A USER | 1 |
James C. Lalonde | US | Farmington Hills | 2009-02-19 / 20090044694 - Adjustable louvered armor window system | 1 |
Eric C. Lalonde | US | Mountain View | 2010-09-16 / 20100235813 - METHOD AND SYSTEM FOR CONFIGURING SOFTWARE MODULES TO EXECUTE IN AN EXECUTION ENVIRONMENT | 1 |
James Lalonde | US | Palo Alto | 2013-04-11 / 20130089898 - BIOCATALYSTS AND METHODS FOR THE SYNTHESIS OF (S)-3-(1-AMINOETHYL)-PHENOL | 14 |
John Lalonde | US | Lake Elmo | 2015-07-23 / 20150206408 - MEDICAL DATA TRANSPORT OVER WIRELESS LIFE CRITICAL NETWORK | 14 |
Judith Lalonde | US | Bryn Mawr | 2014-10-30 / 20140323740 - IDO Inhibitors | 2 |
Rebecca Lalonde | US | Berkeley | 2012-04-19 / 20120094980 - PYRIDYL INHIBITORS OF HEDGEHOG SIGNALLING | 1 |
Sébastien Lalonde | CA | St-Lazare | 2010-08-12 / 20100205313 - Scalable NAT Traversal | 1 |
Lauren M. Lalonde | US | Saugatuck | 2015-06-25 / 20150176166 - LAUNDRY TREATING APPLIANCE WITH A STATIC TUB | 3 |
Frederick James Lalonde | CA | Ottawa | 2014-06-19 / 20140169791 - IN-SKIN WAVELENGTH DIVISION MULTIPLEX (WDM) PATH COMPUTATION | 1 |
Jean-Pierre Lalonde | CA | Candiac | 2016-03-17 / 20160074090 - BALLOON DESIGN TO ENHANCE COOLING UNIFORMITY | 25 |
Matthew Lalonde | US | Cleveland Heights | 2011-03-31 / 20110076677 - METHOD FOR DETECTING SINGLE NUCLEOTIDE POLYMORPHISMS | 1 |
Eric Lalonde | US | Santa Clara | 2016-02-25 / 20160055062 - Systems and Methods for Maintaining a Virtual Failover Volume of a Target Computing System | 2 |
Paul Lalonde | US | Victoria | 2011-06-23 / 20110148894 - DEMAND-PAGED TEXTURES | 1 |
Michael G. Lalonde | US | Alpharetta | 2014-05-08 / 20140123977 - SLEEP-ACTIVATED CPAP MACHINE | 9 |
Chris Lalonde | US | Campbell | 2014-09-04 / 20140250532 - A RENDER ENGINE, AND METHOD OF USING THE SAME, TO VERIFY DATA FOR ACCESS AND/OR PUBLICATION VIA A COMPUTER SYSTEM | 7 |
Michael G. Lalonde | US | Alpharetta | 2014-05-08 / 20140123977 - SLEEP-ACTIVATED CPAP MACHINE | 9 |
Sylvie Lalonde | US | Washington | 2009-07-09 / 20090178149 - Polyamine Sensors and Methods of Using the Same | 1 |
John Lalonde | US | Lake Elmo | 2015-07-23 / 20150206408 - MEDICAL DATA TRANSPORT OVER WIRELESS LIFE CRITICAL NETWORK | 14 |
Sylvie Lalonde | US | Stanford | 2011-08-25 / 20110209248 - NOVEL SUGAR TRANSPORTERS | 2 |
Jean-Philippe Lalonde | AU | Western Australia | 2010-02-25 / 20100048450 - Sumoylation Control Agent and Uses Thereof | 2 |
Jean-Phillippe Lalonde | AU | Western Australia | 2010-08-26 / 20100215637 - Agent for the Treatment of Hormone-Dependent Disorders and Uses Thereof | 1 |
Jean-Philippe Lalonde | AU | Subiaco | 2010-09-23 / 20100239649 - Tumor Suppressor Factor | 1 |
Michael Gerard Lalonde | US | Alpharetta | 2012-10-25 / 20120266873 - INTEGRATED POSITIVE AIRWAY PRESSURE APPARATUS | 1 |
James Lalonde | US | Palo Alto | 2013-04-11 / 20130089898 - BIOCATALYSTS AND METHODS FOR THE SYNTHESIS OF (S)-3-(1-AMINOETHYL)-PHENOL | 14 |
Guy Lalonde | US | Woodside | 2014-11-06 / 20140329895 - OLIGOMER-CANNABINOID CONJUGATES | 12 |
Louis-Pierre Lalonde | CA | Varennes | 2015-09-03 / 20150248828 - SYSTEM AND METHOD FOR VIBRATION SEVERITY ASSESSMENT INDEPENDENTLY OF VIBRATION FREQUENCY | 1 |
Rebecca L. Lalonde | US | Berkeley | 2015-04-23 / 20150111879 - PYRIDYL INHIBITORS OF HEDGEHOG SIGNALLING | 4 |
Michael Joseph Lalonde | US | Warsaw | 2009-11-19 / 20090287215 - CABLE BUTTON | 1 |
James J. Lalonde | US | Palo Alto | 2015-05-07 / 20150125910 - KETOREDUCTASE POLYPEPTIDES FOR THE PRODUCTION OF (R)-3-HYDROXYTHIOLANE | 2 |
Paul D. Lalonde | US | Highland Park | 2010-06-24 / 20100154341 - WALL MOLD ATTACHMENT CLIP | 3 |
Josée Lalonde | CA | Mascouche | 2013-01-24 / 20130024132 - METHOD FOR VALIDATION OF POLYMER AQUEOUS SOLUTIONS CONCENTRATION AND ACTIVATION IN WATER TREATMENT APPLICATIONS AND POLYMER MAKE-UP UNIT THEREFOR | 1 |
Michael J. Lalonde | US | Warsaw | 2015-06-25 / 20150173813 - CABLE BUTTON | 3 |
Judith M. Lalonde | US | Havertown | 2014-11-27 / 20140350113 - CD4-MIMETIC INHIBITORS OF HIV-1 ENTRY AND METHODS OF USE THEREOF | 1 |
Michael L. Lalonde | US | Greenwood | 2013-09-19 / 20130240392 - SYSTEM FOR SECURING SHIPMENT OF ROTARY CUTTING DIES | 1 |
Mark Lalonde | US | Bedford | 2015-08-06 / 20150218421 - POSTAL SEALING SYSTEMS AND METHODS | 1 |
Jean Francois Lalonde | CA | Quebec City | 2015-03-19 / 20150078661 - HIGH DYNAMIC RANGE AND TONE MAPPING IMAGING TECHNIQUES | 1 |
Frederick Lalonde | CA | Ottawa | 2011-08-04 / 20110188865 - METHOD FOR RAPID DETERMINATION OF LOWEST COST WAVELENGTH ROUTES THROUGH A PHOTONIC NETWORK BASED ON PRE-VALIDATED PATHS | 1 |
Gary Lalonde | US | Hilton | 2013-09-19 / 20130246292 - SYSTEM AND METHOD FOR VERIFIED COMPLIANCE IMPLEMENTATION | 2 |
Daniel Lalonde | CA | Saint-Laurent | 2008-09-18 / 20080222983 - Encapsulated architectural element | 1 |
Paul A. Lalonde | CA | Victoria | 2009-12-31 / 20090322768 - Compile-time type-safe composable state objects | 1 |
Sébastien Lalonde | CA | St-Lazare | 2014-11-13 / 20140334481 - SCALABLE NAT TRAVERSAL | 3 |
Philippe Lalonde | CA | Montreal | 2011-02-24 / 20110047507 - GRAPHICALLY DISPLAYING MANUFACTURING EXECUTION SYSTEM INFORMATION DATA ELEMENTS ACCORDING TO A PRE-DEFINED SPATIAL POSITIONING SCHEME | 1 |
Jean-Pierre Lalonde | CA | Candiac | 2016-03-17 / 20160074090 - BALLOON DESIGN TO ENHANCE COOLING UNIFORMITY | 25 |
Paul Lalonde | CA | Victoria | 2014-12-18 / 20140368604 - AUTOMATED PRIVACY ADJUSTMENTS TO VIDEO CONFERENCING STREAMS | 2 |
Jeffrey Lalonde | CA | Ottawa | 2013-08-29 / 20130222589 - SINGLE-CAMERA DISTANCE ESTIMATION | 1 |
Jean-Francois Lalonde | US | Pittsburgh | 2015-05-28 / 20150146972 - PREDICTING A LIGHT PROBE FOR AN OUTDOOR IMAGE | 8 |
Rebecca Lalonde | US | Portland | 2014-07-03 / 20140187588 - MACROCYCLIC PICOLINAMIDES AS FUNGICIDES | 1 |
Jean-Francois Lalonde | US | Pittsburgh | 2015-05-28 / 20150146972 - PREDICTING A LIGHT PROBE FOR AN OUTDOOR IMAGE | 8 |
Sarah A. Lalonde | CA | Aurora | 2015-05-28 / 20150143942 - POLYMER-BASED BRAIDED CABLE WITH POLYMER-BASED END FITTINGS USED IN AUTOMOTIVE CABLE ASSEMBLIES | 1 |
Jean-Philippe Lalonde | AU | Shenton Park | 2014-05-08 / 20140127234 - Agent for the Treatment of Hormone-Dependent Disorders and Uses Thereof | 3 |
Renee Lalonde | US | Buffalo | 2016-04-14 / 20160100592 - Dough Packaging and Method of Dough Processing | 6 |
Rebecca Lyn K.c. Lalonde | US | Portland | 2015-07-02 / 20150183759 - MACROCYCLIC PICOLINAMIDE COMPOUNDS WITH FUNGICIDAL ACTIVITY | 2 |
Paul D. Lalonde | US | Avon | 2010-06-10 / 20100139189 - CONCEALED SUSPENSION CEILING WITH DOWNWARD REMOVABLE PANELS | 3 |
Paul Albert Lalonde | CA | Victoria | 2015-11-05 / 20150317831 - TRANSITIONS BETWEEN BODY-LOCKED AND WORLD-LOCKED AUGMENTED REALITY | 1 |
Aaron Lalonde | US | Pasadena | 2014-01-30 / 20140027681 - n-TYPE DOPED PbTe AND PbSe ALLOYS FOR THERMOELECTRIC APPLICATIONS | 2 |
Andre Lalonde | US | Allen | 2012-10-18 / 20120260935 - NON-SLIP HAIR ACCESSORY | 2 |
Guy Lalonde | US | Woodside | 2014-11-06 / 20140329895 - OLIGOMER-CANNABINOID CONJUGATES | 12 |
Kerri La Londe | CA | Regina | 2012-06-21 / 20120155983 - Restraint Device and Method | 1 |
Judith M. La Londe | US | Havertown | 2012-05-17 / 20120122834 - SMALL MOLECULE CD4 MIMETICS AND USES THEREOF | 1 |
Duane R. Lalone | US | Alma | 2012-02-09 / 20120032501 - TRUCK/TRAILER BRAKE CONTROL SYSTEM | 2 |
Tara Lalor | US | Salt Lake City | 2016-05-12 / 20160134635 - SYSTEMS, COMMUNICATION ENDPOINTS, AND RELATED METHODS FOR DISTRIBUTING IMAGES CORRESPONDING TO COMMUNICATION ENDPOINTS | 2 |
Cecily B. Lalor | US | San Carlos | 2011-11-24 / 20110287256 - PHARMACEUTICAL POLYPEPTIDE DRY POWDER AEROSOL FORMULATION AND METHOD OF PREPARATION | 4 |
Tom Lalor | CA | British Columbia | 2009-10-08 / 20090249677 - REMOTE CONTROL SYSTEM FOR CONTROLLING A REMOTE ANIMAL COLLAR | 1 |
Dave Lalor | US | Redmond | 2015-12-31 / 20150375113 - Assigning A Player To A Machine | 1 |
Peggy I. Lalor | US | Hood River | 2011-09-01 / 20110210068 - Water Dispensing Methods and Systems | 1 |
Timothy John Lalor | US | Manchester Center | 2009-09-24 / 20090241059 - EVENT DRIVEN SMOOTH PANNING IN A COMPUTER ACCESSIBILITY APPLICATION | 1 |
Cecily Lalor | US | Arlington | 2015-12-24 / 20150367366 - AEROSOL DISPENSER WITH EDIBLE CARTRIDGE | 2 |
Owen P. Lalor | US | Ridgeland | 2009-06-04 / 20090143047 - Method and system for mobile personal emergency response | 1 |
Tom Lalor | CA | North Vancouver | 2013-05-16 / 20130118418 - REMOTE CONTROL SYSTEM FOR CONTROLLING A REMOTE ANIMAL COLLAR | 5 |
Mathew Brewster Lalor | US | Wachapreague | 2013-08-01 / 20130192450 - Device For Collecting Ammunition Casings | 1 |
Tara Lalor Née Ault | US | Salt Lake City | 2015-07-02 / 20150189079 - METHODS, DEVICES, AND SYSTEMS FOR REMOTELY CONTROLLING A COMMUNICATION DEVICE | 1 |
Dimitrios Lalos | US | St. Charles | 2011-02-03 / 20110028119 - Unique ID Based, Data File Exchange and Synchronization for Portable Communications | 2 |
Lahoussaine Lalouch | FR | Picardie | 2011-10-06 / 20110240165 - MOUNTING MAT AND POLLUTION CONTROL DEVICE WITH THE SAME | 5 |
Lahoussaine Lalouch | FR | Oise, Picardie | 2010-04-15 / 20100092746 - NONWOVEN MATERIAL CONTAINING BENEFITING PARTICLES AND METHOD OF MAKING | 1 |
Lahoussaine Lalouch | FR | Bornel Oise Picardie | 2012-09-13 / 20120231692 - Porous Supported Articles and Methods of Making | 1 |
Lahoussaine Lalouch | FR | Oise | 2014-01-16 / 20140017504 - Inorganic Fiber Webs and Methods of Making and Using | 4 |
Lahoussaine Lalouch | FR | Noyon | 2015-06-25 / 20150175849 - PROCESS FOR HOT APPLICATION OF A SILYLATED ADHESIVE COMPOSITION | 7 |
Lahoussaine Lalouch | FR | Bornel | 2008-12-04 / 20080296201 - Component Carrier Tape | 1 |
Marc Lalouette | US | Boulder | 2012-11-29 / 20120299290 - Latch Assembly for Joining Two Conduits | 2 |
Marc Jacques Lalouette | US | Boulder | 2009-06-18 / 20090154016 - Computer storage drive with user selectable mode of identification | 2 |
Marc Lalouette | US | Laguna Beach | 2012-03-22 / 20120068457 - Latch Assembly for Joining Two Conduits | 1 |
Shlomo Lalouz | US | San Diego | 2010-03-25 / 20100071917 - RESIDENTIAL FIRE PROTECTION SYSTEM AND METHOD | 2 |
Ivan B. Lalovic | US | San Francisco | 2011-08-25 / 20110205512 - Active Spectral Control of Optical Source | 1 |
Timothee Laloy | FR | Nogent Sur Oise | 2011-06-16 / 20110139023 - PRINTING UNIT WITH TWO SPACING DEVICES AND CORRESPONDING USE | 1 |
Niten V. Lalpuria | US | Hamden | 2012-06-14 / 20120147357 - METHOD AND APPARATUS FOR DETECTING AND COUNTING PLATELETS INDIVIDUALLY AND IN AGGREGATE CLUMPS | 9 |
Niten Lalpuria | IN | Mumbai | 2014-01-02 / 20140004554 - METHOD AND APPARATUS FOR DETERMINING AT LEAST ONE HEMOGLOBIN RELATED PARAMETER OF A WHOLE BLOOD SAMPLE | 2 |
Niten V. Lalpuria | IN | Mumbai | 2016-02-18 / 20160047797 - METHOD AND APPARATUS FOR ANALYZING INDIVIDUAL CELLS OR PARTICULATES USING FLUORESCENT QUENCHING AND/OR BLEACHING | 19 |
Niten V. Lalpuria | US | Princeton | 2011-08-11 / 20110193957 - METHOD AND APPARATUS FOR DETECTING AND COUNTING PLATELETS INDIVIDUALLY AND IN AGGREGATE CLUMPS | 1 |
Niten V. Lalpuria | IN | Mumbai | 2016-02-18 / 20160047797 - METHOD AND APPARATUS FOR ANALYZING INDIVIDUAL CELLS OR PARTICULATES USING FLUORESCENT QUENCHING AND/OR BLEACHING | 19 |
Nagaraj Lalsangi | US | Pleasanton | 2009-11-05 / 20090274169 - DYNAMIC LINK DISTANCE CONFIGURATION FOR EXTENDED FABRIC | 1 |
Sachin Lalseta | CA | Ottawa | 2013-04-18 / 20130095826 - PCRN ROAMING AGREEMENT | 3 |
Jean-Jacques Lalssus | FR | Thaon Les Vosges | 2009-11-19 / 20090287397 - PARALLEL SEQUENTIAL TURBOCHARGER ARCHITECTURE USING ENGINE CYLINDER VARIABLE VALVE LIFT SYSTEM | 1 |
Joseph Nicholas Laltrello | US | Chapel Hill | 2015-12-24 / 20150373543 - PROVIDING ACCESS TO AND ENABLING FUNCTIONALITY OF FIRST DEVICE BASED ON COMMUNICATION WITH SECOND DEVICE | 3 |
Jarkko Lalu | FI | Espoo | 2013-08-22 / 20130218307 - METHOD FOR DEBUGGING OF PROCESS OR MANUFACTURING PLANT SOLUTIONS COMPRISING MULTIPLE SUB-SYSTEMS | 1 |
Ellen C. Lalumere | US | Pittsburg | 2009-09-17 / 20090232994 - LOW TEMPERATURE, MOISTURE CURABLE COATING COMPOSITIONS AND RELATED METHODS | 1 |
Ellen C. Lalumere | US | Pittsburgh | 2008-08-28 / 20080206579 - COMPOSITIONS CONTAINING A SILANOL FUNCTIONAL POLYMER AND RELATED HYDROPHILIC COATING FILMS | 1 |
Keith M. Lalumia | US | Lafayette | 2009-04-30 / 20090107683 - TUBING RETRIEVABLE CAPILLARY BYPASS SAFETY VALVE AND CAPILLARY INJECTION SLEEVE | 1 |
Francis K Lalumiere | CA | Brossard | 2011-05-12 / 20110109040 - ROULETTE-TYPE GAME WITH MULTIPLE TRACKS | 1 |
Francis K Lalumière | CA | Quebec | 2012-12-20 / 20120322546 - MULTIPLAYER GAME WITH CONNECTABLE OUTCOMES | 1 |
Francis K Lalumière | CA | Quebec | 2012-12-20 / 20120322546 - MULTIPLAYER GAME WITH CONNECTABLE OUTCOMES | 1 |
Michael Richard Laluna | US | Merrick | 2013-08-08 / 20130203527 - Mechanical Baseball Tee | 2 |
Laurent Laluque | FR | Bordeaux | 2016-03-24 / 20160088291 - MONOCHROME STEREOSCOPIC PROJECTION DISPLAY SYSTEM ON SEMITRANSPARENT PLATE | 14 |
Joseph D. Laluzerne | US | Minneapolis | 2008-11-20 / 20080288305 - Enterprise Decision Management System and Method | 1 |
Ajit Lalvani | GB | Oxford | 2014-03-27 / 20140087399 - ASSAY METHOD FOR PEPTIDE SPECIFIC T-CELLS | 11 |
Ajit Lalvani | GB | Oxford | 2014-03-27 / 20140087399 - ASSAY METHOD FOR PEPTIDE SPECIFIC T-CELLS | 11 |
Haresh Lalvani | US | New York | 2011-03-17 / 20110065536 - SPORTS BALL | 2 |
Kartar Singh Lalvani | GB | London | 2011-03-24 / 20110070315 - Composition for the treatment of hair loss and baldness | 2 |
Dino Lalvani | CN | Hong Kong | 2010-05-20 / 20100123644 - APPARATUS INCORPORATING AN ELECTRONIC DISPLAY | 1 |
Ajit Lalvani | GB | London | 2010-11-04 / 20100279324 - Assay For Detecting Mycobacterial Infection | 1 |
Poornima Lalwaney | US | San Diego | 2012-04-12 / 20120087634 - METHOD AND SYSTEM FOR TRANSITIONING MEDIA OUTPUT AMONG TWO OR MORE DEVICES | 1 |
Narendra D. Lalwani | US | South Lyon | 2008-11-27 / 20080293633 - Pharmaceutical Formulations, Methods, and Dosing Regimens for the Treatment and Prevention of Acute Coronary Syndromes | 1 |
John Norender Kumar Lalwani | HK | Kowloon | 2011-03-10 / 20110056958 - ANTI-SPILL VESSEL | 1 |
Dinusha N. Lalwani | US | Corona | 2013-01-03 / 20130005708 - HISTAMINE ANTAGONIST TREATMENT OF INFLAMMATORY SKIN DISORDERS | 2 |
Dinusha Lalwani | US | Corona | 2014-08-21 / 20140235618 - METHODS FOR TREATMENT OF ATOPIC DERMATITIS AND INFLAMMATORY SKIN DISORDERS | 2 |
Ashok Lalwani | US | Redwood City | 2012-10-18 / 20120265853 - FORMAT-AGNOSTIC STREAMING ARCHITECTURE USING AN HTTP NETWORK FOR STREAMING | 1 |
Navin Murli Lalwani | US | West Orange | 2015-01-15 / 20150019449 - METHOD TO TRANSFER PERSONAL FINANCIAL INFORMATION AND OTHER HARD TO REPLACE DOCUMENTS TO A SELECTED RECIPIENT POST DEATH | 1 |
Gaurav Lalwani | US | Stony Brook | 2015-04-30 / 20150118492 - THREE-DIMENSIONAL CARBON STRUCTURES | 1 |
Sanjiv Kumar S. Lalwani | US | College Station | 2008-10-30 / 20080264793 - Hydrolytically Stable Isoelectric Hydrogel Compositions | 1 |
Ashok J. Lalwani | US | San Mateo | 2015-09-03 / 20150249854 - Method and system for recording streams | 1 |
Gaurav Lalwani | IN | Indore | 2015-07-02 / 20150182642 - GRAPHENE-BASED CONTRAST AGENTS FOR PHOTOACOUSTIC AND THERMOACOUSTIC TOMOGRAPHY AND METHOD OF USE | 1 |
Sanjiv K. Lalwani | US | College Station | 2015-05-21 / 20150136603 - WATER-SOLUBLE, UV-ABSORBING AND/OR FLOURESCENT COMPONENTS WITH VERY HIGH ISOELECTRIC POINTS | 2 |
Gauri Lalwani | IN | Mumbai | 2015-11-12 / 20150324068 - USER INTERFACE STRUCTURE (UIS) FOR GEOGRAPHIC INFORMATION SYSTEM APPLICATIONS | 1 |
Ashok Lalwani | US | Foster City | 2011-07-14 / 20110173345 - Method and system for HTTP-based stream delivery | 1 |
Anil K. Lalwani | US | New York | 2015-09-24 / 20150265824 - SYSTEM AND METHOD TO LOCALLY DELIVER THERAPEUTIC AGENT TO INNER EAR | 1 |
Akhil Lalwani | GB | London | 2014-06-05 / 20140156989 - Credential Recovery | 1 |
Manish Lalwani | IN | Mumbai | 2012-02-02 / 20120029710 - INTELLIGENT CORE ENGINE | 1 |
Leonid Borisovich Lalyko | RU | St. Petersburg | 2011-08-25 / 20110205237 - Adaptive Sampling Region for a Region Editing Tool | 4 |
Leonid Borisovich Lalyko | RU | Saint Petersburg | 2010-08-26 / 20100215269 - Iterative fisher linear discriminant analysis | 1 |
Thanh V. Lam | US | Poughkeepsie | 2011-09-15 / 20110225103 - EFFICIENCY OF COMPUTER MODELING AND ANALYSIS OF COMPLEX PROCESSES | 1 |
Wan Lam | CA | Vancouver | 2013-03-14 / 20130065789 - COMPOSITIONS AND METHODS FOR CLASSIFYING LUNG CANCER AND PROGNOSING LUNG CANCER SURVIVAL | 4 |
Wai Kit Andy Lam | HK | Hong Kong | 2014-09-11 / 20140254168 - REMOTE PLASMA LAMP POLE SYSTEM AND METHOD FOR INSTALLING THE SAME | 1 |
Yiu Lam | CA | Waterloo | 2012-08-16 / 20120208515 - Cross-Technology Coverage Mapping System and Method for Modulating Scanning Behaviour of a Wireless User Equipment (UE) Device | 2 |
David K. Lam | US | Daly City | 2016-03-17 / 20160080222 - SYSTEM AND METHOD FOR MANAGEMENT OF NETWORK MONITORING INFORMATION | 2 |
Carson K. Lam | US | Chicago | 2011-09-15 / 20110223128 - REGULATION OF MICROVASCULATURE OCCLUSION | 1 |
Cang Lam | US | San Clemente | 2014-09-11 / 20140257351 - METHODS AND APPARATUS FOR REVISION OF OBESITY PROCEDURES | 1 |
Cedric Fung Lam | US | Belmont | 2016-02-04 / 20160036551 - Increasing the Capacity of a WDM-PON with Wavelength Reuse | 2 |
Chien A. Lam | US | San Mateo | 2011-09-15 / 20110222989 - Cotter spring pin | 1 |
Joyce Lam | US | Bloomfield Hills | 2016-04-21 / 20160107684 - VEHICLE FRONT SUSPENSION | 5 |
Kam Kinn Lam | MY | Melaka | 2011-09-15 / 20110220755 - AUTO-REEL CHANGER | 1 |
Siu Wai Lam | HK | Hong Kong | 2012-08-16 / 20120206784 - DEVICE FOR REDUCING SPECKLE EFFECT IN A DISPLAY SYSTEM | 2 |
Wing Yip Lam | CN | Hong Kong | 2015-02-26 / 20150057421 - THERMOSETTING POLY(SILOXANE-DIPHENYLBUTADIYNE) WITH READILY TUNABLE GLASS TRANSITION TEMPERATURE AND HIGH THERMAL STABILITY | 10 |
Anh-Phuong Thy Lam | US | Newark | 2014-09-04 / 20140249285 - RENEWABLE BIO-BASED (METH) ACRYLATED MONOMERS AS VINYL ESTER CROSS-LINKERS | 1 |
Wang Chee Lam | US | San Jose | 2014-03-20 / 20140081962 - DETERMINING CONCEPTS ASSOCIATED WITH A QUERY | 7 |
Hi V. Lam | US | Fremont | 2012-08-23 / 20120210936 - SYSTEMS AND METHODS FOR MUTLI-CHAMBER PHOTOVOLTAIC MODULE PROCESSING | 1 |
Frank C. Lam | US | Tucson | 2011-04-07 / 20110082604 - SYSTEM AND METHOD FOR DIVERT AND ATTITUDE CONTROL IN FLIGHT VEHICLES | 2 |
Cecilia Lam | US | Scottsdale | 2010-12-02 / 20100301238 - BI-DIRECTIONAL OVERPRESSURE SHUT-OFF VALVE | 1 |
Le Lam | CA | Niagara Falls | 2012-08-23 / 20120211958 - METHOD AND APPARATUS FOR PRODUCING A STABILIZER BAR ASSEMBLY | 1 |
Son H. Lam | US | Puyallup | 2015-04-23 / 20150109051 - SUPPLY VOLTAGE CONTROL BASED AT LEAST IN PART ON POWER STATE OF INTEGRATED CIRCUIT | 10 |
Edward Lam | CA | Vancouver | 2016-03-31 / 20160092338 - INSTALLATION HEALTH DASHBOARD | 2 |
Cecilia S. Lam | US | Scottsdale | 2009-03-12 / 20090065297 - DUAL ACTION INLET DOOR AND METHOD FOR USE THEREOF | 1 |
Hing Mo Jeff Lam | CN | Hong Kong | 2012-08-23 / 20120212145 - ILLUMINATION BRIGHTNESS CONTROL APPARATUS AND METHOD | 1 |
Hin C. Lam | US | Tucson | 2008-12-18 / 20080313646 - STORAGE-DEVICE DISCOVERY PROTOCOL | 1 |
Ioi Kim Lam | US | Mountain View | 2012-12-20 / 20120324481 - ADAPTIVE TERMINATION AND PRE-LAUNCHING POLICY FOR IMPROVING APPLICATION STARTUP TIME | 3 |
Iwain Lam | CA | Calgary | 2014-02-20 / 20140050876 - Adhesive Patch and Method of Using the Same | 1 |
Pamela Lam | US | Scottsdale | 2014-07-03 / 20140187466 - LAUNDRY DETERGENTS AND METHODS FOR MAKING LAUNDRY DETERGENTS CONTAINING METHYL ESTER ETHOXYLATES | 5 |
Chris Lam | US | Phoenix | 2010-03-11 / 20100064219 - Network Hosted Media Production Systems and Methods | 1 |
Joseph Wei Chak Lam | SG | Singapore | 2011-09-01 / 20110209336 - EFFICIENT LAYOUT AND DESIGN OF PRODUCTION FACILITY | 1 |
Wei Chak Joseph Lam | SG | Singapore | 2014-05-01 / 20140115986 - EFFICIENT LAYOUT AND DESIGN OF PRODUCTION FACILITY | 2 |
Christopher Evan Lam | US | San Francisco | 2014-02-20 / 20140051068 - CONTROL OF DNA MOVEMENT IN A NANOPORE AT ONE NUCLEOTIDE PRECISION BY A PROCESSIVE ENZYME | 1 |
Cang C. Lam | US | Tustin | 2014-05-29 / 20140148828 - APPARATUS AND METHODS FOR FORMING AND SECURING GASTROINTESTINAL TISSUE FOLDS | 2 |
Eric Wing -Jing Lam | US | Kenmore | 2011-08-25 / 20110206839 - METHOD AND APPARATUS FOR FORMING STRUCTURES OF POLYMER NANOBEADS | 1 |
Selina Lam | US | Catro Valley | 2016-03-24 / 20160086398 - INTERACTIVE AUGMENTED REALITY FUNCTION | 1 |
Wing Hong Lam | HK | Kwun Tong | 2015-04-30 / 20150121512 - AUTHENTICATION APPARATUS AND METHODS | 2 |
Wilbur Lam | US | Albany | 2011-01-13 / 20110009163 - HIGH NUMERICAL APERTURE TELEMICROSCOPY APPARATUS | 1 |
Darius Lam | US | Fountain Valley | 2014-02-20 / 20140049520 - Mobile Interactive Projection System With Multi-Pen Input | 1 |
Daniel Lam | US | Atlanta | 2011-08-18 / 20110202945 - Personalizing TV Content | 1 |
Keith Lam | US | Bristol | 2011-08-18 / 20110202397 - Systems and Methods to Deliver Event-Driven Content | 1 |
Alan C. Lam | US | San Jose | 2014-08-21 / 20140231383 - METHOD FOR MAKING A PERPENDICULAR MAGNETIC RECORDING DISK WITH TEMPLATE LAYER FORMED OF NANOPARTICLES EMBEDDED IN A POLYMER MATERIAL | 1 |
Alister Lam | GB | Hampshire | 2011-10-06 / 20110244964 - MOBILE COMMUNICATION DEVICE AND SYSTEM | 3 |
Sammy Wai Nang Lam | HK | Kowloon | 2011-08-18 / 20110198808 - Collapsible Game | 1 |
Johnny Steven Lam | DK | Hornslet | 2014-08-21 / 20140230343 - FLANGE ASSISTANT FOR CONNECTING ADJACENT TOWER SECTIONS | 1 |
Th Lam | CN | Guangdong Province | 2015-08-20 / 20150234232 - LED DISPLAY DEVICE | 4 |
Hiu Yung Lam | CN | Kwai Chung | 2015-05-07 / 20150126707 - DAPTOMYCIN ANALOGUES AND A METHOD FOR THE PREPARATION OF DAPTOMYCIN OR A DAPTOMYCIN ANALOGUE | 1 |
Lap-Tung Lam | US | 2013-12-26 / 20130340800 - ECCENTRIC UMBRELLA | 1 | |
Zhiyong Lam | SG | Singapore | 2015-05-07 / 20150126388 - SURFACE ENHANCED RAMAN SPECTROSCOPY (SERS) MARKER CONJUGATES AND METHODS OF THEIR PREPARATION | 1 |
Limin Lam | US | San Francisco | 2013-12-26 / 20130346235 - Systems, Methods, and Computer Program Products for Caching of Shopping Items | 1 |
Wai-Har Lam | HK | Hung Hom | 2016-03-10 / 20160068503 - (-)-EPIGALLOCATECHIN GALLATE DERIVATIVES FOR INHIBITING PROTEASOME | 3 |
Alan Man Lung Lam | HK | Hong Kong | 2011-08-11 / 20110193411 - APPARATUS AND METHOD FOR PROVIDING POWER FROM A POWER SOURCE TO A PORTABLE ELECTRICAL DEVICE | 1 |
Vincent Man Tai Lam | HK | Hong Kong | 2011-08-11 / 20110193411 - APPARATUS AND METHOD FOR PROVIDING POWER FROM A POWER SOURCE TO A PORTABLE ELECTRICAL DEVICE | 1 |
Tung T. Lam | US | Fullerton | 2012-09-20 / 20120234526 - METHODS AND SYSTEMS FOR SOLID STATE HEAT TRANSFER | 1 |
Thanh To Lam | US | San Diego | 2015-09-03 / 20150246934 - TRICYCLIC GYRASE INHIBITORS | 3 |
Wan Lam | CN | Shanghai | 2012-09-20 / 20120236850 - METHOD AND DEVICE FOR BUFFERING CELL BY CROSSBAR SWITCHING MATRIX | 1 |
Dat D. Lam | US | Seattle | 2011-08-04 / 20110187319 - CONSERVATION OF ELECTRICAL ENERGY AND ELECTRO-MAGNETIC POWER IN BATTERY CHARGER WITH AC DRIVE | 1 |
Rohan Samuel Lam | US | Sammamish | 2012-09-20 / 20120239697 - Discovery and Client Routing to Database Nodes | 1 |
Kevin Lam | US | Kenmore | 2014-01-02 / 20140007178 - MODEL FOR MANAGING HOSTED RESOURCES USING LOGICAL SCOPES | 1 |
Chuck P. Lam | US | San Francisco | 2011-07-28 / 20110184960 - METHODS AND SYSTEMS FOR CONTENT RECOMMENDATION BASED ON ELECTRONIC DOCUMENT ANNOTATION | 1 |
Kevin Lam | US | Redmond | 2015-12-31 / 20150381370 - SYSTEMS AND METHODS FOR VALIDATED SECURE DATA ACCESS | 2 |
Quang P. Lam | US | Union City | 2015-03-12 / 20150071665 - TECHNIQUES TO DETERMINE CONCENTRATION PARAMETERS OF CONDUCTIVE LIQUID ELECTROPHORETIC (LEP) INKS | 3 |
Robert S. Lam | US | San Mateo | 2011-08-11 / 20110196786 - DETERMINING TRUSTWORTHINESS AND FAMILIARITY OF USERS OF AN ELECTRONIC BILLING AND PAYMENT SYSTEM | 3 |
Barry Lam | US | 2011-07-28 / 20110182274 - Method and Apparatus for a Flexible Peripheral Access Router | 1 | |
Sophie Truc Lam | US | Beaverton | 2013-01-31 / 20130028983 - Indicator for Oxygen Generation | 3 |
Richard Lam | US | San Francisco | 2012-09-27 / 20120243830 - FIBER OPTIC ALIGNMENT SYSTEM AND METHOD AND CONNECTOR ASSEMBLY | 1 |
Mo Lam | US | New York | 2014-06-12 / 20140162791 - SYSTEM AND METHOD FOR CREATING AND/OR SERVING ONLINE GAMES EMBEDDED IN WEBSITES | 1 |
Tai-Seng Lam | TW | Taipei | 2012-09-27 / 20120243677 - HANDSET UNIT AND TELEPHONE DEVICE | 1 |
Edmond Lam | CA | Westmount | 2012-09-27 / 20120244357 - CELLULOSE NANOCRYSTALS FROM RENEWABLE BIOMASS | 1 |
Hiu-Ming Eric Lam | US | Bellevue | 2014-04-17 / 20140108523 - CONNECTION SHARING ACROSS ENTITIES IN A DISTRIBUTED MESSAGING SYSTEM | 1 |
Toong Jin Lam | SG | Singapore | 2014-01-02 / 20140007265 - CHIMERIC GENE CONSTRUCTS FOR GENERATION OF FLUORESCENT TRANSGENIC ORNAMENTAL FISH | 1 |
Duhane Lam | CA | Vancouver | 2016-03-24 / 20160085131 - VARIABLE TRANSMITTANCE OPTICAL DEVICES | 18 |
Soo Sing Lam | SG | Singapore | 2014-04-17 / 20140108066 - TRIP-PLANNING COLLABORATION TOOL | 1 |
Genie Geraldine Lam | HK | Taipo | / - | 1 |
Louis Wai Hing Lam | HK | Hong Kong | 2016-03-31 / 20160094985 - CIRCUITS AND SYSTEMS TO EXCHANGE SUBSCRIBER IDENTITY MODULE (SIM) INFORMATION OVER A DISTANCE | 1 |
Shun Chiu Dennis Lam | HK | Central | 2016-03-17 / 20160074321 - OPHTHALMIC COMPOSITION, METHOD FOR PREPARING THE SAME, AND USE OF THE SAME | 1 |
Yan Yiu Lam | HK | Kwai Chung | 2016-03-17 / 20160081198 - METHOD AND APPARATUS FOR ALIGNING ELECTRONIC COMPONENTS | 1 |
Lily Lam | US | Afton | 2010-04-29 / 20100101580 - SECUREMENT DEVICE FOR CONTINUOUS POSITIVE AIRWAY PRESSURE APPARATUS | 1 |
Jer-Wei Lam | SG | Singapore | 2013-12-19 / 20130339247 - ISSUER IDENTIFICATION AND VERIFICATION SYSTEM | 1 |
Chin Hung Lam | US | Bellevue | 2014-06-19 / 20140172793 - OPPORTUNISTIC, PRIORITY-BASED OBJECT SYNCHRONIZATION | 1 |
Joe Luk Mui Lam | MY | Johor Bahru | 2015-05-21 / 20150136485 - IGNITION COIL ASSEMBLY WITH TERMINALS CONNECTING INSERT | 1 |
Nhin S. Lam | US | Orlando | 2011-07-21 / 20110173969 - SHAPE MEMORY-BASED ACTUATORS AND RELEASE MECHANISMS THEREFROM | 1 |
Tik Hang Lam | CN | Hong Kong | 2014-06-19 / 20140169404 - SYSTEM AND METHOD FOR DETECTING HEAT EMITTING OBJECTS | 1 |
Tony Lam | US | Walnut | 2012-10-04 / 20120252365 - BATTERY POWERED PASSIVE KEYLESS ENTRY SYSTEM FOR PREMISE ENTRY | 1 |
Si-Ty Lam | US | Pleasanton | 2013-09-12 / 20130237725 - 2,5-DISUBSTITUTED-1,4-DIAMINOBENZENES | 2 |
Ming Lam | US | San Mateo | 2010-03-04 / 20100057679 - SEARCH USING BUSINESS INTELLIGENCE DIMENSIONS | 1 |
Lawrence Lam | US | Los Altos Hills | 2014-01-02 / 20140001313 - MECHANICAL CONTROL MIXER AND METHOD THEREFOR | 2 |
Ka Shun Lam | CN | Hong Kong | 2012-10-04 / 20120249395 - Ultra Thin Antenna | 1 |
Hokei Lam | CN | Hong Kong | 2014-11-13 / 20140334279 - TESTING METHOD OF A MAGNETIC HEAD, AND TESTING APPARATUS THEREOF | 5 |
Warren M. Lam | US | Redmond | 2012-10-04 / 20120250830 - CONFERENCE SIGNAL ANOMALY DETECTION | 1 |
Siu Hon Lam | SG | Singapore | 2015-05-21 / 20150137426 - ADDITIVE MANUFACTURING DEVICE AND METHOD | 1 |
Hyman W. H. Lam | US | San Jose | 2014-06-19 / 20140165912 - APPARATUS FOR PROVIDING PLASMA TO A PROCESS CHAMBER | 2 |
Jeffrey Chor-Keung Lam | SG | Singapore | 2016-02-18 / 20160047858 - DEFECT ISOLATION METHODS AND SYSTEMS | 2 |
Mei Lam | US | San Jose | 2015-09-24 / 20150268008 - NON-FLAMMABLE BLAST MITIGATING MAT | 2 |
Quan-Chiu H. Lam | US | San Jose | 2015-02-26 / 20150056473 - SHIELD WITH UNIFORM MAGNETIC PROPERTIES FOR A PERPENDICULAR MAGNETIC RECORDING HEAD | 2 |
Daniel Lam | US | Houston | 2011-07-14 / 20110168604 - METHOD FOR CO-HYDROGENATING LIGHT AND HEAVY HYDROCARBONS | 1 |
Virginia Lam | CA | Markham | 2008-09-11 / 20080217346 - FILLED POLYSTYRENE TEAR BACK CONTAINER LIDS | 1 |
Gabriel Lam | CA | Scarborough | 2008-09-18 / 20080223261 - TABLE ASSEMBLY | 1 |
Catherine Lam | CA | Thornhill | 2008-11-20 / 20080286514 - Bondably Coated Metallic Member | 1 |
Anthony Lam | CA | Richmond Hill | 2015-03-05 / 20150063557 - SYSTEM AND METHOD FOR WEB-BASED REAL TIME COMMUNICATION WITH OPTIMIZED TRANSCODING | 2 |
Heather Chi-Ying Lam | CA | Toronto | 2014-06-26 / 20140174964 - BEVERAGE CONTAINER WITH AUDIO CONTROL AND SPEAKER | 1 |
Luk Mui Joe Lam | SG | Singapore | 2010-05-06 / 20100108043 - IGNITION APPARATUS | 1 |
Han Yong Lam | SG | Singapore | 2012-07-19 / 20120181259 - Laser Scribing Of Thin-Film Solar Cell Panel | 2 |
Peter Lam | CA | Port Moody | 2012-10-11 / 20120257500 - PACKET SCHEDULING METHOD AND APPARATUS | 1 |
Yvonne Ying Hung Lam | SG | Singapore | 2010-12-30 / 20100332150 - APPARATUS AND METHOD FOR ANALYZING A FLUORESCENT SAMPLE DISPOSED ON A SUBSTRATE | 1 |
Sydney Lam | US | Dallas | 2015-05-21 / 20150142839 - SYSTEM AND METHOD FOR FINDING MATCHES BETWEEN USERS IN A NETWORKED ENVIRONMENT | 1 |
Jeffrey C. Lam | SG | Singapore | 2014-08-28 / 20140242805 - LASER-ENHANCED CHEMICAL ETCHING OF NANOTIPS | 5 |
Paul Lam | CA | Kitchener | 2014-06-26 / 20140181452 - HARDWARE COMMAND TRAINING FOR MEMORY USING READ COMMANDS | 4 |
Kwok Yan Karch Lam | SG | Singapore | 2009-12-17 / 20090310779 - METHOD FOR GENERATING CRYPTOGRAPHIC KEY FROM BIOMETRIC DATA | 1 |
Wing Hong Michael Lam | US | Bellevue | 2015-05-21 / 20150143210 - Content Stitching Templates | 1 |
Ranger H. Lam | US | Austin | 2015-02-05 / 20150034363 - SLOT DESIGN FOR FLEXIBLE AND EXPANDABLE SYSTEM ARCHITECTURE | 4 |
Kha Lam | US | Renton | 2015-05-21 / 20150143271 - REMOTE CONTROL FOR DISPLAYING APPLICATION DATA ON DISSIMILAR SCREENS | 1 |
Jason T S Lam | CA | Markham | 2015-05-28 / 20150149637 - MINIMIZING SERVICE RESTART BY OPTIMALLY RESIZING SERVICE POOLS | 3 |
Yeng Ming Lam | SG | Singapore | 2009-10-29 / 20090267058 - SOLUTION-PROCESSED INORGANIC FILMS FOR ORGANIC THIN FILM TRANSISTORS | 2 |
Chui Har Lam | SG | Singapore | 2009-03-12 / 20090065936 - SUBSTRATE, ELECTRONIC COMPONENT, ELECTRONIC CONFIGURATION AND METHODS OF PRODUCING THE SAME | 1 |
Chung Fai Lam | CN | Kwai Chung | 2013-10-24 / 20130280956 - Electrical Wiring Device with High Current USB Charging Capabilities | 1 |
Peng Kheong Lam | SG | Singapore | 2014-11-06 / 20140331134 - SYSTEM, A PROCESSOR APPARATUS AND A METHOD FOR MODIFICATION OF CONTROL SIGNALS | 2 |
Chun Yu Ronald Lam | HK | Hong Kong | 2015-05-28 / 20150144762 - SYSTEM FOR MONITORING CONDITION OF ADJUSTABLE CONSTRUCTION TEMPORARY SUPPORTS | 1 |
Chi Hung Louis Lam | HK | Hong Kong | 2015-05-28 / 20150144762 - SYSTEM FOR MONITORING CONDITION OF ADJUSTABLE CONSTRUCTION TEMPORARY SUPPORTS | 1 |
Yee Cheong Lam | SG | Singapore | 2008-10-23 / 20080259720 - Methods and Apparatus for Microfluidic Mixing | 1 |
Tung Suet Ruby Lam | US | Chicago | 2011-01-20 / 20110014436 - METHODS FOR FORMING HYDROGELS ON SURFACES AND ARTICLES FORMED THEREBY | 1 |
John Kin On Lam | CN | Hong Kong | 2011-07-07 / 20110166251 - POLYMERIC BASED AND SURFACE TREATED METALLIC HYBRID MATERIALS AND FABRICATION METHODS THEREOF | 1 |
Kwok-Leung Elvis Lam | CA | Toronto | 2008-12-04 / 20080300962 - Lead distribution and tracking with integrated corporate data usage and reporting capabilities | 2 |
Dennis D. Lam | US | Houston | 2016-03-24 / 20160084031 - MULTI-STAGE BLOWOUT PREVENTER AND METHOD OF USING SAME | 2 |
Jenny Lam | SG | Singapore | 2015-01-15 / 20150017747 - METHOD FOR FORMING A SOLAR CELL WITH A SELECTIVE EMITTER | 2 |
Jeffrey Lam | SG | Singapore | 2012-04-12 / 20120086468 - Method and system for introducing physical damage into an integrated circuit device for verifying testing program and its results | 2 |
Patrick Lam | CA | Calgary | 2016-04-21 / 20160108223 - HDPE ARTICLES | 15 |
Toan Lam | US | Salt Lake City | 2012-10-18 / 20120260427 - Newborn Circumcision Board | 1 |
Minh Lam | US | Highland Heights | 2012-10-18 / 20120265121 - PHTHALOCYANINE-BASED ANTIFUNGAL AGENTS | 1 |
Baron Lam | CA | Toronto | 2009-07-30 / 20090193353 - GANTT CHART MAP DISPLAY AND METHOD | 1 |
Helen Lam | CA | Richmond | 2016-03-03 / 20160066053 - ENHANCED INTERACTIVE TELEVISION EXPERIENCES | 1 |
Tony M. Lam | CA | Edmonton | 2014-10-09 / 20140299797 - Blowout Preventer and Rams | 6 |
Yu Kuen Lam | CA | Toronto | 2009-12-10 / 20090306925 - SYSTEMS AND METHODS FOR TESTING INTEGRATED CIRCUIT DEVICES | 1 |
Derrik Lam | US | Chicago | 2010-09-23 / 20100237210 - Mounting System | 1 |
William Phuong Lam | US | Brookfield | 2013-11-14 / 20130299649 - Mounting Bracket | 3 |
Robert Lam | US | Evanston | 2016-03-03 / 20160058887 - NANODIAMOND PARTICLE COMPLEXES | 3 |
Robert Lam | US | Glen Ellyn | 2010-08-05 / 20100192525 - CLAMPING TRANSFER TURRET | 1 |
Luc Chuong Lam | US | Chicago | 2013-08-29 / 20130220842 - Badge Holder With Ejector | 2 |
Luc Lam | US | Chicago | 2009-07-23 / 20090183378 - Cutting device | 1 |
Thomas Lam | CA | Coquitlam | 2009-12-17 / 20090308010 - STRUCTURAL ELEMENT | 1 |
Ling Lam | US | Fremont | 2015-05-28 / 20150149504 - System and method for co-browsing | 1 |
Hung V. Lam | US | Raleigh | 2009-02-19 / 20090049383 - TOOL-TIP FOR MULTIMEDIA FILES | 1 |
Mike Lam | CA | Fort Mcmurray | 2011-07-21 / 20110174592 - BITUMINOUS FROTH INLINE STEAM INJECTION PROCESSING | 2 |
Steven Lam | US | Medford | 2016-05-19 / 20160137526 - SELECTIVE SCALING IN DESALINATION WATER TREATMENT SYSTEMS AND ASSOCIATED METHODS | 9 |
Nelson S. H. Lam | CA | Mississauga | 2010-02-04 / 20100026251 - VOLTAGE REGULATOR WITH RIPPLE COMPENSATION | 1 |
Wing Yip Lam | CN | Kowloon | 2013-10-10 / 20130266953 - AGGREGATION INDUCED EMISSION OF FLUORESCENT BIOPROBES AND METHODS OF USING THE SAME | 1 |
John Lam | CA | Kingston | 2014-09-25 / 20140285102 - HIGH POWER FACTOR, ELECTROLYTIC CAPACITOR-LESS DRIVER CIRCUIT FOR LIGHT-EMITTING DIODE LAMPS | 5 |
Andy Lam | CA | Scarborough | 2010-03-18 / 20100065517 - DISH DRAINER | 2 |
Hi-Ki Lam | HK | Shatin | 2011-06-30 / 20110155219 - THIN FILM SOLAR CELL AND METHOD FOR FABRICATING THE SAME | 1 |
King Wai Lam | HK | Tseung Kwan | 2011-06-30 / 20110155229 - Solar cell and method for manufacturing the same | 1 |
Hi-Ki Lam | HK | Hong Kong | 2011-06-30 / 20110155214 - PHOTOVOLTAIC MODULE HAVING THERMOELECTRIC COOLING MODULE | 1 |
Wing Keung Lam | CN | Kowloon | 2014-07-03 / 20140183712 - BALL GRID ARRAY PACKAGE WITH IMPROVED THERMAL CHARACTERISTICS | 1 |
Ken Lam | US | Colorado Springs | 2012-10-25 / 20120270367 - Component Stacking for Integrated Circuit Electronic Package | 8 |
Tony Lam | CA | Richmond Hill | 2011-08-25 / 20110207694 - MAMMALIAN HYPOTHALAMIC NUTRIENT MODULATION OF GLUCOSE METABOLISM | 2 |
Jason Lam | CA | Kamloops | 2013-12-26 / 20130344932 - METHOD AND APPARATUS FOR EXECUTING A LOTTERIZED VIDEO GAME | 1 |
Benson Sze-Kit Lam | CA | Mississauga | 2014-04-24 / 20140115380 - FAILOVER SYSTEM AND METHOD | 3 |
Kean W. Lam | CA | Richmond Hill | 2011-09-29 / 20110239008 - Power Adapter Having a Universal Serial Bus Hub | 2 |
Mark T. W. Lam | SG | Singapore | 2015-12-31 / 20150377611 - Wire-Pull Test Location Identification on a Wire of a Microelectronic Package | 2 |
Anthony C. Lam | CA | Richmond Hill | 2015-12-10 / 20150358596 - SYSTEM AND METHODS FOR RECORDING A COMPRESSED VIDEO AND AUDIO STREAM | 2 |
Cannie Lam | HK | Kowloon | 2014-05-01 / 20140120799 - INTERACTIVE PUZZLE BOOK ASSEMBLY | 3 |
Robert Lam | CA | Toronto | 2011-02-24 / 20110046022 - CRYSTALLIZATION DEVICE FOR HIGH-THROUGHPUT VISUAL INSPECTION AND X-RAY DIFFRACTION ANALYSIS | 1 |
Nelson Lam | CA | Mississauga | 2011-04-28 / 20110096848 - "Supply-Less" HDMI Source Terminated Output Stage With Tuned Wide-Range Programmable Termination | 1 |
Kieu Lam | CA | Surrey | 2014-03-06 / 20140065228 - NOVEL LIPID FORMULATIONS FOR NUCLEIC ACID DELIVERY | 3 |
Alex Lam | CA | Burnaby | 2012-10-25 / 20120270128 - FUEL CELL POWER GENERATION SYSTEM | 1 |
Clinton Lam | CA | Calgary | 2015-10-01 / 20150277717 - INTERACTIVE INPUT SYSTEM AND METHOD FOR GROUPING GRAPHICAL OBJECTS | 5 |
David Lam | US | Harbor City | 2014-07-10 / 20140193866 - Compositions and Methods for Making and Modifying Oils | 1 |
Selina Lam | US | Castro Valley | 2015-12-10 / 20150356656 - MARKETPLACE LISTINGS ON PROCUREMENT TOOL | 13 |
Cindy Lam | US | South San Francisco | 2015-12-31 / 20150379472 - METHOD AND SYSTEM FOR PROJECT MANAGEMENT | 2 |
Waikwong Lam | HK | Tseung Kwan O | 2011-06-23 / 20110149562 - Lighting Device Having Pivoting End Cap | 1 |
Jane T. Lam | US | Randolph | 2016-04-28 / 20160115787 - System and Methodology for Chemical Constituent Sensing and Analysis | 5 |
Connie Lam | US | Los Altos | 2010-12-30 / 20100332012 - ARRANGEMENT FOR IDENTIFYING UNCONTROLLED EVENTS AT THE PROCESS MODULE LEVEL AND METHODS THEREOF | 1 |
Ka San Lam | HK | Kowloon | 2013-10-17 / 20130270230 - THERMAL COMPRESSION BONDING OF SEMICONDUCTOR CHIPS | 1 |
Kwok-Yan Lam | TW | Taichung Hsien | 2014-09-18 / 20140264958 - SEMICONDUCTOR PACKAGE, FABRICATION METHOD THEREOF AND MOLDING COMPOUND | 2 |
Tiffany Cassandra-Do Lam | US | Fullerton | 2011-06-16 / 20110140837 - UNIVERSAL SECURITY ACCESS CONTROL | 1 |
So Nguyen Lam | CA | Markham | 2012-11-08 / 20120279360 - CEILING SUPPORT INSTALLATION SYSTEM | 1 |
Amnon Lam | IL | Kibuz Givat Oz | 2012-11-15 / 20120289954 - MICRO PLASMA HEAD FOR MEDICAL APPLICATIONS | 2 |
Selina Lam | US | San Jose | 2014-02-13 / 20140046802 - SYSTEMS AND METHODS FOR PROVIDING AN ENHANCED USER EXPERIENCE AT A VENUE OR EVENT | 1 |
Frederick W. Lam | US | Piedmont | 2008-12-04 / 20080300317 - DIAMONDOID DERIVATIVES POSSESSING THERAPEUTIC ACTIVITY IN THE TREATMENT OF VIRAL DISORDERS | 2 |
Joann Yin Lam | US | San Francisco | 2012-11-15 / 20120290794 - REQUEST TO OWN CHAINING IN MULTI-SOCKETED SYSTEMS | 1 |
Jennifer Lam | US | Fremont | 2014-05-22 / 20140143822 - SET TOP BOX WITH CAPABILITY TO SUPPORT USER IDENTIFICATION | 10 |
Bill Kp Lam | TW | Taipei | 2016-02-25 / 20160054927 - SYSTEM MANAGEMENT CONTROLLER AND METHOD OF CONFIGURATION FILE BACKUP AND RECOVERY | 5 |
Lui (ray) Lam | US | Woburn | 2013-02-07 / 20130034144 - SYSTEM AND METHOD OF PREBIAS FOR RAPID POWER AMPLIFIER RESPONSE CORRECTION | 2 |
Gow-Lin Lam | MY | Penang | 2014-01-09 / 20140008209 - METHOD AND DEVICE FOR PERFORMING QUANTUM CONTROL ON INFINITESIMAL QUANTA | 3 |
May Lam | US | Torrance | 2015-12-03 / 20150342237 - DIETARY INTERVENTION WITH REDUCED DAILY CALORIC INTAKE | 3 |
Albert O. Lam | US | San Diego | 2015-07-02 / 20150186047 - MANAGEMENT OF DATA IN MULTI-STORAGE SYSTEMS THAT CAN INCLUDE NON-VOLATILE AND VOLATILE STORAGES | 2 |
Fung U. Lam | HK | Hong Kong | 2014-06-12 / 20140160611 - Overheat-Resistant Power Cord and Method | 1 |
Koon Fung Lam | HK | New Territories | 2014-08-07 / 20140221535 - Tire Sealant and Preparation Method Thereof | 1 |
Kam Lam | US | Bentonville | 2014-07-24 / 20140207598 - SYSTEM AND METHOD FOR MANAGING PREPAID CARDS | 2 |
Hugo Yu Kor Lam | US | Mountain View | 2014-07-17 / 20140200147 - Methods and Systems for Genetic Analysis | 1 |
Terence T. L. Lam | US | Cupertino | 2015-01-29 / 20150029611 - STITCHED POLE HAVING A TAPERED TIP | 3 |
Kevin Lam | US | Woodinville | 2014-06-26 / 20140181305 - SCHEDULE BASED EXECUTION WITH EXTENSIBLE CONTINUATION BASED ACTIONS | 1 |
Yan Choi Lam | US | Pasadena | 2014-07-17 / 20140200376 - TANDEM TRANSFER HYDROGENATION AND OLIGOMERIZATION FOR HYDROCARBON PRODUCTION | 1 |
William Lam | US | Oak Ridge | 2014-08-07 / 20140220910 - GAIN SYNCHRONIZATION CIRCUITRY FOR SYNCHRONIZING A GAIN RESPONSE BETWEEN OUTPUT STAGES IN A MULTI-STAGE RF POWER AMPLIFIER | 1 |
Darren Hoe Yung Lam | GB | Kenilworth | 2011-05-26 / 20110124470 - RESPIRATORY MUSCLE TRAINING DEVICE | 1 |
Yiu Ming Lam | CA | Waterloo | 2011-05-26 / 20110124337 - METHOD AND APPARATUS FOR EFFICIENT NETWORK SCANNING | 1 |
Stan Lam | US | Pleasanton | 2015-12-17 / 20150359650 - STENT CRIMPING TOOL INSERT, SYSTEM, AND METHOD | 5 |
Alpha Lam | US | Kirkland | 2015-07-02 / 20150189338 - METHODS, SYSTEMS, AND MEDIA FOR REMOTE RENDERING OF WEB CONTENT ON A TELEVISION DEVICE | 1 |
Andrea Lam | AU | Ryde | 2016-03-03 / 20160059015 - INTRA-COCHLEAR STIMULATING ASSEMBLY INSERTION | 2 |
Chi Shing Lam | CN | Hong Kong | 2011-05-26 / 20110121008 - ACCESSORY FOR DRINKING VESSEL | 1 |
Richard B. Lam | US | Danbury | 2008-10-16 / 20080255910 - Method and System for Adaptive Project Risk Management | 1 |
Chung Hon Lam | US | Peekskill | 2015-08-27 / 20150243884 - METAL NITRIDE KEYHOLE OR SPACER PHASE CHANGE MEMORY CELL STRUCTURES | 46 |
Wing Hong Lam | CN | Kwun Tong | 2015-07-09 / 20150193900 - COMPOSITE DEVICE AND APPLICATION PROCESS AND APPARATUS THEREOF | 1 |
Jane Lam | US | San Jose | 2009-04-02 / 20090087138 - THERMAL CONTROL OF OPTICAL COMPONENTS | 1 |
John Lam | US | Sunnyvale | 2011-05-26 / 20110126056 - PROCESSOR PERFORMANCE ADJUSTMENT SYSTEM AND METHOD | 1 |
Tristin T. Lam | US | Cypress | 2009-04-02 / 20090084901 - PIVOTABLE PYLON FOR EXTERNAL CARRIAGE OF AIRCRAFT STORES | 1 |
Siu-Tung Lam | TW | Hsinchu City | 2015-10-15 / 20150293811 - DECODING METHOD, MEMORY STORAGE DEVICE AND MEMORY CONTROLLING CIRCUIT UNIT | 2 |
Wing Kin Lam | CN | Kwai Chung | 2012-11-29 / 20120301251 - APPARATUS FOR DELIVERING SEMICONDUCTOR COMPONENTS TO A SUBSTRATE DURING SEMICONDUCTOR PACKAGE MANUFACTURING | 1 |
Kui Kam Lam | CN | Kwai Chung | 2012-11-29 / 20120301251 - APPARATUS FOR DELIVERING SEMICONDUCTOR COMPONENTS TO A SUBSTRATE DURING SEMICONDUCTOR PACKAGE MANUFACTURING | 1 |
John Lam | US | Milpitas | 2015-04-23 / 20150108934 - DISTRIBUTED FAN CONTROL | 2 |
Kwok Ho Lam | CN | Hong Kong | 2012-11-29 / 20120302888 - ARRAY ULTRASOUND ENDOSCOPIC PROBE, A MANUFACTURE METHOD THEREOF AND A FIXING AND ROTATING DEVICE | 1 |
Chung Hon Lam | US | Yorktown Heights | 2011-07-14 / 20110168966 - DEPOSITION OF AMORPHOUS PHASE CHANGE MATERIAL | 10 |
Chun Chit Lam | US | Santa Clara | 2013-10-24 / 20130279853 - OPTICAL TRANSCEIVER INTERFACE WITH C-SHAPED PLANAR ALIGNMENT AND SECURING | 2 |
Wai Chung Lam | HK | Kowloon | 2016-05-19 / 20160142666 - VIDEO RECORDING FAILOVER | 3 |
Wai Lam | US | Jericho | 2015-11-26 / 20150339078 - SYSTEMS, DEVICES, APPARATUS, AND METHODS FOR IDENTIFYING STORED DATA BY A DEVICE LOCATED IN A PATH BETWEEN VIRTUAL FIBRE CHANNEL SWITCHES AND PERFORMING A DATA MANAGEMENT SERVICE | 10 |
Jennifer K. Lam | US | Fremont | 2016-05-12 / 20160135022 - CONDITIONAL MESSAGE FORWARDING FUNCTIONS | 37 |
Wai Wing Malcolm Lam | HK | Hong Kong | 2012-12-13 / 20120314344 - HAZARDOUS CONDITION DETECTOR HOUSING WITH FRONT DOOR BATTERY COMPARTMENT SAFETY FEATURE | 1 |
Tun Lam | CN | Hong Kong Sar | 2012-12-13 / 20120316448 - BLOOD PRESSURE MEASURING DEVICE AND METHOD OF CALIBRATING THEREOF | 1 |
Alan Lam | CN | Hong Kong | 2014-02-13 / 20140043205 - CONFIGURABLE ANTENNA SYSTEM AND METHOD | 2 |
Stan Lam | US | Dublin | 2015-10-15 / 20150290291 - SOLVENT/POLYMER SOLUTIONS AS SUSPENSION VEHICLES | 10 |
Tak Wing Lam | HK | Shatin | 2008-09-25 / 20080235401 - Method of storing media data delivered through a network | 1 |
Wilbur A. Lam | US | Decatur | 2015-05-21 / 20150140670 - KITS AND METHODS FOR DETERMINING PHYSIOLOGIC LEVEL(S) AND/OR RANGE(S) OF HEMOGLOBIN AND/OR DISEASE STATE | 5 |
Hilary Lam | HK | Hong Kong Sar | 2008-10-23 / 20080261319 - METHOD FOR DETECTING PRESENCE OF ARISTOLOCHIA MATERIALS IN HERBAL PRODUCTS AND BOTANICALS | 1 |
Wing Moon Raymond Lam | HK | Yuen | 2008-12-25 / 20080317807 - STRONTIUM FORTIFIED CALCIUM NANO-AND MICROPARTICLE COMPOSITIONS AND METHODS OF MAKING AND USING THEREOF | 1 |
Hon-Ming Lam | HK | Shatin | 2009-02-05 / 20090038029 - Method to alleviate abiotic stress in plants | 2 |
Kui Kam Lam | HK | Kwai Chung | 2015-03-12 / 20150072473 - DIE ATTACHMENT APPARATUS AND METHOD UTILIZING ACTIVATED FORMING GAS | 7 |
Wai Lam | HK | Kwai Chung | 2009-08-06 / 20090195088 - APPARATUS FOR GENERATING AMPLIFIED COOLING AIR FLOWS | 1 |
Tai-Seng Lam | TW | Taipei City | 2015-12-31 / 20150379493 - SERVICE DATA RECORD SYSTEM AND POS SYSTEM WITH THE SAME | 9 |
Ka Chun Matthew Lam | CN | Hong Kong | 2014-02-13 / 20140045408 - Gel-Cushioned Support Component Channel for a Brassiere | 1 |
Chin Hung Lam | HK | Shatin | 2009-08-13 / 20090200758 - Auto Locking Chuck | 1 |
Joseph Lam | HK | Chai Wan | 2009-10-29 / 20090268461 - Photon energy conversion structure | 1 |
Wendy N. Lam | US | San Jose | 2015-07-30 / 20150208922 - BALLOON ATHERECTOMY CATHETERS WITH IMAGING | 1 |
Wing Fai Lam | HK | Kwai Chung | 2014-11-20 / 20140341691 - BONDING APPARATUS HAVING A PLURALITY OF ROTARY TRANSFER ARMS FOR TRANSFERRING ELECTRONIC DEVICES FOR BONDING | 3 |
Johnny Lam | US | San Mateo | 2014-05-22 / 20140139314 - Remote Activated Fuse and Circuit | 2 |
Chun Chit Lam | US | San Jose | 2014-07-03 / 20140185988 - DATACENTER OPTICS (DCO) EDGE MOUNT TRANSCIEVER ASSEMBLY AND PLUG CONNECTOR | 2 |
Ping-Leung Lam | HK | Kwai Chung | 2009-12-10 / 20090303051 - Wireless Monitor System for Body Temperature, Environment Temperature and Pulse | 1 |
Vincent Lam | CN | Hong Kong | 2014-02-13 / 20140043205 - CONFIGURABLE ANTENNA SYSTEM AND METHOD | 1 |
Mo Kin Lam | HK | Hong Kong | 2015-07-30 / 20150212398 - PROJECTOR WITH EMBEDDED ELECTRIC SUSPENSION ARM | 1 |
Waikwong Lam | HK | Tsueng Kwan O | 2010-02-25 / 20100046211 - Lighting Device Configured to Operate with Different Batteries | 1 |
Albert Yun Sang Lam | HK | Yuen Long | 2010-03-04 / 20100057650 - CHEMICAL REACTION-TYPE METAHEURISTIC | 1 |
Taylor Lam | US | Thousand Oaks | 2015-08-06 / 20150217121 - METHOD AND SYSTEM FOR A WEARABLE DEFIBRILLATOR | 1 |
Chun Yu Ronald Lam | HK | Tseung Kwan O, N.t. | 2010-04-01 / 20100080706 - TRAVERSE AXIS FLUID TURBINE WITH CONTROLLABLE BLADES | 1 |
J. Michael Lam | US | Harrisonburg | 2011-02-03 / 20110024078 - Data center having heat exchange and transfer configuration | 2 |
William Y. Lam | US | Glen Allen | 2012-05-03 / 20120108478 - LUBRICANT COMPOSITION SUITABLE FOR ENGINES FUELED BY ALTERNATE FUELS | 7 |
Edyta Lam | GB | Preston | 2014-08-07 / 20140220563 - Fluid Identification System and Production and Use Thereof | 1 |
Chi Hung Louis Lam | HK | Tseung Kwan O, N.t. | 2010-04-01 / 20100080706 - TRAVERSE AXIS FLUID TURBINE WITH CONTROLLABLE BLADES | 1 |
Pak Wing Lam | HK | Causeway Bay | 2010-06-17 / 20100149748 - CAR MULTIMEDIA DEVICE WITH IPOD DOCKING AND IPOD SUPPORT BRACKET | 1 |
Wai Kwong Lam | HK | Metro City | 2010-09-09 / 20100225246 - Multi-Mode Flashlight | 1 |
Cliff Lam | US | Humble | 2016-02-18 / 20160046405 - PLUG-IN TYPE CONTAINER | 1 |
Richard Lam | US | Humble | 2016-02-18 / 20160046405 - PLUG-IN TYPE CONTAINER | 1 |
Terry Lam | US | Humble | 2016-02-18 / 20160046405 - PLUG-IN TYPE CONTAINER | 1 |
Victor Lam | HK | New Territories | 2010-12-23 / 20100320929 - LIGHTING FIXTURE SYSTEM FOR ILLUMINATION USING COLD CATHODE FLUORESCENT LAMPS | 1 |
Paul Lam | HK | Sai Kung | 2010-11-11 / 20100282744 - Container for microwavable food | 1 |
Waikwong Lam | HK | Metro City | 2011-03-31 / 20110075407 - Lighting Device Configured to Operate with Different Batteries | 1 |
Wing Yiu Lam | HK | Fotan | 2012-09-27 / 20120241398 - EXPANDABLE CLOTHES FRAME | 2 |
Chi Ho Lam | CN | Hong Kong | 2015-08-06 / 20150219921 - THERMOPLASTIC LAMINATE, DECORATIVE PART FOR EYEWEAR AND PROCESS OF PREPARATION THEREOF | 1 |
Yuk Lan Lam | HK | Tseng Kwan O | 2011-04-14 / 20110086357 - Methods for Evaluating a Disease Condition by Nucleic Acid Detection and Fractionation | 1 |
Un Teng Lam | HK | Hong Kong | 2014-07-31 / 20140209467 - Method For Producing White Anodized Aluminum Oxide | 1 |
Kin Fung Lam | US | Vancouver | 2015-08-13 / 20150228738 - SPLIT-GATE FLASH CELL WITH COMPOSITE CONTROL GATE AND METHOD FOR FORMING THE SAME | 2 |
Sheir Chun Lam | CN | Hong Kong | 2013-09-26 / 20130249320 - REMOTE CONTROLLED INTERACTIVE POWER SWITCH | 1 |
Dick Lam | US | Danbury | 2008-09-18 / 20080227079 - Method, Apparatus and Computer Program Code for Automation of Assessment Using Rubrics | 1 |
Shiu Kei Lam | HK | Kwai Chung | 2013-01-10 / 20130011941 - BOND LINE THICKNESS CONTROL FOR DIE ATTACHMENT | 1 |
Nathan Henry Lam | AU | New South Wales | 2014-07-24 / 20140204576 - REFLECTIVE ELECTROOPTIC LIGHTING DEVICE | 1 |
Hoyu Lam | US | Brooklyn | 2013-01-10 / 20130013888 - Method and Appartus For Index-Based Virtual Addressing | 1 |
Howard Lam | US | San Francisco | 2014-02-13 / 20140041124 - Inflatable Shaded Beach Pillow | 1 |
Rui Lam | US | Santa Rosa | 2015-08-27 / 20150239032 - Methods for Forming an Orthogonal End on a Helical Stent | 10 |
Duy Lam | US | Baltimore | 2015-08-20 / 20150232123 - STEERING KNUCKLE ASSEMBLY | 2 |
Hubert Tunchiao Lam | US | Clifton Park | / - | 1 |
Tom Lam | US | San Diego | 2014-07-17 / 20140201347 - System And Method For Implementing A Command Center In An Electronic Network | 1 |
Vinh Minh Glisttenmeer Lam | US | Hayword | 2014-07-17 / 20140196765 - SYSTEM OF VERTICALLY MOUNTING AN ARRAY OF CYLINDRICAL TUBULAR SOLAR PHOTOVOLTAIC CELLS | 1 |
Hiu Fung Lam | HK | Hong Kong | 2015-08-13 / 20150227709 - NETWORK-BASED HEALTHCARE MONITORING SYSTEM | 3 |
Victor Lam | US | Fremont | 2014-12-04 / 20140352756 - SOLAR BALLOON PHOTOVOLTAIC ARRAY | 4 |
Tin Lun Lam | CN | Hong Kong | 2013-01-31 / 20130025956 - GRIPPING DEVICES | 1 |
Josiah Lam | CN | Hong Kong | 2013-01-17 / 20130019103 - SYSTEM AND METHOD FOR GENERATING AND MANAGING ADMINISTRATOR PASSWORDS | 1 |
Chun Kwan Lam | CN | Hong Kong | 2013-01-24 / 20130019544 - MODERN GREEN ENVIRONMENTAL PUBLIC MAUSOLEUM/CEMETERY | 1 |
Wilfred Lam | US | Los Altos | 2013-12-12 / 20130332848 - CREATING NEW CONNECTIONS ON SOCIAL NETWORKS USING GESTURES | 3 |
Sze Kui Lam | HK | Shatin | 2015-08-20 / 20150236268 - ORGANIC MATERIALS FOR ORGANIC LIGHT EMITTING DEVICES | 1 |
Kok Chong Lam | SG | Singapore | 2013-01-24 / 20130024340 - Alternate Currency Derivatives | 1 |
Conan Lam | US | Fountain Valley | 2016-04-14 / 20160101335 - Weighted Table Tennis Training System | 1 |
Jenny Lam | SG | Science Park I | 2010-07-01 / 20100167501 - SEPARATION OF SEMICONDUCTOR DEVICES | 1 |
Hue Lam | US | Portland | 2014-09-18 / 20140268556 - DETACHABLE COMPUTING SYSTEM HAVING DUAL BATTERIES | 2 |
Daniel Kai Yu Lam | HK | Kowloon | 2014-06-26 / 20140177213 - Electric Torch | 1 |
Sui Yuk Lam | CN | Hong Kong | 2012-09-13 / 20120230416 - FAST MOTION ESTIMATION IN SCALABLE VIDEO CODING | 3 |
Hieu T. Lam | US | Milpitas | 2010-10-28 / 20100273026 - Patterned Magnetic Recording Media By Selective Anodic Removal Of An Element | 1 |
Boon Hor Lam | US | Boise | 2014-11-27 / 20140347944 - METHODS AND APPARATUSES FOR STACKED DEVICE TESTING | 1 |
Hieu T. Lam | US | Milipitas | 2010-09-30 / 20100247960 - PATTERNED ECC AND GRADIENT ANISOTROPY MEDIA THROUGH ELECTRODEPOSITION | 1 |
Chin Keong Lam | US | Palo Alto | 2014-11-27 / 20140350435 - METHOD AND SYSTEM FOR PROCESSING RUNNER DATA | 1 |
Ho-Yiu Lam | US | Mountain View | 2016-01-28 / 20160028228 - PROTECTION OF DEVICE FROM ELECTROSTATIC DISCHARGE (ESD) DAMAGE | 4 |
Hung L. Lam | US | Norco | 2014-08-07 / 20140222141 - PROSTHETIC MITRAL VALVE | 3 |
Fleming Lam | US | San Diego | 2015-10-29 / 20150311876 - Amplifiers and Related Biasing Methods and Devices | 4 |
William Lam | US | Foster City | 2013-10-24 / 20130283103 - FACILITATING LARGE-SCALE TESTING USING VIRTUALIZATION TECHNOLOGY IN A MULTI-TENANT DATABASE ENVIRONMENT | 2 |
Hung Ly Lam | US | Norco | 2010-03-04 / 20100057193 - FLEXIBLE HEART VALVE AND ASSOCIATED CONNECTING BAND | 1 |
Winsor Lam | US | San Francisco | 2014-02-13 / 20140046475 - METHOD AND APPARATUS DEPOSITION PROCESS SYNCHRONIZATION | 2 |
Joe Y. L. Lam | US | Castrol Valley | 2011-03-03 / 20110053282 - Methods and Kits Using Extended Rhodamine Dyes | 1 |
King Sing Lam | US | San Diego | 2008-09-11 / 20080221183 - ANTI-CANCER AND ANTI-MICROBIAL OXAZOLIDINONES | 1 |
Kin Sing Lam | US | San Diego | 2015-06-11 / 20150159130 - SALT FORMULATIONS FOR THE FERMENTATION OF MARINE MICROORGANISMS | 3 |
Kit S. Lam | US | Davis | 2015-07-09 / 20150190527 - BLADDER CANCER SPECIFIC LIGAND PEPTIDES | 13 |
Lee Yat Lam | US | Mountain View | 2010-08-26 / 20100216547 - DISC JOCKEY VIDEO GAME AND CONTROLLER | 1 |
Greg Lam | US | Los Angeles | 2014-12-25 / 20140379444 - Method and Apparatus for Targeted Advertisement Selection and Delivery | 6 |
David E. Lam | US | Carlsbad | 2010-12-09 / 20100311845 - GLYCOSIDASE ENZYMES | 2 |
Enoch Lam | HK | Hong Kong | 2012-04-12 / 20120085242 - HALOGEN POPCORN MAKER WITH STIRRING ARM | 1 |
David E. Lam | US | San Marcos | 2008-11-13 / 20080279985 - ENZYMES HAVING GLYCOSIDASE ACTIVITY AND METHODS OF USE THEREOF | 1 |
Chung W. Lam | US | Hillsborough | 2010-09-02 / 20100223422 - Advanced Dynamic Disk Memory Module | 2 |
Yee Wah Lam | CN | Hong Kong | 2012-04-12 / 20120086290 - VARIABLE AMPLITUDE VIBRATORY APPLIANCE | 2 |
Chi Ho Lam | HK | Hong Kong | 2012-05-03 / 20120110241 - SYSTEM FOR NAND FLASH PARAMETER AUTO-DETECTION | 1 |
Man Tat Lam | US | El Monte | 2010-02-11 / 20100036806 - Systems and Methods to Facilitate Search of Business Entities | 1 |
Sui Tung Lam | HK | Apleichau | 2014-11-13 / 20140332758 - DONOR-ACCEPTOR COMPOUNDS WITH NITROGEN CONTAINING POLYAROMATICS AS THE ACCEPTOR | 1 |
Marisa W. Lam | US | Pleasanton | 2011-05-26 / 20110124514 - Protein Signature Evaluation Platform | 2 |
Tom Lam | US | Chula Vista | 2013-05-16 / 20130124926 - SYSTEM HEALTH AND PERFORMANCE CARE OF COMPUTING DEVICES | 12 |
Monica Sin-Ling Lam | US | Menlo Park | 2012-03-22 / 20120072911 - TRACE ASSISTED PREFETCHING OF VIRTUAL MACHINES IN A DISTRIBUTED SYSTEM | 3 |
Nim Cho Lam | US | Saratoga | 2010-09-30 / 20100244867 - Structures and Processes for Fabrication of Probe Card Assemblies with Multi-Layer Interconnect | 1 |
Peifung Eric Lam | US | Mountain View | 2008-11-20 / 20080289019 - FRAMEWORK FOR AUTOMATED DISSEMINATION OF SECURITY METADATA FOR DISTRIBUTED TRUST ESTABLISHMENT | 1 |
Peter Ar-Fu Lam | US | Torrance | 2014-02-13 / 20140047406 - Path driven programming method and programming tool | 7 |
Joe Y.l. Lam | US | Castro Valley | 2015-10-01 / 20150274975 - Phenyl Xanthene Dyes | 7 |
Joe Lam | US | Castro Valley | 2014-06-19 / 20140171336 - Methods and Kits Using Extended Rhodamine Dyes | 2 |
Joe Y. L. Lam | US | Castro Valley | 2016-05-05 / 20160122813 - Methods And Kits Using Extended Rhodamine Dyes | 4 |
Joe Y. Lam | US | Castro Valley | 2015-07-16 / 20150198605 - USE OF ANTIBODY-SURROGATE ANTIGEN SYSTEMS FOR DETECTION OF ANALYTES | 3 |
Patrick Lam | CA | Calgary | 2016-04-21 / 20160108223 - HDPE ARTICLES | 15 |
Selina Lam | US | Castro Valley | 2015-12-10 / 20150356656 - MARKETPLACE LISTINGS ON PROCUREMENT TOOL | 13 |
Peter J. Lam | US | Mountain View | 2009-01-08 / 20090010257 - METHOD AND APPARATUS FOR SIMULTANEOUS SUPPORT OF FAST RESTORATION AND NATIVE MULTICAST IN IP NETWORKS | 1 |
Philippe Lam | US | San Bruno | 2010-12-09 / 20100310658 - Electroprocessed Fibrin-Based Matrices and Tissues | 1 |
Quang P. Lam | US | Hayward | 2013-10-31 / 20130287443 - PRINTER CHARGING BLADES AND PRINTERS | 4 |
Robert S. Lam | US | Oakland | 2015-08-20 / 20150234816 - METHOD, SYSTEM, AND COMPUTER PROGRAM FOR MONITORING PERFORMANCE OF APPLICATIONS IN A DISTRIBUTED ENVIRONMENT | 7 |
Wayne Lam | US | Muttontown | 2012-04-12 / 20120089578 - Data deduplication | 1 |
Sui M. Lam | US | Fremont | 2012-04-12 / 20120089769 - METHOD AND APPARATUS FOR DISPLAY OF WINDOWING APPLICATION PROGRAMS ON A TERMINAL | 1 |
Ryan Lam | US | San Mateo | 2011-03-03 / 20110049166 - METHOD OF FORMING TWO-DIMENSIONAL SHEET MATERIAL INTO THREE-DIMENSIONAL STRUCTURE | 4 |
Christopher Tin Sing Lam | US | Rowland Heights | 2008-10-02 / 20080238628 - FREQUENCY SYNTHESIZER AND FREQUENCY SYNTHESIZING METHOD | 1 |
Chris Lam | US | Rowland Heights | 2008-09-04 / 20080211560 - CLOCK GENERATOR AND ASSOCIATED SELF-TEST AND SWITCHING-CONTROL METHOD | 1 |
Sahn Lam | US | Sunnyvale | 2008-08-28 / 20080208926 - Data management in a data storage system using data sets | 2 |
Sam W. Lam | US | Oceanside | 2012-11-08 / 20120283232 - PROCESS FOR MAKING A PHARMACEUTICAL COMPOSITION | 2 |
Simon Sun-Man Lam | US | Agoura Hills | 2010-09-02 / 20100221230 - Elective Collection and Banking of Autologous Peripheral Blood Stem Cells | 1 |
Heather Lam | CA | Toronto | 2014-12-04 / 20140352808 - PRESSURE WASHER WITH HOSE REEL AND MOTOR PUMP ASSEMBLY | 2 |
Sivette Lam | US | Milpitas | 2016-03-03 / 20160058985 - AUTOMATED INFLATOR FOR BALLOON DILATOR | 9 |
Cao Ngoc Lam | US | El Monte | 2010-02-11 / 20100033201 - MEMS PROBE FABRICATION ON A REUSABLE SUBSTRATE FOR PROBE CARD APPLICATION | 1 |
Wing Young Lam | CA | Markham | 2016-04-21 / 20160110538 - MULTI- PROFILE MOBILE DEVICE INTERFACE FOR SAME USER | 4 |
Terence Tin-Lok Lam | US | Cupertino | 2010-07-29 / 20100187199 - SELF-ALIGNED COIL PROCESS IN MAGNETIC RECORDING HEADS | 2 |
Thai Lam | US | Torrance | 2014-07-31 / 20140215018 - METHOD AND SYSTEM FOR SECURING CONTENT COMMUNICATION IN CHUNKS FROM A CONTENT DELIVERY NETWORK TO A USER RECEIVING DEVICE | 3 |
Tom Lam | US | Chula Vista | 2013-05-16 / 20130124926 - SYSTEM HEALTH AND PERFORMANCE CARE OF COMPUTING DEVICES | 12 |
Frank Lam | US | Green Brook | 2012-04-19 / 20120090424 - BICYCLE PEDAL ASSEMBLY | 1 |
Tony Lam | US | Artesia | 2010-10-28 / 20100272127 - LASER APPARATUS WITH FEEDBACK FOR DISPERSIVE OUTPUT TO A PIN-HOLE ELEMENT | 2 |
Tony Lam | US | Menlo Park | 2014-07-10 / 20140195343 - METHOD AND SYSTEM OF DISPLAYING INFORMATION RELATED TO ITEMS OFFERED FOR SALE IN A NETWORK-BASED MARKETPLACE | 3 |
Wai-Chung Lam | US | Bonsall | 2015-08-20 / 20150232920 - DETECTION OF ANTIBIOTIC-RESISTANT MICROORGANISMS | 6 |
Wai-Chung Lam | US | San Diego | 2011-01-20 / 20110014623 - TAGGED OLIGONUCLEOTIDES AND THEIR USE IN NUCLEIC ACID AMPLIFICATION METHODS | 1 |
Waiman Lam | US | Union City | 2015-12-31 / 20150381402 - SERVICE GATEWAY FOR INTERACTIVE TELEVISION | 5 |
Siu Yin Lam | HK | Hong Kong | 2015-04-23 / 20150109574 - METHOD AND SYSTEM FOR RETARDING THE PROGRESSION OF MYOPIA | 2 |
Brian Lam | US | Sunnyvale | 2013-01-03 / 20130006799 - SYSTEMS, APPARATUS AND METHOD FOR HOSTING AND ASSIGNING DOMAIN NAMES ON A WIDE AREA NETWORK | 6 |
Winsor Lam | US | Daly City | 2010-04-29 / 20100105204 - METHOD TO MODULATE COVERAGE OF BARRIER AND SEED LAYER USING TITANIUM NITRIDE | 3 |
Xanthe M. Lam | US | South San Francisco | 2013-08-29 / 20130224185 - PROTEIN FORMULATION | 4 |
Joseph Hung Lam | VN | Ho Chi Minh City | 2013-03-28 / 20130079739 - Articles Comprising Impregnated Thermoplastic Members and Method of Manufacturing the Articles | 2 |
Sarah S. Lam | US | Vestal | 2014-11-20 / 20140343711 - DECISION SUPPORT SYSTEM FOR ORDER PRIORITIZATION | 1 |
Joseph Hung Lam | VN | Ho Chi Manh | 2012-03-15 / 20120061016 - Method of Making Prefastened Refastenable Disposable Absorbent Articles | 2 |
Yat-Tung Lam | US | Palo Alto | 2014-02-27 / 20140056346 - HIGH-SPEED PARALLEL DECISION FEEDBACK EQUALIZER | 2 |
Ka Wing Lam | HK | Hong Kong | 2014-12-04 / 20140358094 - COMPOSITION, THE NANO-EMULSION AND TRANSDERMAL PATCH, METHODS OF PREPARATION AND USE THEREOF FOR TREATING TRAUMATIC INJURIES | 1 |
Chung H. Lam | US | Westchester | 2009-03-19 / 20090073785 - MULTI-LEVEL MEMORY CELL UTILIZING MEASUREMENT TIME DELAY AS THE CHARACTERISTIC PARAMETER FOR LEVEL DEFINITION | 1 |
Roger Lam | US | Fishkill | 2015-04-02 / 20150093859 - ELECTRONIC MODULE ASSEMBLY WITH PATTERNED ADHESIVE ARRAY | 3 |
Blanco Zee Leung Lam | US | San Francisco | 2011-10-27 / 20110264810 - ESTABLISHING A SPLIT-TERMINATED COMMUNICATION CONNECTION THROUGH A STATEFUL FIREWALL, WITH NETWORK TRANSPARENCY | 2 |
Bernice M.t. Lam | US | San Francisco | 2009-08-27 / 20090215982 - GLYCOPEPTIDE DERIVATIVES AND PHARMACEUTICAL COMPOSITIONS CONTAINING THE SAME | 1 |
Warren Lam | US | Redmond | 2013-12-12 / 20130332155 - Double-Talk Detection for Audio Communication | 2 |
Ken Lam | US | Walnut | 2012-12-27 / 20120330112 - PATIENT MONITORING SYSTEM | 2 |
William Lam | MY | Penang | 2014-11-27 / 20140347082 - SEMICONDUCTOR DEVICE TEST SOCKET | 2 |
Wai Lam | US | Jericho | 2015-11-26 / 20150339078 - SYSTEMS, DEVICES, APPARATUS, AND METHODS FOR IDENTIFYING STORED DATA BY A DEVICE LOCATED IN A PATH BETWEEN VIRTUAL FIBRE CHANNEL SWITCHES AND PERFORMING A DATA MANAGEMENT SERVICE | 10 |
Trieu Lan Lam | AU | Victoria | 2012-04-19 / 20120094174 - PROCESS FOR PRODUCING NEGATIVE PLATE FOR LEAD STORAGE BATTERY, AND LEAD STORAGE BATTERY | 1 |
Patrick Lam | CA | Toronto | 2012-03-08 / 20120058931 - Drug target that promotes secretory granule-granule fusion | 1 |
Andrew Lam | US | San Francisco | 2016-04-21 / 20160111564 - Pre-Equilibrium System and Method Using Solid-State Devices as Energy Converters Using Nano-Engineered Porous Network Materials | 5 |
Tunchiao Hubert Lam | US | Clifton Park | 2012-07-05 / 20120171095 - LIQUID CARBON DIOXIDE ABSORBENTS, METHODS OF USING THE SAME, AND RELATED SYSTEMS | 6 |
Albert Y. Lam | US | Palos Verdes Estates | 2010-12-16 / 20100318033 - INDWELLING TROCAR FOR POST-SURGICAL OPERATIONS | 1 |
Linh Hue Lam | US | Yorktown Heights | 2012-08-02 / 20120197942 - Controlling Access to Documents by Parties | 5 |
Vincent Lam | US | Woodside | 2010-08-19 / 20100211564 - Generating a file with integral dynamic reports from queries to an external database | 1 |
Chung Hon Lam | US | Yorktown Heights | 2011-07-14 / 20110168966 - DEPOSITION OF AMORPHOUS PHASE CHANGE MATERIAL | 10 |
Linh H. Lam | US | Yorktown Heights | 2015-12-10 / 20150356473 - DERIVING COMPARABLE PLANNED AND ACTUAL SERVICE DELIVERY COST METRICS | 6 |
Kean Wing Kin Lam | CA | Richmond Hill | 2013-12-05 / 20130319246 - WATER RECOVERY SYSTEM AND METHOD | 10 |
Tommyhing-K H. Lam | US | Apalachin | 2009-02-05 / 20090033579 - Circularly polarized horn antenna | 1 |
Cheong Yin Lam | US | Cheektowaga | 2009-07-02 / 20090165307 - Combined fork and chopstick assembly | 1 |
Chung Hon Lam | US | Peeksill | 2009-09-24 / 20090239334 - ELECTRODE FORMED IN APERTURE DEFINED BY A COPOLYMER MASK | 1 |
Chung Lam | US | Peekskill | 2010-08-05 / 20100195378 - Phase Change Memory With Dual Word Lines and Source Lines and Method of Operating Same | 2 |
Kwan Him Lam | US | Endicott | 2012-02-09 / 20120032737 - ON-CHIP MILLIMETER WAVE LANGE COUPLER | 2 |
Michael Shungkai Lam | US | Milpitas | 2014-11-20 / 20140344295 - MESSAGE BASED WEB CONTENT RETRIEVAL SYSTEMS AND COMPUTER IMPLEMENTED METHODS THERETO | 1 |
Ngoc-Jane Lam | DE | Frankfurt Am Main | 2014-11-20 / 20140343510 - DRIVE ASSEMBLY FOR A MEDICATION DELIVERY DEVICE AND MEDICATION DELIVERY DEVICE COMPRISING A DRIVE ASSEMBLY | 1 |
Kwan H. Lam | US | Endicott | 2010-10-21 / 20100265011 - CIRCUIT STRUCTURE AND DESIGN STRUCTURE FOR AN OPTIONALLY SWITCHABLE ON-CHIP SLOW WAVE TRANSMISSION LINE BAND-STOP FILTER AND A METHOD OF MANUFACTURE | 1 |
Chun Yuen Lam | HK | Hung Hom | 2012-03-08 / 20120055175 - Automobile Hybrid Air Conditioning System | 1 |
Joseph K-W Lam | GB | Bristol | 2016-04-14 / 20160102261 - DEHYDRATION OF LIQUID FUEL | 22 |
Kwok Wai Lam | HK | Hong Kong | 2014-12-11 / 20140360097 - PLANTER BOX, MULTIPURPOSE DIACOLATION PIPE AND METHOD FOR SOIL DRAINAGE AND IRRIGATION | 1 |
Kwok Hung Lam | HK | Hong Kong | 2014-12-11 / 20140360097 - PLANTER BOX, MULTIPURPOSE DIACOLATION PIPE AND METHOD FOR SOIL DRAINAGE AND IRRIGATION | 1 |
Hiu Kwan Lam | HK | Hong Kong | 2015-11-19 / 20150331539 - POINTING DEVICE FOR INTERACTING WITH TOUCH-SENSITIVE DEVICES AND METHOD THEREOF | 2 |
Chung H. Lam | US | Yorktown Heights | 2014-06-19 / 20140166967 - SMALL FOOTPRINT PHASE CHANGE MEMORY CELL | 5 |
Linh H. Lam | US | Hawthorne | 2011-03-31 / 20110078499 - BUSINESS PROCESS ERROR HANDLING THROUGH PROCESS INSTANCE BACKUP AND RECOVERY | 1 |
Peter Lam | US | Raleigh | 2014-11-13 / 20140336618 - SPRAY EJECTOR MECHANISMS AND DEVICES PROVIDING CHARGE ISOLATION AND CONTROLLABLE DROPLET CHARGE, AND LOW DOSAGE VOLUME OPHTHALMIC ADMINISTRATION | 1 |
Koon Fung Lam | HK | Tuen Mun | 2015-06-04 / 20150152302 - Sealant Composition and Method of Preparing the Same | 2 |
Derek S. Lam | US | Minneapolis | 2012-08-09 / 20120203783 - PROCESSING ELECTRONIC MESSAGES ACCORDING TO SENDER CLASSIFICATION | 6 |
Kelly Lam | US | San Francisco | 2012-05-17 / 20120119896 - SYSTEMS AND METHODS FOR INFORMING A USER A PRESENCE OF A SUBJECT IN A VEHICLE | 1 |
Ben Lam | US | San Francisco | 2012-05-17 / 20120119896 - SYSTEMS AND METHODS FOR INFORMING A USER A PRESENCE OF A SUBJECT IN A VEHICLE | 1 |
Chi-Seng Lam | CN | Macau | 2014-02-27 / 20140055104 - Adaptive DC-link voltage controlled LC coupling hybrid active power filters for reactive power compensation | 1 |
Lawrence Lam | US | San Jose | 2015-07-16 / 20150199027 - KEY MECHANISM WITH SPRING KEYCAP | 14 |
Dennis Shun Chiu Lam | CN | Kowloon | 2012-04-26 / 20120101074 - METHOD AND MEDICATION FOR PREVENTION AND TREATMENT OF OCULAR HYPERTENSION AND GLAUCOMA | 1 |
Lawrence Lam | US | Bellevue | 2016-04-14 / 20160104232 - SYSTEM, METHOD, AND MEDIUM FOR GENERATING A MAP OF A GEOGRAPHIC REGION BASED ON CLIENT LOCATION DATA | 7 |
Serena Lam | US | San Francisco | 2013-11-07 / 20130296042 - GAME-BASED INCENTIVES FOR LOCATION-BASED ACTIONS | 12 |
Kwok Ho Lam | HK | Hong Kong | 2012-05-17 / 20120123272 - ROTARY ULTRASOUND IMAGING SYSTEM | 1 |
Chi Hung Louis Lam | CN | Hong Kong | 2012-02-09 / 20120034069 - TRANSVERSE AXIS TURBINE WITH CONTROLLABLE DISPLAY | 1 |
Ronald Chun Yu Lam | CN | Hong Kong | 2012-02-09 / 20120034069 - TRANSVERSE AXIS TURBINE WITH CONTROLLABLE DISPLAY | 1 |
Kin N. Lam | US | South Pasadena | 2012-02-09 / 20120033379 - AIRFLOW CONTROL IN AN ELECTRONIC CHASSIS | 1 |
Derek Lam | HK | North Point | 2012-02-09 / 20120031855 - METHOD AND DEVICE FOR TREATMENT OF HUMAN EXCREMENT | 1 |
Khiet Thanh Lam | US | Houston | 2012-02-02 / 20120028794 - CATALYSTS FOR THE PRODUCTION OF HYDROGEN | 1 |
Andrew C. Lam | US | Los Altos | 2014-12-11 / 20140365673 - Smart Management of Background Network Connections | 2 |
Christopher Tin Sing Lam | TW | Hsinchu Hsien | 2008-10-02 / 20080238498 - CLOCK GENERATOR, METHOD FOR GENERATING CLOCK SIGNAL AND FRACTIONAL PHASE LOCK LOOP THEREOF | 1 |
Barry Lam | TW | Tao Yuan Shien | 2008-12-18 / 20080309752 - Three-party video conference system and method | 2 |
Chu-Fong Lam | TW | Taipei City | 2009-09-24 / 20090237942 - Package structure for light emitting diode | 1 |
Chu-Fong Lam | TW | Taipei | 2010-01-21 / 20100014274 - LED ARRAY FLASH FOR CAMERAS | 1 |
Siu-Ming Lam | TW | Tao Yuan Shien | 2010-04-15 / 20100091443 - Hard disk securing apparatus | 1 |
Bill Lam | TW | Taipei | 2010-05-06 / 20100112845 - ELECTRICAL ADAPTER FOR A CONNECTOR HAVING A RETENTION LATCH | 1 |
Ying-Man Lam | TW | Taipei City | 2010-09-16 / 20100230844 - Process for Producing Environmental Protection Wall Plate | 1 |
Helen Joan Hem Lam | CA | Richmond | 2014-10-30 / 20140325567 - CUSTOMIZABLE CHANNEL GUIDE | 2 |
Ying-Man Lam | TW | Taipei | 2010-12-02 / 20100305235 - Anticorrosive Nanocomposite Coating Material, and a Preparation Process Thereof | 2 |
Cheong Ming Lam | SG | Singapore | 2014-02-27 / 20140058277 - BLOOD FLOW RATE MEASUREMENT SYSTEM | 1 |
Ar-Fu Lam | TW | Hsinchu City | 2011-03-24 / 20110068492 - 3D CURVED DISPLAY DEVICES, FABRICATION METHODS THEREOF AND PLASTIC DISPLAY PANELS | 2 |
Tai-Seng Lam | TW | Taipei City | 2015-12-31 / 20150379493 - SERVICE DATA RECORD SYSTEM AND POS SYSTEM WITH THE SAME | 9 |
Eric P. Lam | US | Diamond Bar | 2012-07-05 / 20120170850 - SYSTEM AND METHOD FOR IMAGE REGISTRATION BASED ON VARIABLE REGION OF INTEREST | 4 |
Wang Chee Lam | US | San Bruno | 2014-02-27 / 20140059185 - Processing Data Feeds | 2 |
Nyok Khiam Lam | SG | Singapore | 2012-01-26 / 20120019494 - ALIGNMENT FACTOR FOR AMBIENT LIGHTING CALIBRATION | 1 |
Peter Kwok Hing Lam | DK | Frederiksberg | 2015-01-15 / 20150018790 - OSTOMY WAFER | 1 |
Chin Hung Lam | HK | Ma On Shan | 2012-01-26 / 20120017452 - DOOR LOCK LOCATING TOOL KIT | 1 |
Maria G. Lam | US | Oakland | 2016-02-18 / 20160050587 - PROACTIVE NETWORK CONGESTION MITIGATION | 27 |
Sau Cheuk Lam | US | Orlando | 2014-02-27 / 20140054308 - FOOD CONTAINER | 1 |
Kit S. Lam | US | Oakland | 2016-03-17 / 20160074461 - LLP2A-BISPHOSPHONATE CONJUGATES FOR OSTEOPOROSIS TREATMENT | 2 |
Lawrence K.w. Lam | US | San Jose | 2015-06-25 / 20150181768 - BACKPLANE STRUCTURE AND SERVER SYSTEM UTILIZING THE SAME | 5 |
Joseph K-W Lam | GB | Filton | 2012-05-31 / 20120134679 - DATA TRANSMISSION NETWORK | 1 |
Joseph Hung Lam | VN | Ho Chi Mihn City | 2014-10-30 / 20140318695 - Methods and Apparatuses for Assembling Disposable Diaper Pants | 1 |
Thanh Lam | US | San Diego | 2012-05-31 / 20120136014 - DIHYDROFOLATE REDUCTASE INHIBITORS | 1 |
Fukming Lam | CN | Hong Kong | 2012-01-12 / 20120011286 - Optical communication module, universal serial bus cable with the same and processing method of data transfer thereof | 1 |
(david) Ngai Lam | US | Woburn | 2014-10-23 / 20140317169 - METHOD, APPARATUS, AND COMPUTER PROGRAM PRODUCT FOR SERVER SIDE DATA MASHUPS SPECIFICATION | 1 |
Lui (ray) Lam | US | Winchester | 2012-01-12 / 20120007654 - SYSTEM AND METHOD OF TRANSISTOR SWITCH BIASING IN A HIGH POWER SEMICONDUCTOR SWITCH | 1 |
John Lam | AU | Cherrybrook | 2015-11-12 / 20150321799 - PRODUCE CONTAINER | 2 |
Kevin Cao-Van Lam | CA | Mississauga | 2015-07-02 / 20150188562 - DIGITAL TUNING ENGINE FOR HIGHLY PROGRAMMABLE DELTA-SIGMA ANALOG-TO-DIGITAL CONVERTERS | 2 |
Peifung E. Lam | US | Mountain View | 2012-06-07 / 20120143778 - Method and System for Representing Laws and Rules | 1 |
Paul S. Lam | US | Cortland | 2012-06-07 / 20120142233 - DUAL CONTACT BEAM TERMINAL | 1 |
David E. Lam | US | San Elijo Hills | 2014-10-30 / 20140323356 - GLYCOSIDASE ENZYMES | 3 |
Ying Lam | HK | New Territories | 2013-12-05 / 20130324367 - Toy with light emitting function accomplished by rotary motion of a rope body around a handle | 1 |
Ching-Wan Lam | HK | Kowloon | 2015-01-22 / 20150025339 - Methods for Classifying Pleural Fluid | 1 |
Sui Lam | US | Fremont | 2015-01-22 / 20150026448 - DYNAMIC NIC BINDING | 1 |
Richard Lam | US | Kingwood | 2015-01-29 / 20150026917 - Tongue vacuum cleaner | 1 |
Gary Ka Ho Lam | US | Santa Clara | 2014-01-02 / 20140004626 - TEMPERATURE CONTROL OF CHEMICAL MECHANICAL POLISHING | 2 |
Serena Lam | US | San Francisco | 2013-11-07 / 20130296042 - GAME-BASED INCENTIVES FOR LOCATION-BASED ACTIONS | 12 |
Helena Lam | US | Thousand Oaks | 2009-11-19 / 20090283441 - Cosmetics kit | 1 |
Joseph H. Lam | US | Mason | 2008-11-06 / 20080275412 - Articles Comprising Impregnated Thermoplastic Members And Method Of Manufacturing The Articles | 1 |
Lui Lam | US | Lexington | 2015-10-22 / 20150303883 - SYSTEMS, CIRCUITS AND METHODS RELATED TO DYNAMIC ERROR VECTOR MAGNITUDE CORRECTIONS | 4 |
Duhane Lam | CA | Burnaby | 2015-04-30 / 20150116808 - SYSTEM AND METHOD FOR CONTROLLING AN OPTICAL FILTER ASSEMBLY | 3 |
Jenny T. Lam | US | Seattle | 2011-12-22 / 20110314424 - SCALING TYPE OVERLAY ICONS | 1 |
Cuon Lam | US | Renton | 2014-03-13 / 20140070887 - IMPEDANCE COMPENSATION FOR OPERATIONAL AMPLIFIERS USED IN VARIABLE ENVIRONMENTS | 1 |
Rui Lam | US | Santa Rosa | 2015-08-27 / 20150239032 - Methods for Forming an Orthogonal End on a Helical Stent | 10 |
Jane Lam | US | Randolph | 2012-06-14 / 20120149604 - Chemical Scavenger For Downhole Chemical Analysis | 2 |
Hin Chung Lam | US | Seattle | 2015-02-12 / 20150046599 - MULTICHANNEL COMMUNICATION SYSTEMS AND METHODS OF USING THE SAME | 1 |
Joe Augustine Lam | CA | Vancouver | 2015-02-12 / 20150041063 - TAPE APPLICATOR TO APPLY TAPE TO A VERTICAL SIDE OF A CASE | 1 |
Justin Lam | US | Cupertino | 2013-12-05 / 20130326368 - Creating a Social Network Message from an Interface of a Mobile Device Operating System | 1 |
Stanley Shu-Wing Lam | US | 2013-12-05 / 20130319389 - AIR GUN FIRING OPERATING SYSTEM | 1 | |
Cory Koon-Sing Lam | TW | Hsinchu | 2014-10-16 / 20140306021 - AIR CONDITIONING CONTROL DEVICE, AIR CONDITIONING SYSTEM, AND AIR CONDITIONING CONTROL METHOD THEREOF | 1 |
John Lam | US | Redmond | 2011-12-15 / 20110307859 - DYNAMIC LANGUAGES FOR STATIC HOSTS | 1 |
Ngoo-Jane Lam | DE | Frankfurt Am Main | 2014-03-13 / 20140074042 - Drive Assembly for a Drug Delivery Device and Corresponding Drug Delivery Device | 1 |
Chuck Lam | US | Millbrae | 2014-03-13 / 20140074606 - METHOD, SYSTEM AND COMPUTER CODE FOR CONTENT BASED WEB ADVERTISING | 1 |
Chun Tak Lam | US | Walnut Creek | 2014-10-23 / 20140317093 - FACILITATING DYNAMIC CREATION OF MULTI-COLUMN INDEX TABLES AND MANAGEMENT OF CUSTOMER QUERIES IN AN ON-DEMAND SERVICES ENVIRONMENT | 1 |
Dennis Shun Chiu Lam | HK | Kowloon | 2011-12-15 / 20110306999 - STIMULATION OF SPECIFIC ACUPUNCTURE POINTS FOR VISION IMPROVEMENT | 1 |
Tu Thanh Lam | US | Oviedo | 2014-09-25 / 20140287395 - METHOD AND SYSTEM FOR MEDICAL SKILLS TRAINING | 1 |
Hon-Wah Lam | HK | Kowloon Tong | 2011-12-15 / 20110306140 - Molecular Heterobimetallic Chemodosimetric Sensor for the Detection of Biogenic Amines | 1 |
David Lam | US | San Diego | 2015-07-23 / 20150203786 - METHODS FOR ENZYMATIC DECOLORIZATION OF CHLOROPHYLL | 2 |
Minh Hieu Lam | CH | Rotkreuz | 2011-12-15 / 20110304234 - SMALL ELECTRIC MOTOR | 1 |
Chi Long Daniel Lam | HK | Hong Kong | 2011-12-15 / 20110303194 - SYSTEM AND METHOD OF IMPROVING EFFICIENCY OF COMBUSTION ENGINES | 1 |
David Chuen Chun Lam | CN | Hong Kong | 2015-10-15 / 20150289892 - Low Force Thrombectomy Device | 3 |
Kapang Lam | US | Chelmsford | 2015-02-19 / 20150049190 - System and Method for Video/Audio and Event Dispatch Using Positioning System | 1 |
Pok Yin Lam | CN | Hong Kong | 2014-10-02 / 20140294495 - ROTATION-TYPE JOGGLE STRUCTURE AND FURNITURE HAVING THE SAME | 1 |
Lawrence K. Lam | US | San Jose | 2009-01-08 / 20090009392 - SHARED PHASED ARRAY CLUSTER BEAMFORMER | 1 |
Anthony Lam | CN | Hong Kong Sar | 2014-10-09 / 20140302924 - MULTIPLAYER TEAM BALANCING | 1 |
Gordon W. Lam | US | Renton | 2013-05-09 / 20130115120 - PERISTALTIC PUMP ASSEMBLIES AND SYSTEMS INCORPORATING SUCH PUMP ASSEMBLIES | 2 |
Bianca J. Lam | US | Encinitas | 2011-12-08 / 20110300554 - EXPONENTIAL ISOTHERMAL SELF-SUSTAINED REPLICATION OF AN RNA ENZYME | 1 |
Johnson Lam | US | Ridgewood | 2014-07-10 / 20140195314 - SYSTEM AND METHOD FOR NETWORKED LOYALTY PROGRAM | 2 |
Yin Zin Mark Lam | US | Milpitas | 2013-03-14 / 20130067170 - Browser Predictive Caching | 2 |
Waiman Lam | US | Sammamish | 2012-06-21 / 20120158985 - DISTRIBUTED SMOOTH STREAMING UTILIZING DYNAMIC MANIFESTS | 1 |
Richard Shing-Hong Lam | US | Cupertino | 2012-06-28 / 20120163381 - Multiple Label Based Processing of Frames | 2 |
Thomas C. Lam | US | San Jose | 2011-09-01 / 20110210777 - DISPERSION COMPENSATION CIRCUITRY AND SYSTEM FOR ANALOG VIDEO TRANSMISSION WITH DIRECT MODULATED LASER | 2 |
Situan Lam | US | San Jose | 2011-04-28 / 20110094888 - Rejuvenation method for ruthenium plating seed | 2 |
Leo Lam | US | Calabasas | 2010-12-16 / 20100313658 - FIBER OPTIC PARTICLE MOTION SENSOR SYSTEM | 2 |
Patrick Y.s. Lam | US | Chadds Ford | 2015-09-17 / 20150259286 - 7-HYDROXY-INDOLINYL ANTAGONISTS OF P2Y1 RECEPTOR | 10 |
Kean Wing Kin Lam | CA | Richmond Hill | 2013-12-05 / 20130319246 - WATER RECOVERY SYSTEM AND METHOD | 10 |
Andrew C. Lam | US | San Jose | 2008-12-04 / 20080299210 - STABLE NANOSIZED AMORPHOUS DRUG | 1 |
Hyman Lam | US | San Jose | 2014-11-20 / 20140342555 - DEPOSITION CHAMBERS WITH UV TREATMENT AND METHODS OF USE | 7 |
Cheung-Wei Lam | US | San Jose | 2010-02-25 / 20100044067 - FLEXIBLE SHIELDED CABLE | 2 |
Brian Lam | US | San Jose | 2010-09-30 / 20100250365 - AD GROUPS FOR USING ADVERTISEMENTS ACROSS PLACEMENTS | 3 |
Hai Lam | US | Douglasville | 2014-04-24 / 20140113069 - METHOD OF MANUFACTURING ELECTRICAL CABLE, AND RESULTING PRODUCT, WITH REDUCED REQUIRED INSTALLATION PULLING FORCE | 5 |
Duc Lam | US | San Jose | 2015-04-09 / 20150099975 - Signal Processing for Intravascular Imaging | 6 |
Tuan Anh Vu Lam | US | San Jose | 2014-02-13 / 20140046715 - SYSTEMS ANDS METHODS FOR AUTOMATED SCHEDULING | 2 |
Khanh Lam | US | San Jose | 2016-04-21 / 20160112683 - MIXED FORMAT MEDIA TRANSMISSION SYSTEMS AND METHODS | 6 |
Yu Cong Lam | US | San Jose | 2014-11-27 / 20140351238 - REDUCING LAG TIME WHEN SEARCHING A REPOSITORY USING A KEYWORD SEARCH | 7 |
Jack Lam | US | San Jose | 2011-03-17 / 20110063151 - Systems, Circuits, and Methods for Pipelined Folding and Interpolating ADC Architecture | 2 |
Chin Keong Lam | US | San Jose | 2011-04-07 / 20110079213 - CONTROL SIGNAL GENERATION OF A SOLAR PANEL ORIENTATION SYSTEM WITH INTERFERENCE REDUCTION USING AN INFRARED FILTER | 1 |
Duc H. Lam | US | San Jose | 2014-11-20 / 20140343430 - IMAGING PROCESSING SYSTEMS AND METHODS | 2 |
Michelle D. Lam | US | San Jose | 2008-10-30 / 20080270609 - COMPUTER-READABLE MEDIUM TO MULTIPLEX MULTIPLE APPLICATION SERVER REQUESTS OVER A SINGLE DATABASE CONNECTION | 1 |
Brian Lam | CA | Toronto | 2016-03-17 / 20160077046 - SYSTEMS AND METHODS FOR MULTIPLEXED ELECTROCHEMICAL DETECTION | 2 |
Bin Lam | US | San Diego | 2014-05-01 / 20140120983 - METHODS, SYSTEMS, AND APPARATUSES FOR INCORPORATING WIRELESS HEADSETS, TERMINALS, AND COMMUNICATION DEVICES INTO FASHION ACCESSORIES AND JEWELRY | 3 |
Quan-Chiu Harry Lam | US | San Jose | 2015-11-12 / 20150325258 - STIFF DISCRETE INSERT ARRAY FOR THERMAL PTR MANAGEMENT WITH DESIRED INDUCED STRESS STATE THAT REDUCES TENDENCY FOR WRITE POLE ERASURE | 7 |
Myphuong Lam | US | Houston | 2011-12-01 / 20110295149 - APPARATUS FOR SOLUBILIZING TISSUE | 1 |
Alan Cheuk-Ming Lam | US | San Jose | 2010-01-14 / 20100011324 - Structured Placement For Bit Slices | 1 |
Cedric F. Lam | US | San Jose | 2011-12-01 / 20110293279 - Tunable Multi-Wavelength Optical Transmitter and Transceiver for Optical Communications Based on Wavelength Division Multiplexing | 2 |
Dat D. Lam | US | Spokane Valley | 2008-10-30 / 20080265843 - CONSERVATION OF ELECTRICAL ENERGY AND ELECTRO-MAGNETIC POWER IN BATTERY CHARGER | 1 |
Shing Yan Lam | US | Seattle | 2009-01-01 / 20090006398 - RECOMMENDATION SYSTEM WITH MULTIPLE INTEGRATED RECOMMENDERS | 3 |
Arthur Ka Tsuen Lam | US | Issaquah | 2009-04-16 / 20090100380 - Navigating through content | 1 |
Arthur K.t. Lam | US | Seattle | 2009-06-18 / 20090156209 - AUTOMATIC PROVISIONING BASED ON COMMUNICATION NETWORK CONNECTIVITY AND CHARACTERISTICS | 1 |
For S. Lam | US | Bothell | 2009-09-17 / 20090231142 - SYSTEM AND METHOD FOR DETERMINING RFID TAG PLACEMENT | 2 |
Son H. Lam | US | Puyallup | 2015-04-23 / 20150109051 - SUPPLY VOLTAGE CONTROL BASED AT LEAST IN PART ON POWER STATE OF INTEGRATED CIRCUIT | 10 |
Steven Lam | US | Medford | 2016-05-19 / 20160137526 - SELECTIVE SCALING IN DESALINATION WATER TREATMENT SYSTEMS AND ASSOCIATED METHODS | 9 |
Rohan Lam | US | Fall City | 2010-04-15 / 20100094991 - Automated Role Based Usage Determination for Software System | 1 |
Rohan S. Lam | US | Fall City | 2010-09-16 / 20100235471 - ASSOCIATING TELEMETRY DATA FROM A GROUP OF ENTITIES | 1 |
Ricky Kai-Chi Lam | US | Bellevue | 2011-03-17 / 20110062100 - ANTI-TIP BRACKET FOR USE WITH MOBILE SHELVING | 1 |
Sander Lam | US | Everett | 2013-06-20 / 20130153658 - SNAP-ON MODULE FOR SELECTIVELY INSTALLING RECEIVING ELEMENT(S) TO A MOBILE DEVICE | 2 |
For Sander Lam | US | Bothell | 2011-08-04 / 20110187507 - AUTOMATIC DATA COLLECTION DEVICE, METHOD AND ARTICLE | 5 |
Cecilia Sum Lam | US | Scottsdale | 2013-11-28 / 20130312843 - PRESSURE AND FLOW ALTITUDE COMPENSATED SHUTOFF VALVE | 1 |
Toon Jin Lam | SG | Singapore | 2012-06-28 / 20120167241 - CHIMERIC GENE CONSTRUCTS FOR GENERATION OF FLUORESCENT TRANSGENIC ORNAMENTAL FISH | 1 |
Jenny Lam | US | Seattle | 2010-08-26 / 20100216108 - AUDIOVISUAL RECORD OF A USER READING A BOOK ALOUD FOR PLAYBACK WITH A VIRTUAL BOOK | 1 |
Edmund Y. Lam | HK | Pokfulam | 2014-04-03 / 20140093185 - APPARATUS, SYSTEM, AND METHOD FOR MULTI-PATCH BASED SUPER-RESOLUTION FROM AN IMAGE | 1 |
David Y. Lam | US | Mukilteo | 2010-09-23 / 20100241381 - CALIBRATION TO IMPROVE WEATHER RADAR POSITIONING DETERMINATION | 1 |
Tai Anh Lam | US | Kent | 2012-11-01 / 20120274525 - Steering Radio Frequency Beams Using Negative Index Metamaterial Lenses | 5 |
Wilson Lam | US | Bellevue | 2010-11-25 / 20100299134 - CONTEXTUAL COMMENTARY OF TEXTUAL IMAGES | 2 |
Tai A. Lam | US | Kent | 2015-04-16 / 20150101860 - ELECTRONIC DEVICE PROTECTION | 3 |
Loc Viet Lam | US | Renton | 2016-05-19 / 20160138388 - ADVANCED DRILL STRING COMMUNICATION SYSTEM, COMPONENTS AND METHODS | 5 |
Wilson H. Lam | US | Bellevue | 2011-02-17 / 20110038552 - GRAPHICALLY ENCODED DATA COPY AND PASTE | 1 |
Andy Lam | US | Seattle | 2013-10-10 / 20130268482 - DETERMINING ENTITY POPULARITY USING SEARCH QUERIES | 4 |
Sity Lam | US | Pleasanton | 2012-01-26 / 20120018716 - EMISSIVE SEMI-INTERPENETRATING POLYMER NETWORKS | 2 |
Willis Lam | US | San Diego | 2014-10-23 / 20140317727 - EXTENSIBLE DEPLOYMENT SYSTEM | 13 |
Darren Lam | HK | Hong Kong | 2011-11-24 / 20110287685 - Animal bubble assembly | 1 |
Ngai Yan Lam | CN | Hong Kong | 2011-11-24 / 20110283896 - KITCHEN APPLIANCE | 1 |
Wai Ming Lam | CN | Hong Kong | 2016-05-12 / 20160128443 - HAIR STYLING IRON WITH TIMER | 2 |
Juan F. Lam | US | Manhattan Beach | 2010-11-04 / 20100277372 - SYSTEM AND METHOD FOR OPERATING A RADAR SYSTEM IN A CONTINUOUS WAVE MODE FOR DATA COMMUNICATION | 1 |
Clinton Lam | US | 2013-05-16 / 20130120252 - INTERACTIVE INPUT SYSTEM AND METHOD | 1 | |
Kar Wai Lam | CN | Hong Kong | 2015-03-12 / 20150069873 - ELECTRIC MOTOR | 1 |
Ken M. Lam | US | Colorado Springs | 2011-08-11 / 20110193192 - Stacked-Die Electronics Package with Planar and Three-Dimensional Inductor Elements | 9 |
Xanthe Lam | US | South San Francisco | 2013-12-26 / 20130344085 - ANTI-PCSK9 ANTIBODIES, FORMULATIONS, DOSING, AND METHODS OF USE | 1 |
Amnon Lam | IL | Kibbutz Givat Oz | 2015-09-10 / 20150250478 - FILMS, KITS AND METHODS FOR ENHANCING TISSUE TREATMENT BY PLASMA WELDING | 2 |
Terence T.l. Lam | US | Cupertino | 2014-01-02 / 20140002927 - WRITE HEAD STRUCTURE DESIGNED FOR TEMPERATURE INSENSITIVE WRITING PERFORMANCE | 1 |
Hila Lam | IL | Tel-Aviv | 2011-11-17 / 20110282661 - METHOD FOR SPEAKER SOURCE CLASSIFICATION | 1 |
Wilbur Lam | US | Decatur | 2016-02-25 / 20160054555 - HIGH NUMERICAL APERTURE TELEMICROSCOPY APPARATUS | 3 |
Chunwang Lam | US | Easton | 2014-01-23 / 20140025031 - FLEXIBLE, SELF EXPANSIBLE, REMOVABLE MEMORY COIL INTRAGASTRIC DEVICE AND METHOD OF USING SUCH DEVICE FOR WEIGHT REDUCTION AND MEDICATION DELIVERY | 1 |
Felix Lam | US | Cambridge | 2015-03-12 / 20150072391 - ETHANOL PRODUCTION IN ENGINEERED YEAST | 1 |
Dominic Man-Kit Lam | CN | Hong Kong | 2013-01-03 / 20130004547 - ORAL VACCINES PRODUCED AND ADMINISTERED USING EDIBLE MICRO-ORGANISMS INCLUDING LACTIC ACID BACTERIAL STRAINS | 3 |
Mark T. Lam | SG | Singapore | 2016-01-28 / 20160026177 - PRODUCTION LINE QUALITY PROCESSES | 2 |
David C. Lam | US | Sunnyvale | 2011-11-17 / 20110282829 - WORKFLOW TASK ROUTING BASED ON CARDINALITY OF TASK DATA | 3 |
Leo Lam | US | Chatsworth | 2012-08-30 / 20120216615 - METHOD OF MEASURING ACCELERATION USING A FIBER OPTIC PARTICLE MOTION SENSOR | 2 |
Phuong-Nghi Karen Lam | US | San Francisco | 2012-07-05 / 20120171578 - Battery having electrolyte with mixed solvent | 1 |
Jimmy Wingsun Lam | CN | Hunghom Kowloon | 2011-11-17 / 20110277424 - Method of packing furniture suite for shipping | 1 |
Sai Hung Lam | CN | Shanghai | 2011-11-17 / 20110279797 - APPARATUS AND METHOD FOR CALIBRATING LITHOGRAPHY PROCESS | 1 |
Michael Lam | US | Los Altos Hills | 2014-01-02 / 20140001313 - MECHANICAL CONTROL MIXER AND METHOD THEREFOR | 2 |
Lap-Tung Lam | HK | Hong Kong | 2014-04-17 / 20140102494 - UMBRELLA WITH OFFSET GORE | 1 |
Chun Ho Lam | US | East Lansing | 2016-01-28 / 20160024669 - ELECTROLYZER REACTOR AND RELATED METHODS | 2 |
Bao Lam | US | Mountain View | 2014-04-03 / 20140095614 - IDENTITY CROWD-SOURCED CURATION | 1 |
Hin-Chung Lam | US | Kirkland | 2014-09-18 / 20140281983 - ANAGING AUDIO AT THE TAB LEVEL FOR USER NOTIFICATION AND CONTROL | 2 |
Eugene Lam | US | Milpitas | 2014-01-23 / 20140022441 - DETERMINING AN IN-FOCUS POSITION OF A LENS | 1 |
Sandra Lam | US | Rancho Palos Verdes | 2015-02-19 / 20150051693 - Anti-migration Micropatterned Stent Coating | 2 |
Ming W. Lam | US | San Mateo | 2013-10-10 / 20130268920 - SYSTEM AND METHOD FOR MOVING ENTERPRISE SOFTWARE APPLICATION COMPONENTS ACROSS ENVIRONMENTS | 1 |
Cang C. Lam | US | San Clemente | 2014-12-04 / 20140358164 - TISSUE MANIPULATION AND SECUREMENT SYSTEM | 2 |
An T. Lam | US | Alhambra | 2014-08-28 / 20140244686 - METHOD FOR COMBINING VOICE SIGNALS TO FORM A CONTINUOUS CONVERSATION IN PERFORMING A VOICE SEARCH | 3 |
Cedric Fung Lam | US | Milpitas | 2013-09-05 / 20130230034 - Extending A Local Area Network | 1 |
Andrew C. Lam | US | Palo Alto | 2013-08-15 / 20130209562 - METHODS AND DEVICES FOR PROVIDING PROLONGED DRUG THERAPY | 1 |
Monica S. Lam | US | Menlo Park | 2013-07-18 / 20130185210 - Method and System for Making Digital Payments | 1 |
Yeung Lam | US | Sherman Oaks | 2015-12-24 / 20150366499 - SEM SCANNER SENSING APPARATUS, SYSTEM AND METHODOLOGY FOR EARLY DETECTION OF ULCERS | 3 |
Hoa L. Lam | US | Springfield | 2013-05-02 / 20130105057 - GEODESIC PNEUMATIC TIRE WITH BRAIDED CARCASS | 1 |
Hon Sang Lam | HK | Kowloon | 2016-04-21 / 20160110769 - Systems and Methods for Observing the Movements of Passengers on Conveying Devices | 1 |
Benson Lam | US | San Ramon | 2015-03-19 / 20150082251 - NAVIGATION TOOL FOR DEVICE USER INTERFACE | 1 |
Shun Yee Lam | CN | Hong Kong | 2011-11-03 / 20110266954 - MULTIFUNCTIONAL RECHARGEABLE LED LIGHT | 1 |
Jason Lam | CA | Vancouver | 2015-11-19 / 20150332540 - MANAGING GROUP PLAY IN LOTTERY DRAWS | 3 |
Hyman W.h. Lam | US | San Jose | 2014-06-19 / 20140165911 - APPARATUS FOR PROVIDING PLASMA TO A PROCESS CHAMBER | 3 |
Daniel D. Lam | US | Atlanta | 2011-10-27 / 20110265165 - Automated User Authentication Identification for Customized Converged Services | 1 |
Daniel Lam | CA | Richmond Hill | 2011-10-27 / 20110265010 - SYSTEM AND METHOD FOR GENERATION OF WEBSITE DISPLAY AND INTERFACE | 1 |
Wing Lam | US | Anaheim | 2016-01-07 / 20160005312 - BICYCLE DIFFERENTIATION USING VIDEO DATA ANALYTICS | 3 |
William Lam | US | Pleasanton | 2014-09-18 / 20140281808 - ERROR CORRECTION OPERATIONS IN A MEMORY DEVICE | 1 |
Lindsay Lam | US | Costa Mesa | 2015-08-27 / 20150238315 - PROSTHESIS, DELIVERY DEVICE AND METHODS OF USE | 2 |
Ho Q. Lam | US | Verona | 2014-09-18 / 20140270040 - SYSTEMS AND METHODS FOR SPENT FUEL POOL SUBCRITICALITY MEASUREMENT AND MONITORING | 1 |
Mark Tiam Weng Lam | SG | Singapore | 2014-09-18 / 20140266242 - SCREENING METHODOLOGY TO ELIMINATE WIRE SWEEP IN BOND AND ASSEMBLY MODULE PACKAGING | 1 |
Wai Leung Lam | HK | Hong Kong | 2012-07-19 / 20120181245 - NURSING BOTTLE NIPPLE AND AIR-VENTING SYSTEM | 1 |
Kiet H. Lam | US | Old Round Rock | 2009-02-19 / 20090049178 - SYSTEM AND METHOD FOR MINIMIZING RETRY DELAYS IN HIGH TRAFFIC COMPUTER NETWORKS | 1 |
Clive C. Lam | US | Tomball | 2013-06-27 / 20130160309 - SYSTEM AND METHOD FOR MEASURING PIPE | 3 |
Bruce Lam | US | Everett | 2015-03-26 / 20150088371 - Brake Load Alleviation Functions | 1 |
Vincent Lam | US | Austin | 2015-05-14 / 20150129478 - FILTERS AND FILTER ARRANGEMENTS WHICH INCLUDE A FILTER AND A MANIFOLD ASSEMBLY | 6 |
Clive Lam | US | Tomball | 2009-11-19 / 20090283454 - Shale shakers and screens with identification apparatuses | 4 |
Sio Kuan Lam | MO | De To Pou Gdn-Tsu Pou Kok | 2009-03-05 / 20090059373 - Actuator for linear motion and tilting motion | 1 |
Sio Kuan Lam | HK | New Territories | 2013-04-04 / 20130083231 - MULTI-DRIVE MECHANISM LENS ACTUATOR | 8 |
Sio Kuan Lam | HK | Sheung Shui | 2011-12-08 / 20110299181 - COMPACT IMAGING DEVICE | 5 |
Sio Kuan Lam | HK | Hong Kong | 2016-04-21 / 20160109681 - MINIATURE LENS DRIVING APPARATUS | 8 |
King-Sang Lam | US | Austin | 2009-10-22 / 20090260759 - Method of improving adhesion of dielectric cap to copper | 1 |
Phillip Lam | US | San Francisco | 2011-11-03 / 20110265304 - INTEGRATED CHIP CARRIERS WITH THERMOCYCLER INTERFACES AND METHODS OF USING THE SAME | 2 |
Clive Lam | US | Townhall | 2009-08-20 / 20090205820 - Systems and methods for monitored drilling | 1 |
My Phuong Lam | US | Houston | 2009-08-06 / 20090197951 - Substituted Fullerene Formulations and Their Use in Ameliorating Oxidative Stress Diseases or Inhibiting Cell Death | 1 |
Kiet H. Lam | US | Round Rock | 2012-05-24 / 20120131314 - Ganged Hardware Counters for Coordinated Rollover and Reset Operations | 3 |
Sydney C. Lam | US | Dallas | 2015-05-21 / 20150142830 - SYSTEM AND METHOD FOR FINDING MATCHES BETWEEN USERS IN A NETWORKED ENVIRONMENT | 4 |
Vincent C. Lam | US | Grand Prairie | 2010-10-28 / 20100274415 - TIME-TO-GO MISSILE GUIDANCE METHOD AND SYSTEM | 1 |
Hieu A. Lam | US | Richardson | 2009-12-17 / 20090311634 - METHOD OF DOUBLE PATTERNING USING SACRIFICIAL STRUCTURE | 2 |
Cang Lam | US | Tustin | 2014-09-25 / 20140288588 - Embolic Protection Device | 3 |
Sio Kuan Lam | HK | Hong Kong | 2016-04-21 / 20160109681 - MINIATURE LENS DRIVING APPARATUS | 8 |
Pang Ngean Lam | SG | Singapore | 2016-04-14 / 20160105552 - INTELLIGENT ROUTING FOR FIXED MOBILE CONVERGENCE SYSTEM | 1 |
Teck Khiam Lam | SG | Singapore | 2016-04-14 / 20160105552 - INTELLIGENT ROUTING FOR FIXED MOBILE CONVERGENCE SYSTEM | 1 |
Kin-Sang Lam | US | Austin | 2012-06-28 / 20120160807 - SYSTEM, METHOD AND APPARATUS FOR REDUCING PLASMA NOISE ON POWER PATH OF ELECTROSTATIC CHUCK | 2 |
Steven Lam | US | Boston | 2015-12-24 / 20150368121 - Multi-Stage Bubble Column Humidifier | 4 |
Clive Chemo Lam | US | Tomball | 2008-10-02 / 20080236286 - Non-destructive tubular testing | 1 |
David Lam | US | Fitchburg | 2014-09-18 / 20140262746 - Toroidal plasma Abatement Apparatus and Method | 1 |
Alister Lam | GB | Eastleigh/hampshire | 2011-10-13 / 20110251955 - ENHANCED SMART CARD USAGE | 1 |
Andrew Lam | HK | Chai Wan | 2012-07-26 / 20120187238 - HELICOPTER WITH REMOTE CONTROL | 1 |
Samy Lam | HK | Kowloon | 2015-07-30 / 20150210432 - OBJECT AND FOOD ITEM STORAGE DEVICE | 2 |
Quang Lam | US | Philadelphia | 2011-10-13 / 20110247245 - Apparatus for Multi-Functional Snow Use | 1 |
Henry H. Lam | US | San Jose | 2015-04-02 / 20150092351 - HEAT TRANSFER STRUCTURE | 1 |
Andy Lam | US | San Francisco | 2014-05-29 / 20140149261 - TWO-WAY TOUCH-SCREEN BASED COMMUNICATION SYSTEM | 3 |
Monica Lam | US | Menlo Park | 2008-10-02 / 20080244506 - SYSTEM AND METHOD OF DESIGNING INSTRUCTION EXTENSIONS TO SUPPLEMENT AN EXISTING PROCESSOR INSTRUCTION SET ARCHITECTURE | 2 |
Terry Y. Lam | US | Houston | 2015-04-09 / 20150096256 - SYSTEM FOR INSTALLING AND SECURING CONSTRUCTION MATERIALS | 2 |
Maria G. Lam | US | Oakland | 2016-02-18 / 20160050587 - PROACTIVE NETWORK CONGESTION MITIGATION | 27 |
Richard Chorfoam Lam | US | Houston | 2015-04-09 / 20150096256 - SYSTEM FOR INSTALLING AND SECURING CONSTRUCTION MATERIALS | 2 |
Cliff Chorsum Lam | US | Houston | 2015-04-09 / 20150096256 - SYSTEM FOR INSTALLING AND SECURING CONSTRUCTION MATERIALS | 2 |
Tommy H. Lam | US | Apalachin | 2015-04-09 / 20150097742 - Tunable Serpentine Antenna Assembly | 1 |
Raymond Wing Moon Lam | CN | Hong Kong | 2012-08-02 / 20120195848 - STRONTIUM-CONTAINING BIOACTIVE BONE CEMENT | 1 |
Chung H. Lam | US | Peekskill | 2016-05-05 / 20160125938 - PHASE CHANGE MEMORY WITH METASTABLE SET AND RESET STATES | 139 |
Lawrence Lam | US | San Jose | 2015-07-16 / 20150199027 - KEY MECHANISM WITH SPRING KEYCAP | 14 |
Yun Wah Lam | HK | Midlevels | 2011-09-22 / 20110230456 - Nitridoosmium(VI) Complexes for Treatment of Cancer | 1 |
Simon S. Lam | US | Agoura Hills | 2015-04-09 / 20150100433 - Online Reservation System For Local Pickup Of Products Across Multiple Retailers | 1 |
Joseph Hung Lam | US | Mason | 2015-08-20 / 20150231851 - STRETCH LAMINATE HAVING NOVEL ADHESIVE PATTERN AND METHODS OF MAKING THE SAME | 10 |
Paul Lam | HK | Hong Kong | 2011-09-22 / 20110226760 - CONTAINER FOR MICROWAVABLE FOOD | 1 |
Viet Lam | US | Naperville | 2015-04-16 / 20150102012 - MIXED ABRASIVE POLISHING COMPOSITIONS | 1 |
Chin Hung Lam | CN | Hong Kong | 2013-08-22 / 20130213683 - POWER TOOL DUST COLLECTOR | 1 |
Chi Ming John Lam | HK | Hong Kong | 2009-08-27 / 20090212857 - DC Self-Biased Vacuum Tube Differential Amplifier With Grid-to-Cathode Over-Voltage Protection | 1 |
Kwong Yung Lam | HK | Hong Kong | 2010-12-30 / 20100330514 - Burner Forming and Applying Mixed Cyclone and Combustion Method Using the Burner | 1 |
Wing Yip Lam | CN | Hong Kong | 2015-02-26 / 20150057421 - THERMOSETTING POLY(SILOXANE-DIPHENYLBUTADIYNE) WITH READILY TUNABLE GLASS TRANSITION TEMPERATURE AND HIGH THERMAL STABILITY | 10 |
Betty Lam | US | Spring Valley | 2011-08-18 / 20110201818 - POLO-LIKE KINASE INHIBITORS | 7 |
Benson Lam | CN | Hong Kong | 2008-10-23 / 20080259477 - Rear vision activity mirror | 1 |
Yat Wah Lam | HK | Hong Kong | 2008-10-30 / 20080266541 - Method and apparatus for locating and measuring the distance to a target | 1 |
Pou-Man Lam | CN | Hong Kong | 2008-11-20 / 20080285908 - Fiber optic transducer for simultaneous pressure and temperature measurement in fluid flow | 1 |
Mandy Hin Lam | US | Fremont | 2016-05-05 / 20160128230 - DOUBLE-ANGLED FACEPLATE FOR AIR FLOW SYSTEM | 5 |
Tak Wing Andy Lam | CN | Hong Kong | 2008-11-27 / 20080294788 - SYSTEMS AND METHODS FOR P2P STREAMING | 1 |
Chiu Hoi Lam | HK | Hong Kong | 2008-11-27 / 20080294074 - Robotic training system with multi-orientation module | 2 |
Ming Fung Lam | CN | Hong Kong | 2009-03-05 / 20090061850 - CORDLESS PHONE SYSTEM WITH DATA RETRIEVING CAPABILITY USING WIRELESS TECHNOLOGY | 1 |
Ed Lam | US | Fremont | 2014-01-30 / 20140028273 - ARRANGEMENT FOR GENERATING AN OUTPUT VOLTAGE | 1 |
Tak W. Lam | CN | Hong Kong | 2009-04-09 / 20090094374 - SYSTEMS AND METHODS PROVIDING LISTS OF AVAILABLE STREAMING CONTENT | 1 |
John Lam | AU | Homebush West Nsw | 2015-11-26 / 20150336734 - PRODUCE CONTAINER | 1 |
Lucia Lam | US | 2016-02-04 / 20160032395 - CANCER BIOMARKERS AND CLASSIFIERS AND USES THEREOF | 1 | |
Phoebe Lam | CH | Zuerich | 2015-11-05 / 20150315189 - 18F-LABELLED FOLATES | 2 |
Kuen Lam | CN | Hong Kong | 2009-11-05 / 20090273922 - Street light utilizing combination low-pressure sodium and metal halide light sources | 1 |
Chung Man Lam | HK | Hong Kong | 2010-02-04 / 20100029109 - Multifunctional Wall Socket | 1 |
Karen Siuling Lam | CN | Hong Kong | 2010-02-11 / 20100036221 - Noninvasive Method to Estimate Variation of Blood Glucose Levels Using Metabolic Measurements | 1 |
Veng-Vai V. Lam | CN | Hong Kong | 2010-02-25 / 20100049029 - PIEZOELECTRIC MAGNETIC RESONANCE ELASTOGRAPH (MRE) DRIVER SYSTEM | 1 |
Hok Man Lam | CN | Hong Kong | 2010-02-25 / 20100048063 - MULTI-PURPOSE PLUG | 1 |
Cham Ping Lam | CN | Hong Kong | 2010-09-02 / 20100223298 - METHOD AND DEVICE FOR CREATING RELATION-TYPE FORM DATABASE | 1 |
Kim-Hung Lam | CN | Hong Kong | 2012-06-28 / 20120165370 - QUINOLINE DERIVATIVES AS ANTI-CANCER AGENTS | 2 |
Yuen Chi Frankie Lam | CN | Kennedy Town | 2008-10-23 / 20080256743 - Surface-Cleaning Apparatus with Height Adjustable Base | 1 |
Kong Lam | CN | Shenzhen | 2013-07-25 / 20130190255 - NOVEL BIOLOGICALLY ACTIVE PEPTIDES AND THEIR NEW USES | 6 |
Kim-Hung Lam | CN | Hong Kong Sar | 2009-02-26 / 20090054482 - Method of making and administering quinoline derivatives as anti-cancer agents | 1 |
Chun Wah Lam | CN | Hongkong | 2009-07-23 / 20090185402 - STANDBY CIRCUIT WITH SUPER LOW POWER CONSUMPTION | 1 |
Kitchu Lam | CN | Guangdong | 2009-09-24 / 20090239138 - MULTIPLE-CELL BATTERY | 1 |
Wan Lam | CN | Shenzhen | 2015-11-19 / 20150334056 - CELL PROCESSING METHOD AND APPARATUS | 6 |
Ricky Hon Hung Lam | CN | Shenzhen | 2010-12-09 / 20100308095 - BACKPACK WITH AN INTELLIGENT AUTOMATIC ADJUSTING INFLATION AIRCUSHION AND A PNEUMATIC SHOCK ABSORBER SYSTEM | 1 |
John Lam | CN | Hong Kong | 2010-10-28 / 20100270993 - Energy Output Circuit and Its Control Method | 1 |
Patrick Lam | CN | Hong Kong | 2010-11-18 / 20100288731 - Solution and Process to Treat Surfaces of Copper Alloys in Order to Improve the Adhesion Between the Metal Surface and the Bonded Polymeric Material | 1 |
Hon-Ming Lam | HK | Hong Kong | / - | 1 |
Karen Siu Ling Lam | HK | Hong Kong | 2010-12-09 / 20100310578 - USE OF LIPOCALIN-2 AS A DIAGNOSTIC MARKER AND THERAPEUTIC TARGET | 1 |
Hon-Wah Lam | HK | Hong Kong | 2011-01-06 / 20110003397 - Solvatochromic functional monomer and the use thereof for chemosensing by solvatochromic molecular imprinting | 1 |
Kwai Hung Lam | HK | Hong Kong | / - | 1 |
Ka Ki Lam | HK | Hong Kong | 2011-02-17 / 20110037778 - Apparatus And Method For Adjusting An Image In A Screen Of A Handheld Device | 1 |
Kwun Fu Lam | HK | Hong Kong | 2010-04-29 / 20100101417 - METHOD AND SYSTEM FOR CLEANING ATMOSPHERIC POLLUTION | 1 |
Anthony Lam | CA | Candiac | 2013-05-30 / 20130134670 - METHOD, A HAND-OPERATED APPARATUS, A SHOOTING APPARATUS, AND A PLAYING SURFACE PLATFORM FOR DYNAMIC ACTIVITIES | 1 |
Tak Wing Lam | CN | Hong Kong | 2010-06-10 / 20100146137 - Synchronizing Buffer Map Offset in Peer-to-Peer Live Media Streaming Systems | 2 |
Wai Chak Lam | HK | Hong Kong | 2015-12-03 / 20150344365 - SELF-HEALING MATERIAL AND PREPARATION PROCESS THEREOF | 1 |
Siu Ling Lam | CN | Hong Kong | 2015-12-03 / 20150346203 - Methods and Compositions for Use of Neutrophil Elastase and Proteinase 3 as Diagnostic Biomarkers | 1 |
Elizabeth Lam | CA | Toronto | 2015-12-03 / 20150347694 - METHOD AND SYSTEM FOR SELECTING READERS FOR THE ANALYSIS OF RADIOLOGY ORDERS USING ORDER SUBSPECIALTIES | 2 |
Ho Ki Wilson Lam | US | Bellevue | 2013-05-30 / 20130138723 - DYNAMIC BROWSER ICONS | 1 |
Derrik Quang Lam | US | Chicago | 2015-12-03 / 20150350785 - Improved Wireless Audio System | 1 |
Chung H. Lam | US | Armonk | 2013-01-03 / 20130001499 - Compressive Structure for Enhancing Contact of Phase Change Material Memory Cells | 1 |
Kwan Him Lam | US | Johnson City | 2014-02-20 / 20140049325 - ON-CHIP MILLIMETER WAVE LANGE COUPLER | 1 |
Wai T. Lam | US | Jericho | 2015-09-10 / 20150254018 - SYSTEMS, METHODS, AND APPARATUS FOR IDENTIFYING AND MANAGING STORED DATA THAT MAY BE ACCESSED BY A HOST ENTITY AND FOR PROVIDING DATA MANAGEMENT SERVICES | 7 |
Wayne Lam | US | Jericho | 2015-11-26 / 20150339078 - SYSTEMS, DEVICES, APPARATUS, AND METHODS FOR IDENTIFYING STORED DATA BY A DEVICE LOCATED IN A PATH BETWEEN VIRTUAL FIBRE CHANNEL SWITCHES AND PERFORMING A DATA MANAGEMENT SERVICE | 7 |
Dennis Shun-Chiu Lam | CN | Hong Kong Sar | 2013-11-21 / 20130308824 - DETECTION OF DISEASE-RELATED RETINAL NERVE FIBER LAYER THINNING | 1 |
Steven Lam | US | San Jose | 2016-01-28 / 20160028114 - MULTI-PHASE ELECTROLYTE LITHIUM BATTERIES | 1 |
Wai Kei Christopher Lam | CN | Macau | 2014-02-27 / 20140057860 - PHARMACEUTICAL COMPOSITION FOR TREATING CARDIOVASCULAR AND CEREBROVASCULAR DISEASES AND METHOD OF MANUFACTURING THE SAME | 1 |
Alvin Lam | CA | Vancouver | 2016-01-28 / 20160026672 - DATA AND METADATA CONSISTENCY IN OBJECT STORAGE SYSTEMS | 1 |
Wing Cheung Lam | CN | Hong Kong | 2015-12-10 / 20150357884 - Motor and Actuator | 4 |
Wing Yui Lam | HK | Hong Kong | 2016-03-17 / 20160076135 - Sapphire thin film coated substrate | 2 |
John Lam | AU | Homebush West | 2015-04-16 / 20150101955 - Produce Container | 2 |
Lan Trieu Lam | AU | Springvale | 2012-10-18 / 20120263977 - METHOD FOR PRODUCING HYBRID NEGATIVE PLATE FOR LEAD-ACID STORAGE BATTERY AND LEAD-ACID STORAGE BATTERY | 5 |
Lan Trieu Lam | AU | Victoria | 2010-07-15 / 20100175934 - OPTIMISED ENERGY STORAGE DEVICE | 1 |
Fiona Lam | AU | Pacific Pines | 2010-07-15 / 20100179877 - PROVIDING PROMOTIONAL DATA TO REGISTERED WIRELESS COMMUNICATION DEVICES | 1 |
Geoffrey King Shun Lam | AU | Chadstone | 2010-06-17 / 20100153058 - GEOMETRIC INSPECTION OF MACHINED OBJECTS | 1 |
Simon Kwai Hung Lam | AU | New South Wales | 2010-04-22 / 20100097056 - METHOD AND APPARATUS FOR NANO-SCALE SQUID | 1 |
Kwai Hung Lam | AU | New South Wales | 2010-04-15 / 20100093104 - Method and apparatus for positioning nano-particles | 1 |
Nicole Ai Ling Lam | AU | Lane Cove | 2009-12-17 / 20090309894 - ELECTRONIC LAYOUT GENERATION BASED ON VISUAL CONTEXT | 1 |
Franky Lam | AU | New South Wales | 2009-09-03 / 20090222419 - SUCCINCT INDEX STRUCTURE FOR XML | 1 |
Chiou Peng Lam | AU | Karawara | 2008-12-04 / 20080297513 - Method of Analyzing Data | 1 |
Kut Lam | HK | Kwai Chung | 2013-08-15 / 20130207684 - APPARATUS FOR CONDUCTING AUTOMATED MAINTENANCE OF A TEST CONTACTOR MODULE | 1 |
Ching Yin Lam | HK | Hong Kong | 2013-06-13 / 20130151416 - SYSTEM AND METHOD FOR TARGETED INFORMATION DELIVERY THROUGH SOCIAL NETWORK | 1 |
Heung Chuan Lam | US | Newton | 2013-08-22 / 20130213810 - Ruggedized Apparatus for Analysis of Nucleic Acid and Proteins | 10 |
Shirley Pui Shan Lam | CA | Richmond Hill | 2014-01-30 / 20140029646 - DISABLING SPREAD-SPECTRUM CLOCK SIGNAL GENERATION | 2 |
Hang Chit Lam | HK | Hong Kong | 2015-12-17 / 20150363497 - INTRA-AFFILIATION AND INTER-AFFILIATION POSTINGS MANAGEMENT | 1 |
Benton Hei Wah Lam | CA | Ottawa | 2015-12-17 / 20150363602 - SYSTEM AND METHOD FOR ACCESSING AND UPDATING SECURED DATA | 1 |
Allister Lam | US | Brighton | 2015-12-24 / 20150370433 - Methods and Systems for Recursively Generating Pivot Tables | 1 |
Anissa Lam | US | Los Altos | 2015-03-26 / 20150089001 - SYSTEM AND METHOD FOR PROVIDING A USER MESSAGING SERVICE FOR USE WITH A CLOUD PLATFORM ENVIRONMENT | 1 |
Anissa Lam | US | Santa Clara | 2015-12-24 / 20150372883 - SYSTEM AND METHOD FOR MULTITENANT-AWARE CONSOLE FOR USE IN A MULTITENANT APPLICATION SERVER ENVIRONMENT | 1 |
Luc Lam | US | Skokie | 2014-03-13 / 20140069413 - PORTABLE COOKING SYSTEM AND METHODS OF USING THE SAME | 1 |
Hung Lam | US | Baltimore | 2013-03-28 / 20130079661 - LUMINESCENCE BASED NONINVASIVE REMOTE PARAMETER SENSOR AND SENSING METHOD | 4 |
Derek Lam | US | Arlington | 2008-10-02 / 20080244372 - SYSTEM FOR SUMMARIZATION OF THREADS IN ELECTRONIC MAIL | 1 |
Hugo Lam | CA | Guelph | 2015-12-10 / 20150351490 - Puncture Resistant Workboot | 2 |
Tony Lam | CA | Ontario | 2013-08-15 / 20130209433 - MODULATION OF AMINO ACID METABOLISM IN THE HYPOTHALAMUS | 1 |
Kelvin Lam | US | Arlington | 2014-11-13 / 20140335611 - COMPOSITIONS AND METHODS FOR PROMOTING THE GENERATION OF PDX1+ PANCREATIC CELLS | 4 |
Rex Y. Lam | US | Reno | 2013-06-27 / 20130165211 - RECOVERY OF GRAPHICAL GAME HISTORY AFTER GAME SOFTWARE PACKAGE HAS BEEN REMOVED FROM ELECTRONIC GAMING MACHINE | 1 |
Heung Chuan Lam | US | Newton | 2013-08-22 / 20130213810 - Ruggedized Apparatus for Analysis of Nucleic Acid and Proteins | 10 |
Hila Lam | IL | Ramat Gan | 2009-11-26 / 20090292583 - METHOD AND APPARATUS FOR PREDICTING CUSTOMER CHURN | 1 |
Kit S. Lam | US | Davis | 2015-07-09 / 20150190527 - BLADDER CANCER SPECIFIC LIGAND PEPTIDES | 13 |
Cory Lam | US | Montebello | 2015-09-24 / 20150271228 - System and Method for Delivering Adaptively Multi-Media Content Through a Network | 2 |
Peggy Lam | US | Randolph | 2013-10-24 / 20130276485 - GARMENT STEAMER | 3 |
Dylan Lam | US | Waltham | 2011-03-31 / 20110073344 - GASKET CONTAINING CARBON NANOTUBES | 1 |
Kelvin Lam | US | Bedford | 2011-04-28 / 20110099158 - SYSTEM AND METHOD FOR AUTOMATICALLY DETECTING, REPORTING, AND TRACKING CONFLICTS IN A CHANGE MANAGEMENT SYSTEM | 1 |
David Lam | US | San Carlos | 2011-04-14 / 20110087356 - MODULAR LOW STRESS PACKAGE TECHNOLOGY | 5 |
David Lam | US | Burlington | 2010-12-30 / 20100327927 - METHOD AND SYSTEM FOR CONTROLLING RADIO FREQUENCY POWER | 1 |
David Lam | SG | Singapore | 2009-08-20 / 20090206078 - CRATES | 1 |
David Lam | US | Fremont | 2009-11-19 / 20090285264 - Method and System for Detection of Long Pulse Bin 5 Radars in the Presence of Greenfield Packets | 1 |
David Lam | US | San Marcos | 2015-12-03 / 20150344918 - COMPOSITIONS AND METHODS FOR MAKING AND MODIFYING OILS | 4 |
David Lam | US | San Elijo Hills | 2008-12-25 / 20080317731 - Phospholipases, Nucleic Acids Encoding Them and Methods for Making and Using Them | 1 |
Chuck Lam | US | San Francisco | 2010-09-30 / 20100250330 - ACQUISITION OF USER DATA TO ENHANCE A CONTENT TARGETING MECHANISM | 1 |
David Lam | US | Sunnyvale | 2008-11-06 / 20080275844 - CRAWLABLE APPLICATIONS | 1 |
Kui Kam Lam | HK | Hong Kong | 2013-07-11 / 20130178002 - METHOD AND APPARATUS FOR FABRICATING A LIGHT-EMITTING DIODE PACKAGE | 1 |
Kuen Yew Lam | MY | Petaling Jaya | 2015-12-24 / 20150372403 - PRINTED CIRCUIT BOARD COMPRISING BLIND PRESS-FIT VIAS | 1 |
Angela Man Iu Lam | US | Fort Lee | 2015-03-26 / 20150087045 - CRYSTAL STRUCTURE OF HCV POLYMERASE COMPLEXES AND METHODS OF USE | 2 |
Dung K. Lam | US | Royal Oak | 2010-05-27 / 20100127857 - DIAGNOSTIC SYSTEM HAVING A WAKE-UP CIRCUIT | 1 |
Eric Lam | US | Monroe | 2014-05-15 / 20140135375 - Edible Transgenic Plants as Oral Delivery Vehicles for RNA-Based Therapeutics | 2 |
Geanette Lam | US | Holiday | 2009-08-27 / 20090215859 - Compositions and methods for modulating dhr96 | 1 |
Gerard Lam | US | Jersey City | 2008-09-11 / 20080222381 - STORAGE OPTIMIZATION METHOD | 1 |
Hue V. Lam | US | Portland | 2014-07-03 / 20140185226 - MULTI-CHANNEL MEMORY MODULE | 4 |
Johnny A. Lam | US | Fort Collins | 2010-03-25 / 20100077131 - UPDATING CONTROL INFORMATION IN NON-VOLATILE MEMORY TO CONTROL SELECTION OF CONTENT | 2 |
Joseph Hung Lam | US | Mason | 2015-08-20 / 20150231851 - STRETCH LAMINATE HAVING NOVEL ADHESIVE PATTERN AND METHODS OF MAKING THE SAME | 10 |
Ken Lam | US | Colorado Springs | 2012-10-25 / 20120270367 - Component Stacking for Integrated Circuit Electronic Package | 8 |
Lok C. Lam | US | Warkick | 2009-09-24 / 20090236387 - FASTENER DRIVING DEVICE | 1 |
Lok C. Lam | US | Warwick | 2014-10-02 / 20140294535 - FASTENER ASSEMBLY | 3 |
Marina K. Lam | US | Yardville | 2008-10-16 / 20080255175 - Anti-cancer agents, compositions and methods of treating cancers | 1 |
Patrick Y.s. Lam | US | Chadds Ford | 2015-09-17 / 20150259286 - 7-HYDROXY-INDOLINYL ANTAGONISTS OF P2Y1 RECEPTOR | 10 |
Patrick Y. S. Lam | US | Chadds Ford | 2012-08-09 / 20120201816 - LACTAM-CONTAINING COMPOUNDS AND DERIVATIVES THEREOF AS FACTOR XA INHIBITORS | 2 |
Pui Kwong Lam | US | Honolulu | 2010-11-18 / 20100289408 - SINGLE PHOTON SOURCE | 1 |
Robert Lam | US | Rochester | 2011-05-12 / 20110111224 - HIGH TEMPERATURE FIBERS AND COMBINATIONS FOR FRICTION MATERIALS | 1 |
Robert C. Lam | US | Rochester | 2015-01-29 / 20150031787 - FRICTION MATERIAL | 4 |
Shyong (tony) Lam | US | Richfield | 2009-09-24 / 20090239552 - LOCATION-BASED OPPORTUNISTIC RECOMMENDATIONS | 1 |
Siu H. Lam | US | Woodcliff Lake | 2008-12-11 / 20080304474 - Techniques to Synchronize Packet Rate In Voice Over Packet Networks | 1 |
Tung Suet Ruby Lam | US | Durham | 2010-07-22 / 20100184822 - Method of modulating the activity of a nucleic acid molecule | 1 |
Uyen Tuongngoc Lam | US | Appleton | 2009-12-31 / 20090325837 - POLYSENSORIAL PERSONAL CARE CLEANSER | 2 |
Uyen Tuong Ngoc Lam | US | Appleton | 2009-10-01 / 20090241277 - Package and applicator for liquid or semi-liquid composition | 1 |
Yiu Lau Lam | BR | Rio De Janeiro | 2008-09-04 / 20080210599 - Process For Upgrading An Fcc Equilibrium Catalyst | 1 |
Sirithy Lam | FR | Brest | 2008-10-23 / 20080260647 - Method for Fluorescence Tomographic Imaging | 1 |
Cedric Ding Hin Lam | KR | Gumi-Si | 2008-12-04 / 20080301306 - FAST SESSION ESTABLISHMENT METHOD AND SYSTEM FOR MOBILE TERMINAL | 1 |
Yin Hay Lam | DE | Stuttgart | 2009-03-12 / 20090069914 - METHOD FOR CLASSIFYING AUDIO DATA | 1 |
Tran Ngoc Lam | VN | Ho Chi Minh City | 2009-03-12 / 20090069462 - Additive Used for Treating Clay/Salt in situ Materials for Aggregates for Cement Mortar and Cement Concrete | 1 |
Lam Yiu Lam | BR | Rio De Janeiro | 2009-04-30 / 20090107885 - CATALYTIC SYSTEM AND ADDITIVE FOR MAXIMISATION OF LIGHT OLEFINS IN FLUID CATALYTIC CRACKING UNITS IN OPERATIONS OF LOW SEVERITY | 1 |
Kam-Kei Lam | GB | Bracebridge Health | 2010-03-04 / 20100050653 - COMBUSTOR SYSTEM AND METHOD OF REDUCING COMBUSTION INSTABILITY AND/OR EMISSIONS OF A COMBUSTOR SYSTEM | 1 |
Brian Lam | CA | Vancouver | 2015-12-31 / 20150377831 - DIGITAL MICROFLUIDIC DEVICES AND METHODS EMPLOYING INTEGRATED NANOSTRUCTURED ELECTRODEPOSITED ELECTRODES | 1 |
Anthony Lam | CA | Ontario | 2015-12-31 / 20150378577 - SYSTEM AND METHOD FOR RECORDING AGENT INTERACTIONS | 2 |
Joelle L. Lam | US | San Jose | 2015-12-31 / 20150381687 - PROVIDING CONTENT IN A PLATFORM-SPECIFIC FORMAT | 1 |
Kam-Kei Lam | GB | Bracebridge Heath | 2012-02-23 / 20120042655 - Swirler, combustion chamber, and gas turbine with improved mixing | 3 |
Peter Lam | DK | Frederiksberg C | 2010-07-01 / 20100168635 - METHOD FOR MANUFACTURING A NET PATTERNED ADHESIVE LAYER | 1 |
Joseph K-W Lam | GB | Bristol | 2016-04-14 / 20160102261 - DEHYDRATION OF LIQUID FUEL | 22 |
Vinh Vi Lam | US | San Jose | 2014-09-18 / 20140267024 - COMPUTING INTERFACE SYSTEM | 2 |
Ethan Lam | US | Fremont | 2014-12-04 / 20140352756 - SOLAR BALLOON PHOTOVOLTAIC ARRAY | 5 |
Megan Lam | US | Fremont | 2014-12-04 / 20140352756 - SOLAR BALLOON PHOTOVOLTAIC ARRAY | 5 |
Vinh Minh Glisttenmeer Lam | US | Hayward | 2014-12-04 / 20140352756 - SOLAR BALLOON PHOTOVOLTAIC ARRAY | 4 |
Long Lam | GB | London | 2013-01-31 / 20130031189 - NOTIFICATION ENGINE | 1 |
Stan Lam | US | Dublin | 2015-10-15 / 20150290291 - SOLVENT/POLYMER SOLUTIONS AS SUSPENSION VEHICLES | 10 |
Alvan Lam | US | Scarborough | 2014-06-19 / 20140167830 - DELAY TIME ADJUSTING CIRCUIT, METHOD, AND INTEGRATED CIRCUIT | 2 |
Henry Lam | AU | Narwee | 2013-06-20 / 20130152491 - ANTISEISMIC SUPPORTING BASE BODY | 3 |
Hieu Lam | US | Milpitas | 2013-01-03 / 20130001188 - METHOD TO PROTECT MAGNETIC BITS DURING PLANARIZATION | 4 |
Chi Kin Lam | AU | Sydney | 2014-05-29 / 20140149911 - ELECTRONIC MUSICAL INSTRUMENT AND APPLICATION FOR SAME | 1 |
Robert C. Lam | US | Rochester Hills | 2013-02-14 / 20130037373 - WET FRICTION MATERIAL | 1 |
Cedric F. Lam | US | Milpitas | 2013-11-28 / 20130315599 - TUNABLE MULTI-WAVELENGTH OPTICAL TRANSMITTER AND TRANSCEIVER FOR OPTICAL COMMUNICATIONS BASED ON WAVELENGTH DIVISION MULTIPLEXING | 3 |
Siu Tung Lam | HK | Apleichau | 2015-08-20 / 20150236268 - ORGANIC MATERIALS FOR ORGANIC LIGHT EMITTING DEVICES | 5 |
Sze Kui Lam | HK | Kowloon | 2015-04-16 / 20150102321 - ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 4 |
Wai Lam | US | Louisville | 2015-09-10 / 20150254604 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR PROVIDING REAL-TIME VALIDATION OF CONTAINER LOADING AND POSITIONING DATA | 1 |
Lydia Lam | US | Ashburn | 2016-04-28 / 20160117790 - REVERSE TRANSFER SYSTEM AND METHOD | 1 |
Lok Tin Lam | CA | Coquitlam | 2015-10-22 / 20150297245 - A DRILL ATTACHMENT FOR CANNULATED SURGICAL DRILLS | 2 |
Trieu Lan Lam | AU | Springvale | 2014-05-08 / 20140127565 - ELECTRODE AND ELECTRICAL STORAGE DEVICE FOR LEAD-ACID SYSTEM | 1 |
Joe Augustine S. T. Lam | CA | Vancouver | 2016-04-28 / 20160114995 - BOTTOM TAPING TAPE ROLL REPLACEMENT | 3 |
Cheung Yi Lam | US | Naugatuck | 2013-09-19 / 20130239629 - LOCK WITH LINEARLY OPERATING LATCH | 1 |
Victor J. Lam | US | Pasadena | 2013-09-19 / 20130246560 - PUBLISH-SUBSCRIBE PLATFORM FOR CLOUD FILE DISTRIBUTION | 1 |
Mandy Sui Mei Lam | US | Fremont | 2013-07-11 / 20130179856 - APPARATUS AND METHOD FOR NETWORK DRIVER INJECTION INTO TARGET IMAGE | 4 |
Anthony Lam | US | Fremont | 2014-04-24 / 20140114921 - Methods and Systems for Cloning a Cloud Node | 4 |
Jennifer Lam | US | Fremont | 2014-05-22 / 20140143822 - SET TOP BOX WITH CAPABILITY TO SUPPORT USER IDENTIFICATION | 10 |
Arthur Lam | US | Fremont | 2013-09-12 / 20130236835 - SINGLE FIELD ZERO MASK FOR INCREASED ALIGNMENT ACCURACY IN FIELD STITCHING | 3 |
Allen K. Lam | US | Fremont | 2008-09-11 / 20080217662 - Space-efficient package for laterally conducting device | 1 |
Jimmy Lam | US | Fremont | 2010-01-14 / 20100008016 - ELECTROSTATIC CHUCK ASSEMBLY WITH CAPACITIVE SENSE FEATURE, AND RELATED OPERATING METHOD | 1 |
Che Yuen Brian Lam | HK | Hong Kong | 2015-09-24 / 20150269737 - Multi-View Synthesis in Real-Time With Fallback to 2D from 3D to Reduce Flicker in Low or Unstable Stereo-Matching Image Regions | 1 |
David Chuong Lam | US | Fremont | 2008-12-18 / 20080310558 - Apparatus to reconfigure an 802.11a/n transceiver to support 802.11j/10 MHz mode of operation | 1 |
John W. Lam | US | San Jose | 2015-12-10 / 20150357195 - ELECTROCHEMICAL PLATING METHODS | 2 |
Barry Lam | TW | Kuei Shan Hsiang | 2013-05-02 / 20130106978 - VIDEO CONFERENCE SYSTEM | 1 |
Peter Kwok Hing Lam | DK | Frederiskberg | 2010-05-06 / 20100113999 - PRESSURE SENSITIVE ADHESIVE COMPOSITION COMPRISING SALT | 1 |
Peter Kwok Hing Lam | DK | Frederiksberg C | 2013-10-17 / 20130274696 - Ostomy Appliance | 6 |
Peter Kwok Hing Lam | DK | Frederlksberg | 2009-06-11 / 20090149567 - Adhesive composition and wound dressings or ostomy appliances comprising such adhesive composition | 1 |
Khahn Lam | US | San Jose | 2013-06-20 / 20130155250 - MULTICHANNEL VIDEO CONTENT ANALYSIS SYSTEM USING VIDEO MULTIPLEXING | 1 |
Chern-Shi Lam | MY | Batu Pahat | 2008-10-02 / 20080241442 - IMAGE INPUT/OUTPUT APPARATUS WITH FREE STOP FUNCTION AND SUPPORT ARM THEREWITH | 3 |
Wai Beng Lam | MY | Georgetown | 2009-11-05 / 20090274311 - Multi-Channel Audio Load Box With Selectable Loading | 1 |
Harn Yan Lam | MY | Perak | 2009-11-05 / 20090271946 - FLUID DAMPER | 2 |
Harn Lian Lam | MY | Perak | 2009-11-05 / 20090271946 - FLUID DAMPER | 2 |
Zi Yi Lam | MY | Kuala Lumpur | 2011-02-24 / 20110043234 - SOCKET CONNECTOR FOR CONNECTION LEAD OF SEMICONDUCTOR DEVICE UNDER TEST WITH TESTER | 1 |
Harn Yan Lam | MY | Ipoh (perak) | 2011-05-12 / 20110109214 - DRAWER ASSEMBLY | 1 |
Harn Lian Lam | MY | Ipoh (perak) | 2011-05-12 / 20110109214 - DRAWER ASSEMBLY | 1 |
Phillip L. Lam | US | Monterey Park | 2013-08-29 / 20130226015 - Adjustable Finger Cuff Assembly For A Blood Pressure Measurement Device | 2 |
Ka Man Lam | CN | Hong Kong | 2015-10-01 / 20150279023 - METHOD FOR LIGHT FLICKER ANALYSIS AND DEVICE THEREOF | 1 |
Kin Fung (wayne) Lam | US | Vancouver | 2015-10-01 / 20150279921 - INDUCTOR STRUCTURES FOR INTEGRATED CIRCUITS | 1 |
Ho Cheung Lam | HK | Hong Kong | 2015-10-01 / 20150281408 - METHODS AND SYSTEMS FOR IDENTIFYING DATA SESSIONS AT A VPN GATEWAY | 1 |
Yulin Lam | SG | Singapore | 2015-10-08 / 20150284355 - USE OF POLYENYLPYRROLE DERIVATIVES FOR TREATING INFLAMMATION | 1 |
Chi Shing Lam | HK | Central | 2013-03-07 / 20130060281 - Multilayer One-Piece Pacifier | 1 |
Vu Lam | US | Dublin | 2015-07-30 / 20150212929 - SYSTEMS AND METHODS FOR PROCESSING SOFTWARE APPLICATION METADATA ASSOCIATED WITH A SOFTWARE APPLICATION | 3 |
Joseph Lam | CA | Markham | 2015-10-15 / 20150294254 - DYNAMIC AND INTELLIGENT MULTI-TRIGGERED ITEM REVALIDATION BASED ON PROJECTED RETURN ON INVESTMENT | 3 |
Alexander Ka Ngai Lam | CN | Hong Kong | 2015-10-15 / 20150289892 - Low Force Thrombectomy Device | 1 |
Kingtin Lam | HK | Hong Kong | 2015-10-15 / 20150293720 - METHOD AND RELATED DEVICE FOR DETERMINING MANAGEMENT MODE OF SHARED VIRTUAL MEMORY PAGE | 1 |
Brian Lam | HK | Chai Wan | 2015-10-15 / 20150293946 - CROSS MODEL DATUM ACCESS WITH SEMANTIC PRESERVATION FOR UNIVERSAL DATABASE | 1 |
Rebecca Lam | US | San Diego | 2015-10-01 / 20150281746 - ADAPTIVE STREAMING TRANSCODER SYNCHRONIZATION | 2 |
Tommy Lam | US | Apalachin | 2013-10-24 / 20130281031 - ADAPTIVE COSITE ARBITRATION SYSTEM | 3 |
Poh Lian Lam | MY | Melaka | 2013-03-21 / 20130071128 - Opto-electronic circuits and techniques | 1 |
Pou-Man Lam | HK | Kowloon | 2008-09-25 / 20080229905 - Fiber Bragg grating tuner | 1 |
Benny Yat Sing Lam | HK | Kowloon | 2010-05-06 / 20100113865 - SYSTEM AND METHOD FOR RELAXATION | 1 |
Wai Nang Lam | HK | Kowloon | 2013-06-27 / 20130165016 - FRICTIONAL JOINT FOR A TOY FIGURE | 2 |
Tsui King Lam | HK | Kowloon | 2011-01-06 / 20110003528 - SIMULATION DOG TAIL SWINGING INSTALLMENT | 1 |
Shun-Chui Dennis Lam | HK | Kowloon | 2010-12-02 / 20100305694 - SCLERAL BUCKLES FOR SUTURELESS RETINAL DETACHMENT SURGERY | 1 |
Tak Wing Lam | CN | Kowloon | 2010-07-08 / 20100171636 - Remote Control Devices and Methods | 1 |
Jonathan Lam | HK | Kowloon | 2008-09-18 / 20080223889 - Devices for carrying personal apparatus on a limb | 1 |
Tak Wing Lam | HK | Kowloon | 2010-07-15 / 20100180043 - Systems, Methods, and Computer Program Products for Transmitting and/or Receiving Media Streams | 1 |
Chi Shing Lam | HK | Kowloon | 2009-02-12 / 20090039538 - METHOD OF FORMING A THERMOPLASTIC ARTICLE | 1 |
John F. Lam | US | Redmond | 2013-03-28 / 20130080506 - REMOTELY-HOSTED INTERACTIVE CLIENT-SERVER SESSION | 1 |
Michael Lam | US | 2013-03-28 / 20130080982 - Simulation And Correction Of Mask Shadowing Effect | 1 | |
Sio Kuan Lam | HK | New Territories | 2013-04-04 / 20130083231 - MULTI-DRIVE MECHANISM LENS ACTUATOR | 8 |
Poh-Sang Lam | US | Martinez | 2013-04-11 / 20130089181 - SUPPRESSING TIN WHISKER GROWTH IN LEAD-FREE SOLDERS AND PLATNGS | 1 |
Kwok Fai Lam | HK | Kowloon | 2013-04-18 / 20130091722 - Microwave Dryer and Microwave Drying Method | 1 |
Man Lam | US | Beverly Hills | 2013-09-12 / 20130232657 - Flexible Article of Apparel | 1 |
Chi Ming John Lam | HK | Shatin | 2013-04-18 / 20130093517 - Buffer Amplifier | 1 |
Wing Young Lam | CA | Richmond Hill | 2015-10-29 / 20150309849 - METHOD AND SYSTEM FOR MANAGING AND PRESENTING MULTIPLE APPLICATION CONTAINERS AS A SINGLE LOGICAL CONTAINER | 1 |
Suk Ming Teresa Lam | HK | Hong Kong | 2014-02-06 / 20140036052 - RING FITTING SYSTEMS | 1 |
Chung Ho Lam | HK | Tsuen Wan | 2013-09-05 / 20130231205 - INFLATABLE BULLET | 1 |
Lai Sang Juliana Lam | US | San Francisco | 2014-05-22 / 20140141660 - Electrical Connnector Assembly | 2 |
Victor Tyrone Lam | US | Carlsbad | 2008-12-04 / 20080300056 - Telephone Enabled Elimination Game | 1 |
Victor Tyrone Lam | US | Del Mar | 2011-02-24 / 20110047404 - ANALYSIS AND PREDICTION SYSTEMS AND METHODS FOR RECOVERY BASED SOCIAL NETWORKING | 2 |
Victor Tyrone Lam | US | 2011-02-24 / 20110047508 - STATUS INDICATORS AND CONTENT MODULES FOR RECOVERY BASED SOCIAL NETWORKING | 2 | |
Tsz Ping Lam | CN | Hong Kong | 2016-05-12 / 20160131574 - METHOD FOR SCREENING VITAMIN D INSUFFICIENCY USING SKIN COLOURIMETRY | 1 |
Duhane Lam | CA | Vancouver | 2016-03-24 / 20160085131 - VARIABLE TRANSMITTANCE OPTICAL DEVICES | 18 |
Morgan Chun Lam | CA | Vancouver | 2010-03-04 / 20100056982 - Photodynamic therapy for the treatment of acne | 1 |
Alfred Lam | CA | Vancouver | 2011-11-03 / 20110269039 - APPARATUS AND METHOD FOR CONTROLLING VARIABLE POWER CONDITIONS IN A FUEL CELL | 2 |
Joe Augustine S.t. Lam | CA | Vancouver | 2014-04-03 / 20140094354 - Tape monitoring system | 6 |
Stephen Lam | CA | Vancouver | 2016-03-03 / 20160060329 - COMPOSITIONS AND METHODS FOR THE DIAGNOSIS AND PROGNOSIS OF LUNG CANCER | 4 |
Fuk Ming Lam | HK | Hong Kong | 2013-09-19 / 20130244485 - SERIAL ELECTRICAL CONNECTOR | 3 |
Yu Lung Lam | HK | Kwai Chung | 2013-04-25 / 20130098659 - PRE-PLATED LEAD FRAME FOR COPPER WIRE BONDING | 1 |
Cang C. Lam | US | Irvine | 2010-09-30 / 20100249814 - TISSUE MANIPULATION AND SECUREMENT SYSTEM | 4 |
Cang Lam | US | Irvine | 2015-06-11 / 20150157193 - SURGICAL TISSUE PROTECTION SHEATH | 7 |
Maria G. Lam | US | Irvine | 2012-08-23 / 20120213070 - DYNAMIC SETTING OF OPTIMAL BUFFER SIZES IN IP NETWORKS | 4 |
Lui Lam | US | Winchester | 2013-12-05 / 20130321095 - LOW-LOSS HIGH-ISOLATION SWITCHING ARCHITECTURE | 2 |
Justin Chung-Ting Lam | US | Bellevue | 2016-02-04 / 20160037481 - Rich Notifications | 1 |
Phoebe J. Lam | US | Falmouth | 2013-11-14 / 20130298702 - IN SITU MARINE SAMPLE COLLECTION SYSTEM AND METHODS | 1 |
David Choon Sen Lam | SG | Singapore | 2013-05-09 / 20130112685 - CRATE | 1 |
Wa Ying Lam | CN | Kowloon Hong Kong | 2015-11-12 / 20150320131 - BRASSIERE STRAP FASTENING ASSEMBLY | 1 |
Betty Lam | US | San Diego | 2015-11-26 / 20150336964 - FUSED HETEROAROMATIC PYRROLIDINONES | 5 |
Chee Yoong Lam | MY | Kuala Lumpur | 2015-11-12 / 20150325103 - MONITORING AND TRACKING OF TROLLEYS AND OTHER TRANSPORTING UNITS | 1 |
Hwee Meng Lam | SG | Singapore | 2015-11-12 / 20150325716 - MANUFACTURE AND STRUCTURE FOR PHOTOVOLTAICS INCLUDING METAL-RICH SILICIDE | 1 |
Sivette Lam | US | Milpitas | 2016-03-03 / 20160058985 - AUTOMATED INFLATOR FOR BALLOON DILATOR | 9 |
Wing Yip Lam | HK | Hong Kong | / - | 1 |
Tak-Hei Lam | HK | Hong Kong | 2014-04-17 / 20140106134 - METHODS OF FABRICATING TRANSPARENT AND NANOMATERIAL-BASED CONDUCTIVE FILM | 1 |
Pak Kit Lam | HK | Hong Kong | 2013-05-02 / 20130107760 - WIRELESS MESH NETWORKS WITH IMPROVED RADIO SEGREGATION | 1 |
Pak Kit Lam | HK | Shatin | 2013-05-02 / 20130107792 - RELAYING DEVICES FOR WIRELESS MESH NETWORK | 1 |
Chi Shing Lam | HK | Central Hong Kong | 2013-01-03 / 20130005927 - METHOD OF FORMING THERMOPLASTIC ARTICLE | 1 |
Chin Hung Lam | HK | Tsuen Wan | 2014-02-06 / 20140034347 - POWER TOOL | 1 |
Kingtin Lam | HK | Pokfulam | 2016-02-04 / 20160034397 - Method and Apparatus for Processing Data and Computer System | 1 |
Ching Yee Novem Lam | HK | Hong Kong | 2013-08-08 / 20130202660 - FUNCTIONAL NANOSTRUCTURED CHITOSAN COATINGS FOR MEDICAL INSTRUMENTS AND DEVICES | 2 |
Harn Yan Lam | MY | Ipoh | 2013-03-28 / 20130076219 - DRAWER GUIDE RAIL SYSTEM | 5 |
Harn Lian Lam | MY | Ipoh | 2013-03-28 / 20130076219 - DRAWER GUIDE RAIL SYSTEM | 5 |
Mark T.w. Lam | SG | Singapore | 2015-11-19 / 20150332279 - REAL-TIME REMOTE AUDITING | 2 |
Sai Wei Lam | AU | Perth | 2013-05-23 / 20130125708 - METHOD FOR THE EXTRACTION AND RECOVERY OF VANADIUM | 1 |
Yin Sang Lam | CN | Hong Kong | 2013-03-21 / 20130069274 - METHOD OF MAKING EYEGLASS FRAME BY INJECTION MOLDING | 2 |
Yat Hei Lam | CN | Hong Kong | 2008-11-20 / 20080284499 - N-STAGE EXPONENTIAL CHARGE PUMPS, CHARGING STAGE THEREOF AND METHODS OF OPERATION THEREOF | 1 |
Lucia Lam | CA | Burnaby | 2016-02-04 / 20160032395 - CANCER BIOMARKERS AND CLASSIFIERS AND USES THEREOF | 1 |
Kam Hong Kenneth Lam | HK | Hong Kong | 2009-03-26 / 20090078743 - WIRE BONDING SYSTEM UTILIZING MULTIPLE POSITIONING TABLES | 1 |
Steven Michael Lam | US | San Jose | 2016-02-04 / 20160032127 - METAL NANOWIRE INKS FOR THE FORMATION OF TRANSPARENT CONDUCTIVE FILMS WITH FUSED NETWORKS | 1 |
Victor Lam | CN | Hong Kong | 2011-06-30 / 20110156609 - FLUORESCENT LAMP FOR LIGHTING APPLICATIONS | 2 |
Yin Sang Lam | HK | Hong Kong | 2012-04-26 / 20120099074 - Dual Lens Eyeglasses | 2 |
Teresa Lama | CA | Montreal | 2016-03-24 / 20160082072 - BETA-TURN PEPTIDOMIMETIC CYCLIC COMPOUNDS FOR TREATING DRY EYE | 5 |
Llich Lama | CA | Quebec | 2010-05-13 / 20100121473 - System and method for optimizing lignocellulosic granular matter refining | 1 |
Salvatore F. Lama | US | Bolton | 2016-03-10 / 20160067619 - Toy Figure Assembly | 5 |
Arturo Lama | IT | Nigoline Di Corte Franca, Brescia | 2013-12-26 / 20130340529 - Magnetic Coupling Mobile Robot | 1 |
Vibha Lama | US | Ann Arbor | 2011-10-13 / 20110250589 - BIOMARKERS FOR LUNG DISEASE MONITORING | 1 |
Norsang Lama | US | Upper Darby | 2015-07-16 / 20150199778 - Method for providing Trademark Saving Service | 2 |
Nurbu Lama | NP | Kathmandu | 2014-11-20 / 20140338784 - ANTIQUED RAG TIBETAN WEAVE CARPET | 2 |
Dilraj Lama | SG | Singapore | 2016-02-18 / 20160046672 - STAPLING eIF4E INTERACTING PEPTIDES | 1 |
Arturo Lama | IT | Brescia | 2011-08-18 / 20110198851 - Device for Generating Electric Energy from a Renewable Source | 2 |
Nicola Lama | CH | Bottens | 2015-12-17 / 20150359264 - AEROSOL FROM TOBACCO | 1 |
Brian Lamacchia | US | Bellevue | 2012-07-05 / 20120173885 - KEY MANAGEMENT USING TRUSTED PLATFORM MODULES | 1 |
Brian Lamacchia | US | Seattle | 2014-05-08 / 20140127994 - POLICY-BASED RESOURCE ACCESS VIA NFC | 4 |
Brian A. Lamacchia | US | Seattle | 2013-12-26 / 20130346979 - PROFILING APPLICATION CODE TO IDENTIFY CODE PORTIONS FOR FPGA IMPLEMENTATION | 5 |
Jason Lamacchia | US | 2012-06-21 / 20120158614 - Executing a Leveraged Long/Short Strategy for an ETF | 1 | |
Sebastiano Lamacchia | IT | Orbassano (torino) | 2013-03-07 / 20130055482 - METHOD FOR DESIGNING A PROTECTIVE GLOVE TO BE USED IN PERFORMING A CYCLE OF MANUAL OPERATIONS IN AN INDUSTRIAL PRODUCTION LINE, AND GLOVE DESIGNED BY THIS METHOD | 1 |
Carmela Lamacchia | IT | Foggia | 2015-10-01 / 20150272171 - METHOD FOR THE DETOXIFICATION OF GLUETN PROTEINS FROM GRAINS OF CEREAL | 1 |
Natalie Lamadrid | US | Elmhurst | 2010-03-25 / 20100075575 - LIGHTWEIGHT BRASSIERE | 2 |
Alberto Lamagna | AR | Vicente Lopez | 2012-04-26 / 20120098075 - INTEGRATED ELECTRONIC DEVICE FOR DETECTING MOLECULES AND METHOD OF MANUFACTURE THEREOF | 1 |
Alberto Lamagna | AR | Provincia De Buenos Aires | 2012-07-19 / 20120181178 - FLUIDIC DEVICE FOR ELECTROPHORESIS OF MOLECULES | 1 |
Alberto Lamagna | AR | San Martin | 2012-01-26 / 20120022792 - METHOD OF QUANTIZATION OF MAGNETIC NANOPARTICLE ABSORPTION INTO ANIMAL TISSUES, AND EQUIPMENT FOR DOING IT | 1 |
Luca Lamagna | IT | Cassina De' Pecchi | 2014-10-23 / 20140314254 - MICROMECHANICAL DETECTION STRUCTURE FOR A MEMS ACOUSTIC TRANSDUCER AND CORRESPONDING MANUFACTURING PROCESS | 1 |
Charline Lamaire | FR | Cysoing | 2009-10-29 / 20090266009 - Pistol for injecting a sealing product formed by mixing at least two solutions | 1 |
Antonino La Malfa | IT | Catania | 2010-06-03 / 20100138915 - Password Protected Built-In Test Mode For Memories | 1 |
Alexander Georgievich Laman | RU | Pushchino | 2009-06-04 / 20090142364 - Method for finding novel peptide immunostimulatory adjuvants, compositions and methods of use | 1 |
Jon D. Laman | NL | Amsterdam | 2011-02-10 / 20110033843 - METHOD FOR IN VITRO TESTING OF COMPOUNDS FOR ASSESSING THERAPEUTIC VALUE IN THE TREATMENT OF MULTIPLE SCLEROSIS AND OTHER DISEASES WHEREIN FOAMY CELLS ARE INVOLVED IN THE DISEASE ETIOLOGY | 1 |
Michael A. Lamana | US | Manassas | 2010-05-20 / 20100125830 - Method of Assuring Execution for Safety Computer Code | 1 |
Leonardo Lamana | FR | Amiens | 2009-06-04 / 20090139824 - Friction clutch, particularly for a motor vehicle, comprising differentiated friction means | 1 |
Michael Lamana | US | Warrenton | 2010-04-15 / 20100094816 - Safety-Critical Data Checking In Object-Oriented Systems | 2 |
Anthony L. Lamanac | US | Woodstock | 2015-07-30 / 20150211149 - Negative Polarity on the Nanofiber Line | 1 |
James W. Lamance | US | San Jose | 2015-06-04 / 20150153455 - METHOD AND APPARATUS FOR GENERATING AND DISTRIBUTING SATELLITE TRACKING INFORMATION | 1 |
James William Lamance | US | Hixson | 2011-02-17 / 20110039575 - METHOD AND SYSTEM FOR POSITIONING NEIGHBOR CELLS IN A CELLULAR NETWORK USING LEARNED CELL DATA | 1 |
James Lamance | US | Hixson | 2013-01-24 / 20130021201 - Assisted Global Navigation Satellite System (AGNSS) with Precise Ionosphere Model Assistance | 3 |
James W. Lamance | US | Hixson | 2015-10-08 / 20150285918 - DISTRIBUTED ORBIT MODELING AND PROPAGATION METHOD FOR A PREDICTED AND REAL-TIME ASSISTED GPS SYSTEM | 3 |
James William Lamance, Jr. | US | Hixson | 2010-07-29 / 20100188291 - Staccato pulse edge correlation | 1 |
Sam J. Lamancuso | US | Jamestown | 2009-07-02 / 20090166020 - AUTOMOTIVE HEAT EXCHANGER ASSEMBLIES HAVING INTERNAL FINS AND METHODS OF MAKING THE SAME | 1 |
Noel Lamande | FR | Choisy Le Roi | 2008-09-11 / 20080221036 - Use of AGT and its derivatives for manufacturing anti-angiogenesis pharmaceutical compositions | 1 |
Jason J. Lamanna | US | Atlanta | 2016-03-17 / 20160074119 - DEVICES AND SYSTEMS FOR MRI-GUIDED PROCEDURES | 1 |
Charles William Lamanna | US | Bellevue | 2012-06-21 / 20120158768 - DECOMPOSING AND MERGING REGULAR EXPRESSIONS | 2 |
William M. Lamanna | US | St.paul | 2011-03-31 / 20110076424 - MICROSTRUCTURES COMPRISING POLYALKYL NITROGEN OR PHOSPHORUS ONIUM FLUOROALKYL SULFONYL SALTS | 1 |
Michael Lamanna | US | Massapequa | 2015-10-01 / 20150276367 - METAL FRAMING LAYOUT SQUARE AND A METHOD OF USING SAME | 1 |
Pasquale Lamanna | FR | Villeneuve-Loubet | 2014-02-20 / 20140050289 - Phase-Locked Loop Modulation | 9 |
Laurence S. Lamanna | US | Amherst | 2011-01-27 / 20110019790 - ADVANCED FUEL CRUD SAMPLING TOOL METHOD | 3 |
Joseph Lamanna | US | Purchase | 2014-01-16 / 20140019156 - Method of Collecting Delinquent Specialized Debt | 1 |
Pasquale Lamanna | FR | Cannes | 2014-02-06 / 20140035691 - CAPACITIVE DIVIDER STRUCTURE | 3 |
Charles W. Lamanna | US | Bellevue | 2012-11-29 / 20120303624 - DYNAMIC RULE REORDERING FOR MESSAGE CLASSIFICATION | 3 |
Angelo Lamanna | CA | Toronto | 2009-10-15 / 20090258785 - Corn gluten meal with aluminum silicate | 1 |
Vincent Lamanna | US | Ontario | 2011-06-02 / 20110128552 - ROTATIONAL AND LINEAR SYSTEM AND METHODS FOR SCANNING OF OBJECTS | 1 |
Joseph Lamanna | US | 2012-11-15 / 20120290315 - Method of Collecting delinquent specialized debt | 1 | |
Felice Lamanna | CA | Woodbridge | 2009-09-17 / 20090230584 - Method for extruding foam plastic frame members | 1 |
Ryan Lamanna | CA | Pickering | 2016-05-12 / 20160133109 - OBJECT LOCATOR SYSTEM | 1 |
Michael H. Lamanna | US | Bothell | 2013-12-19 / 20130335389 - ENHANCED USER INTERFACE ELEMENTS IN AMBIENT LIGHT | 3 |
James Lamanna | US | Los Angeles | 2013-10-31 / 20130290421 - VISUALIZATION OF COMPLEX DATA SETS AND SIMULTANEOUS SYNCHRONIZATION OF SUCH DATA SETS | 2 |
Giuseppe Lamanna | FR | Strasbourg | 2014-11-13 / 20140336368 - MULTIMODAL CONTRAST AND RADIOPHARMACEUTICAL AGENT FOR AN IMAGING AND A TARGETED THERAPY GUIDED BY IMAGING | 1 |
Larry S. Lamanna | US | Amherst | 2016-04-07 / 20160099084 - METHOD OF PLATINUM INJECTION INTO A NUCLEAR REACTOR | 1 |
William M. Lamanna | US | Stillwater | 2015-12-24 / 20150370171 - SURFACTANTS AND METHODS OF MAKING AND USING SAME | 19 |
Laurence Lamanna | US | Amherst | 2015-05-14 / 20150131768 - NUCLEAR FUEL ROD CLADDING INCLUDING A METAL NANOMATERIAL LAYER | 1 |
Pasquale Lamanna | FR | Villeneuve-Loubet | 2014-02-20 / 20140050289 - Phase-Locked Loop Modulation | 9 |
Charles Lamanna | US | Bellevue | 2015-10-01 / 20150281113 - DYNAMICALLY IDENTIFYING TARGET CAPACITY WHEN SCALING CLOUD RESOURCES | 6 |
Michael Lamanna | US | Kirkland | 2014-01-09 / 20140013320 - TECHNIQUES FOR PROVIDING INFORMATION REGARDING SOFTWARE COMPONENTS AVAILABLE FOR INSTALLATION | 1 |
Robert Lamanna | US | Moscow | 2015-11-05 / 20150313306 - Visor Assembly for a Helmet | 2 |
Franck Lamanna | FR | Romanswiller | 2010-03-25 / 20100071582 - ANTI-EXTRACTION SAFETY DEVICE FOR A GUIDANCE SET COMPRISING TWO INCLINED ROLLERS ROLLING ON A RAIL | 1 |
Joshua Ryan Lamano | US | Layton | 2013-06-20 / 20130153734 - Weight assembly for covers | 1 |
Sergey Lamansky | US | Apple Valley | 2013-08-29 / 20130222732 - Backlighting System Including A Specular Partial Reflector And A Circular-Mode Reflective Polarizer | 3 |
Sergey Lamansky | US | Redmond | 2016-04-28 / 20160118448 - ANTIREFLECTIVE OLED CONSTRUCTION | 6 |
Sergey A. Lamansky | US | Apple Valley | 2012-09-20 / 20120234460 - OLED LIGHT EXTRACTION FILMS HAVING NANOPARTICLES AND PERIODIC STRUCTURES | 7 |
Sergey Lamansky | US | Apply Valley | 2014-01-23 / 20140021492 - STRUCTURED LAMINATION TRANSFER FILMS AND METHODS | 1 |
Sergey Lamansky | US | Redman | 2014-12-18 / 20140370307 - COMPOSITION COMPRISING SURFACE MODIFIED HIGH INDEX NANOPARTICLES SUITABLE FOR OPTICAL COUPLING LAYER | 1 |
Sergey Lamansky | US | Camarillo | 2011-11-24 / 20110285280 - ORGANOMETALLIC COMPLEXES AS PHOSPHORESCENT EMITTERS IN ORGANIC LEDS | 4 |
Sergey Lamansky | US | Maplewood | 2008-11-13 / 20080281098 - Organometallic compounds and emission-shifting organic electrophosphorescence | 1 |
Gilles S.c. Lamant | US | Lamant | 2011-04-28 / 20110099530 - SPINE SELECTION MODE FOR LAYOUT EDITING | 1 |
Gilles S. C. Lamant | US | Sunnyvale | 2012-02-02 / 20120030644 - METHOD AND SYSTEM FOR IMPLEMENTING STACKED VIAS | 3 |
Gilles S.c. Lamant | US | Sunnyvale | 2010-05-06 / 20100115207 - METHOD AND SYSTEM FOR IMPLEMENTING MULTIUSER CACHED PARAMETERIZED CELLS | 4 |
Jean-Philippe C. Lamantia | US | Raleigh | 2010-11-11 / 20100282730 - PCB Quick Heater | 1 |
Raymond A. Lamantia | US | Rockford | 2009-04-30 / 20090108103 - Needle Valve Assembly for Spray System | 1 |
Mark A. Lamantia | US | 2012-05-17 / 20120117726 - CONVERTIBLE CRIB COVER | 1 | |
Matthew Joseph Lamantia | US | Georgetown | 2013-12-12 / 20130330661 - METHOD FOR REPAIRING MASK FOR EUV EXPOSURE AND MASK FOR EUV EXPOSURE | 1 |
Barbara Caterina Lamantia | US | Katy | 2013-09-05 / 20130228337 - FLUID INJECTION IN LIGHT TIGHT OIL RESERVOIRS | 1 |
Tom Lamantia | US | Chesterfield | 2010-12-23 / 20100319186 - METHOD AND APPARATUS FOR POSITIONING LAYERS WITHIN A LAYERED HEATER SYSTEM | 2 |
Thomas Lamantia | US | Wheaton | 2009-06-04 / 20090144514 - Method of automated operating system deployment for a network of multiple data processors | 1 |
Renee S. Lamar | US | Tucson | 2011-06-02 / 20110131523 - GROUPING OF WINDOWS BASED ON USER-DEFINED CONTEXTS | 1 |
Steven Michael Lamar | US | Tiburon | 2015-12-31 / 20150382096 - HEADPHONES WITH PENDANT AUDIO PROCESSING | 1 |
David A. Lamar | US | West Richland | 2014-07-03 / 20140182205 - REGENERATOR FOR SYNGAS CLEANUP AND ENERGY RECOVERY IN GASIFIER SYSTEMS | 4 |
Suzanna J. Lamar | US | San Diego | 2015-04-16 / 20150102942 - SYSTEM AND METHOD FOR PROVIDING A DISTRIBUTED DIRECTIONAL APERTURE | 1 |
Angus A. Lamar | US | Atlanta | 2015-11-05 / 20150315129 - PROCESSES FOR FORMING AMIDE BONDS AND COMPOSITIONS RELATED THERETO | 2 |
David Lamar | US | West Richland | 2016-01-28 / 20160024404 - PRESSURIZED PLASMA ENHANCED REACTOR | 1 |
Bruce W. Lamar | US | Lexington | 2012-02-23 / 20120047104 - COMPUTERIZED METHODS FOR ANALYZING COMPETING AND NON-COMPETING HYPOTHESES | 1 |
Dylan M. Lamar | US | Portland | 2011-07-07 / 20110164304 - Weather-responsive shade control system | 1 |
Scott Lamar | US | Santa Rosa | 2015-07-02 / 20150185376 - OPTICALLY VARIABLE SECURITY DEVICES | 3 |
John Watley Lamar | US | 2011-07-21 / 20110173760 - Handle-operated tool with a multi-function tool tip employable for one more purposes on different irrigation-related products | 1 | |
Janine Lamar | DE | Ingelheim Am Rhein | 2015-12-24 / 20150366855 - MUSCARINIC ANTAGONISTS AND COMBINATIONS THEREOF FOR THE TREATMENT OF AIRWAY DISEASE IN HORSES | 4 |
Washington Lamar | US | Mont Vernon | 2014-06-26 / 20140176110 - Output Driver Having Reduced Electromagnetic Susceptibility and Associated Methods | 3 |
Frank R. Lamar | US | Fairport | 2009-03-26 / 20090081618 - SYSTEM AND METHOD FOR IMMEDIATE LOADING OF FIXED HYBRID DENTAL PROSTHESES | 1 |
Justin A. Lamar | US | Olathe | 2014-02-13 / 20140044631 - COLD BED ADSORPTION SULFUR RECOVERY PROCESS | 4 |
Jeffery Alan Lamar | US | Houston | 2009-11-12 / 20090277068 - Shooting stabilizer | 1 |
David Lamar | US | Richland | 2010-12-02 / 20100300871 - PRESSURIZED PLASMA ENHANCED REACTOR | 1 |
Mark Brendan Lamar | US | Thomasville | 2015-11-19 / 20150330035 - Groutless Patterns for Pavement Surfaces Using Thermoplastic Preforms | 1 |
Chad Lamar | US | Indianapolis | 2014-07-03 / 20140187922 - SURGICAL ACCESS SYSTEM WITH NAVIGATION ELEMENT AND METHOD OF USING SAME | 3 |
Alex Lamar | US | New York | 2015-12-31 / 20150379096 - SYSTEM AND METHOD FOR AUTOMATICALLY CONNECTING MULTIPLE, DISTINCT TABLES OF A DATA REPOSITORY | 2 |
George Lamar | US | Greenwood | 2013-04-04 / 20130083156 - Videcoconferencing Method and System for Connecting a Host with a Plurality of Participants | 1 |
Chad M. Lamar | US | Carmel | 2012-04-19 / 20120091024 - ORTHOPAEDIC INSTRUMENT STERILIZATION CASE | 1 |
Michelle Lamar | US | Brentwood | 2010-07-15 / 20100179593 - PACIFIER APPARATUS | 1 |
Jason Eric Lamar | US | Indianapolis | 2014-10-02 / 20140294763 - PEPTIDOMIMETIC PROTEASE INHIBITORS | 5 |
Tarek Lamara | CH | Nussbaumen | 2013-08-29 / 20130220977 - ELECTRICAL CONTACT ARRANGEMENT FOR VACUUM INTERRUPTER ARRANGEMENT | 2 |
Tarek Lamara | CH | Confignon | 2015-09-03 / 20150248978 - VACUUM INTERRUPTER ARRANGEMENT FOR A MEDIUM VOLTAGE CIRCUIT BREAKER WITH CUP-SHAPED TMF-CONTACTS | 5 |
Rosa Lamaraca Casado | ES | Barcelona | 2015-04-30 / 20150118312 - NOVEL DOSAGE AND FORMULATION | 1 |
William Lamarca | FR | Cregy Les Meaux | 2011-12-08 / 20110301037 - Oil-Based Agrochemical Compositions With Increased Viscosity | 3 |
Drew Lamarca | US | Whippany | 2010-03-25 / 20100072742 - Electromagnetic Bond Welding of Thermoplastic Pipe Distribution Systems | 1 |
Anthony G. Lamarca | US | Seattle | 2015-11-12 / 20150327055 - Techniques For Automatically Distinguishing Between Users Of A Handheld Device | 25 |
Concetta Lamarca | US | Wilmington | 2014-03-27 / 20140088328 - CATALYTIC HYDROGENATION OF FLUOROOLEFINS, ALPHA-ALUMINA SUPPORTED PALLADIUM COMPOSITIONS AND THEIR USE AS HYDROGENATION CATALYSTS | 1 |
Tony Lamarca | US | Loves Park | 2015-12-03 / 20150351066 - SYSTEMS AND METHODS FOR COMMUNICATING WITH NON-TERRESTRIAL ELECTRONIC DEVICES | 8 |
Tony Lamarca | US | Loves Park | 2015-12-03 / 20150351066 - SYSTEMS AND METHODS FOR COMMUNICATING WITH NON-TERRESTRIAL ELECTRONIC DEVICES | 8 |
Drew P. Lamarca | US | Whippany | 2009-12-24 / 20090314770 - Dual susceptor temperature controlled resin composition for inductive control heating and method of use | 2 |
Drew Philip Lamarca | US | Whippany | 2014-04-24 / 20140109612 - Phase Transition Air Cooling System Utilizing a Water Sub-Cooler for Chilling Liquid Refrigerant | 1 |
Anthony Lamarca | US | Seattle | 2015-03-26 / 20150087323 - CLOUD BASED SPECTRUM MANAGEMENT | 1 |
Frank Lamarca | US | Ann Arbor | 2012-12-27 / 20120330423 - Engineered Scaffolds for Intervertebral Disc Repair and Regeneration and for Articulating Joint Repair and Regeneration | 3 |
Anthony G. Lamarca | US | Seattle | 2015-11-12 / 20150327055 - Techniques For Automatically Distinguishing Between Users Of A Handheld Device | 25 |
Antonello La Marca | FR | Corbas | 2011-07-14 / 20110167729 - MODULAR MOUNT FOR HANDLING DOOR WITH FLEXIBLE SCREEN | 3 |
Giancarlo La Marca | IT | Firenze | 2013-03-21 / 20130072533 - COMPOUNDS WITH BOTH ANALGESIC AND ANTI-HYPERALGESIC EFFICACY | 2 |
Audrey La Marca | FR | Guyancourt | 2015-09-24 / 20150270748 - SERVO VALVE TORQUE MOTOR | 1 |
Rosa Lamarca Casado | ES | Barcelona | 2013-07-25 / 20130189317 - NOVEL DOSAGE AND FORMULATION | 4 |
Robert Lamarche | US | Las Vegas | 2015-10-08 / 20150283484 - FILTRATION SYSTEM | 1 |
Marc-André Lamarche | CA | Lorraine | 2012-03-15 / 20120066081 - PAYMENT SYSTEM TO FACILITATE TRANSACTIONS | 3 |
Francois Lamarche | US | New Milford | 2009-04-09 / 20090093986 - Method and Apparatus for Elimination of Spurious Response due to Mixer Feed-Through | 2 |
Matthew J. Lamarche | US | Reading | 2014-09-18 / 20140274878 - AMINOTHIAZOLES AND THEIR USES | 7 |
Paul Lamarche | US | Rochester Hills | 2011-07-21 / 20110177766 - EXPANSIBLE SANDING BLOCK EXHIBITING OBLIQUE EXTENDING SURFACES | 3 |
Luc Lamarche | CA | Ottawa | 2011-05-26 / 20110123056 - FULLY LEARNING CLASSIFICATION SYSTEM AND METHOD FOR HEARING AIDS | 1 |
Fabien Lamarche | CH | Le Locle | 2014-01-02 / 20140003200 - MULTIFUNCTIONAL CASE | 1 |
Nicolas Lamarche | FR | Meylan-En-Yvelines | 2015-04-16 / 20150102164 - BUOYANCY SYSTEM FOR AN AIRCRAFT, AND AN AIRCRAFT | 1 |
Matthew J. Lamarche | US | Cambridge | 2014-10-23 / 20140315790 - AMINOTHIAZOLES AND THEIR USES | 3 |
Marc-André Lamarche | CA | Lorraine | 2012-03-15 / 20120066081 - PAYMENT SYSTEM TO FACILITATE TRANSACTIONS | 3 |
Keirnan Ryan Lamarche | US | Helmetta | 2015-10-22 / 20150297603 - HIGH DRUG LOAD TABLET FORMULATION OF [(1R), 2S]-2-AMINOPROPIONIC ACID 2-[4-(4-FLUORO-2-METHYL-1H-INDOL-5-YLOXY)-5-METHYLPYRROLO[2,1-f][1,2,4]TR- IAZIN-6-YLOXY]-1-METHYLETHYL ESTER | 2 |
Paul-Hugo Lamarche | US | San Jose | 2012-01-26 / 20120019292 - CONFIGURATION OF A MULTI-DIE INTEGRATED CIRCUIT | 1 |
Nicolas Lamarche | FR | Moissy Cramayel | 2015-12-03 / 20150345335 - Instrumented flow passage of a turbine engine | 1 |
Paul F. Lamarche | US | Morgan Hill | 2014-04-10 / 20140097522 - METHODS AND APPARATUS FOR IDENTIFYING AND REDUCING SEMICONDUCTOR FAILURES | 5 |
Laurent Lamarque | FR | St Victor La Coste | 2014-11-13 / 20140336373 - NOVEL COMPLEXING AGENTS AND CORRESPONDING LANTHANIDE COMPLEXES | 1 |
Mauld Lamarque | FR | Tours | 2015-07-09 / 20150190487 - MUTANT STRAINS OF NEOSPORA AND USES THEREOF | 1 |
Claude-Henri Lamarque | FR | Farnay | 2015-06-04 / 20150153221 - METHOD FOR DETECTING A PERTURBATION BY HYSTERETIC CYCLE USING A NONLINEAR ELECTROMECHANICAL RESONATOR AND DEVICE USING THE METHOD | 1 |
Laurent Lamarque | FR | Saint Victor La Coste | 2015-12-17 / 20150361116 - NOVEL WATER-SOLUBLE COMPLEXING AGENTS AND CORRESPONDING LANTHANIDE COMPLEXES | 3 |
Matthew Lamarque | US | Sand City | 2009-01-22 / 20090023562 - Plyometric training device and method | 1 |
Thierry Lamarque | FR | Magny-Les-Hameaux | 2012-02-23 / 20120044336 - Generic Threat Detector | 1 |
Frederic Lamarque | FR | Montmorency | 2012-05-10 / 20120114492 - METHOD OF MODIFYING A STEAM TURBINE | 1 |
Jeffrey Lamarque | US | Los Angeles | 2012-03-15 / 20120066104 - FINANCIAL PRODUCT APPLICATION PULL-THROUGH SYSTEM | 1 |
Sandrine Lamarque-Forget | FR | Magny Les Hameaux | 2012-06-28 / 20120160393 - METHOD FOR MAKING BISTABLE NEMATIC LIQUID-CRYSTAL DEVICES | 1 |
Sylvain Lamarre | CA | Boucherville | 2014-04-03 / 20140095051 - ADAPTIVE FUEL MANIFOLD FILLING FUNCTION FOR IMPROVED ENGINE START | 1 |
Jonathan Lamarre | US | Pembroke | 2008-12-04 / 20080297242 - INTEGRATED CIRCUIT HAVING A MULTI-PURPOSE NODE CONFIGURED TO RECEIVE A THRESHOLD VOLTAGE AND TO PROVIDE A FAULT SIGNAL | 2 |
Jean-Michel Lamarre | CA | Montreal | 2013-08-15 / 20130209745 - METHOD OF COATING OF A SUBSTRATE WITH A THERMAL SPRAY COATING MATERIAL AND COATED SUBSTRATE FORMED THEREBY | 1 |
Mathieu Lamarre | CA | Saint-Laurent | 2013-05-23 / 20130127883 - FRAMEWORK TO INTEGRATE AND ABSTRACT PROCESSING OF MULTIPLE HARDWARE DOMAINS, DATA TYPES AND FORMAT | 2 |
Alain Lamarre | CA | Terrebonne | 2015-06-11 / 20150157144 - MODULAR SHELVING SYSTEM | 1 |
Daniel Lamarre | CA | Montreal | 2015-05-14 / 20150133495 - Substituted Acyloxyamidines as HCV NS3/4A Inhibitors | 1 |
Alain Lamarre | CA | Montreal | 2014-05-15 / 20140134202 - VACCINES AND IMMUNOPOTENTIATING COMPOSITIONS AND METHODS FOR MAKING AND USING THEM | 1 |
Lyne Lamarre | CA | Blainville | 2009-12-24 / 20090317830 - HEPATITIS C VIRUS NS2/3 ASSAY | 1 |
Gregory James Lamarre | CA | Markham | 2012-08-23 / 20120215479 - SYSTEM FOR TESTING INTELLIGENT ELECTRONIC DEVICES | 1 |
Alain Lamarre | CA | Laval | 2014-06-05 / 20140154288 - PAPAYA MOSAIC VIRUS COMPOSITIONS AND USES THEREOF FOR STIMULATION OF THE INNATE IMMUNE RESPONSE | 1 |
Jonathan Lamarre | US | Raleigh | 2012-01-19 / 20120013327 - TWO-TERMINAL LINEAR SENSOR | 1 |
Jean Lamarre | FR | Bures Sur Yvette | 2011-01-20 / 20110014043 - SYSTEM FOR CONTROLLING THE COWL OF A TURBOJET ENGINE NACELLE THRUST REVERSER | 1 |
Herve Lamarre | FR | Paris | / - | 1 |
Gerald J. Lamarr, Jr. | US | Bay City | 2015-02-05 / 20150034849 - FUEL SHUT-OFF SOLENOID SYSTEM | 2 |
Randy Lamarte | US | Belmont | 2009-11-12 / 20090278316 - ILLUMINATED GAME | 1 |
Bruce C. Lamartine | US | Los Alamos | 2013-04-04 / 20130081646 - HAIR TREATMENT PROCESS PROVIDING DISPERSED COLORS BY LIGHT DIFFRACTION | 1 |
Salvatore Lamartino | US | Orland Park | 2016-03-31 / 20160088852 - AUTOMATED PRODUCT PROFILING APPARATUS AND PRODUCT SLICING SYSTEM USING THE SAME | 9 |
Salvatore Lamartino | US | Orland Park | 2016-03-31 / 20160088852 - AUTOMATED PRODUCT PROFILING APPARATUS AND PRODUCT SLICING SYSTEM USING THE SAME | 9 |
Alfredo Messina Lamas | ES | La Coruna | 2009-10-01 / 20090243414 - MAGNETIC MOTOR | 1 |
Divanny I. Lamas | US | San Francisco | 2016-04-07 / 20160098485 - Field Value Search Drill Down | 9 |
Daniel Lamas | US | Panorama City | 2008-09-25 / 20080230407 - Marker Caddy Device | 1 |
Gabriela Isabel Lamas | US | Acworth | 2015-10-29 / 20150305709 - CONDUCTIVE MEDIUM PAD FOR ULTRASOUND PROBE | 1 |
Divanny Lamas | US | San Francisco | 2016-05-19 / 20160140743 - Graphical Display of Event Data Using Pull-Down Menus | 2 |
Constantin Lamasanu | CA | Le Gardeur | 2014-09-04 / 20140245905 - LENS AND ADHESIVE ASSEMBLY, AND COOKING APPLIANCE INCLUDING A LENS AND ADHESIVE ASSEMBLY | 1 |
Yechiel Lamash | IL | Haifa | 2015-10-08 / 20150282765 - FRACTIONAL FLOW RESERVE (FFR) INDEX | 6 |
Rodney John Lamash | CA | Edmonton | 2012-12-20 / 20120317775 - Tool remover | 1 |
Simon J. Lamason | NL | Utrecht | 2009-03-12 / 20090064429 - PROTECTIVE HOUSING WITH INTERIOR DECORATIVE SLEEVE MEMBER FOR A POWER ORAL CARE APPLIANCE | 1 |
Christopher Edward Lamaster | US | Simpsonville | 2012-02-23 / 20120045318 - METHOD AND APPARATUS FOR AIR FLOW CONTROL | 2 |
Christopher Edward Lamaster | US | Indianapolis | 2014-01-30 / 20140030098 - ARTICLE OF MANUFACTURE | 3 |
Christopher E. Lamaster | US | Indianapolis | 2014-08-28 / 20140241852 - METHODS AND APPARATUS FOR MEASURING AXIAL SHAFT DISPLACEMENT WITHIN GAS TURBINE ENGINES | 1 |
Christopher E. Lamaster | US | Simpsonville | 2010-04-15 / 20100092284 - AIRFOIL SHAPE FOR A COMPRESSOR | 2 |
Gerardo Lamastra | IT | Torino | 2012-06-14 / 20120151585 - Method and System for Identifying Malicious Messages in Mobile Communication Networks, Related Network and Computer Program Product Therefor | 3 |
Patrick Lamat | FR | Toulouse | 2014-03-27 / 20140084600 - EMERGENCY OPENING DEVICE FOR AN AIRCRAFT DOOR | 1 |
Luc Lamat | FR | Toulouse | 2012-01-26 / 20120017514 - Aircraft opening window and aircraft equipped with at least one such window | 1 |
Patrick Lamat | FR | Lapeyrouse Fossat | 2009-05-07 / 20090113954 - LOCKING SYSTEM FOR AN AIRCRAFT DOOR, ESPECIALLY FOR AN AIRPLANE PASSENGER DOOR | 1 |
Patrick Lamat | FR | Latecoere | 2014-04-17 / 20140103163 - AIRCRAFT AND CABIN DOOR | 1 |
Bernd Lamatsch | CH | Riehen | 2013-11-07 / 20130292660 - ELECTROLUMINESCENT METAL COMPLEXES WITH NUCLEOPHILIC CARBENE LIGANDS | 7 |
Achim Lamatsch | DE | Waldkirch | 2012-06-07 / 20120142872 - PROCESS FOR POLYMERISING (HETERO)AROMATIC COMPOUNDS | 1 |
Achim Lamatsch | US | 2013-11-07 / 20130292660 - ELECTROLUMINESCENT METAL COMPLEXES WITH NUCLEOPHILIC CARBENE LIGANDS | 1 | |
Thibault Lamaury | FR | Boulogne-Billancourt | 2013-05-02 / 20130110855 - METHOD OF MATCHING DATA AND USE IN THE VERIFICATION OF IDENTITY OF A RECIPIENT OF A MAIL | 1 |
Andrew Allison Lamb | US | Boston | 2014-10-30 / 20140324889 - EXECUTING USER-DEFINED FUNCTION ON A PLURALITY OF DATABASE TUPLES | 1 |
Steven Lamb | CA | Toronto | 2014-10-09 / 20140304473 - METHOD AND SYSTEM FOR CACHE TIERING | 2 |
Jonathan Robert Lamb | GB | Cambridgeshire | 2009-03-26 / 20090082260 - COMBINATION OF AN IMMUNOSUPPRESSANT AND A PPAR GAMMA AGONIST FOR THE TREATMENT OF AN UNDESIRABLE IMMUNE RESPONSE | 1 |
John David Lamb | GB | Marlborough | 2010-06-24 / 20100155431 - DISPENSING DEVICE FOR VISCOUS MATERIALS | 1 |
Roberta Lamb | US | Wynnewood | 2012-07-26 / 20120189643 - Toll Like Receptor 3 Antagonists, Methods and Uses | 1 |
Craig R. Lamb | US | Penfield | 2011-02-17 / 20110039572 - CELLULAR DEVICE CONTROL | 1 |
Curtis D. Lamb | US | Scottsville | 2009-10-15 / 20090258264 - Cartridge adsorber system for removing hydrogen sulfide from reformate | 1 |
James J. Lamb | US | Duanesburg | 2015-11-12 / 20150322193 - MODIFIED PHENOLIC RESINS AND METHODS OF MAKING AND USING THE SAME AS REINFORCING RESINS | 2 |
Frederick W. Lamb | US | Mccalla | 2009-12-17 / 20090308906 - Cap feeder with grip finger | 1 |
Ellen Kristie Lamb | US | Maple Valley | 2010-02-04 / 20100026499 - REAL TIME COMPLIANCE MONITORING SYSTEM (RTCMS) FOR IN HOME MEDICAL REAL TIME COMPLIANCE MONITORING SYSTEM (RTCMS) FOR IN HOME MEDICAL EQUIPMENT | 1 |
Michael Lamb | US | Rancho Santa Fe | 2016-05-19 / 20160140833 - TEMPORARY SECURITY BYPASS METHOD AND APPARATUS | 19 |
John David Lamb | GB | Bottlesford | 2009-04-16 / 20090095775 - Refill bottle for appliance dispensing heated cosmetic fluids | 1 |
David Lamb | US | Medford | 2012-09-27 / 20120246210 - METHOD AND APPARATUS FOR ADAPTIVE CONTROL OF THE DECIMATION RATIO IN ASYNCHRONOUS SAMPLE RATE CONVERTERS | 1 |
Kirk D. Lamb | US | Kingston | 2008-12-11 / 20080307374 - METHOD, SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR MAPPING A LOGICAL DESIGN ONTO AN INTEGRATED CIRCUIT WITH SLACK APPORTIONMENT | 2 |
Michael Arthur Lamb | US | New Paltz | 2008-10-09 / 20080250134 - Architecture for a Centralized Management System | 1 |
Kirk David Lamb | US | Kingston | 2011-06-16 / 20110145771 - Modeling for Soft Error Specification | 3 |
Nicholas L. Lamb | US | Odenton | 2012-03-08 / 20120060030 - SYSTEM AND METHOD OF PROVIDING TRUSTED, SECURE, AND VERIFIABLE OPERATING ENVIRONMENT | 1 |
Brian Lamb | US | Belmont | 2015-03-19 / 20150075343 - SAFETY MECHANISMS FOR POWER TOOLS, INCLUDING MAGNETORHELOGICAL BRAKE FOR BLADE | 6 |
Peter Lamb | US | Oakland | 2015-02-26 / 20150057310 - Malate salt of N-(4-phenyl)-N'-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide, and crystalline forms therof for the treatment of cancer | 8 |
Lauren Lamb | US | Plano | 2011-08-25 / 20110209067 - System and Method for Website User Valuation | 1 |
Kevin Lamb | US | West Hartford | 2012-10-04 / 20120247582 - Temperature Control Valve With Pressure Relief | 3 |
David Rene Lamb | US | Wheaton | 2011-10-13 / 20110251321 - Silicone Gel-Forming Compositions and Hysteretic Silicone Gel and Device Comprising the Gel | 1 |
Andrew Lamb | US | Arlington | 2012-12-13 / 20120317094 - Sideways Information Passing | 3 |
Bradford Storrs Lamb | US | Charlottesville | 2013-12-12 / 20130328313 - DIRECT DRIVE ROTARY WAVE ENERGY CONVERSION | 3 |
Steve C. Lamb | US | Rolla | 2014-06-12 / 20140161933 - NON-SETTLING HYDROLYZED WHEY PERMEATE CONCENTRATE AND RELATED METHODS AND NUTRITIONAL COMPOSITIONS | 3 |
Justin Lamb | US | Cambridge | 2013-08-29 / 20130225432 - SOLUTION-BASED METHODS FOR RNA EXPRESSION PROFILING | 5 |
Michelle Lamb | US | Waltham | 2014-06-05 / 20140155394 - Chemical Compounds - 759 | 7 |
James Hope Lamb | GB | Bath | 2014-11-06 / 20140328670 - VIBRATION ISOLATION MOUNT | 1 |
Aaron D. Lamb | US | San Diego | 2013-04-04 / 20130086366 - Register File with Embedded Shift and Parallel Write Capability | 1 |
Thomas Lamb | US | Lynnwood | 2016-02-11 / 20160040829 - STORAGE TANK CONTAINMENT SYSTEM | 6 |
William D. Lamb | US | Saline | 2010-12-09 / 20100306996 - PIERCE NUT INSTALLATION TOOL | 1 |
Kathleen Lamb | US | Jackson | 2015-10-08 / 20150283575 - ROOF ADHESIVE DISTRIBUTION APPARATUS | 6 |
Tony M. Lamb | US | Holland | 2012-12-06 / 20120306637 - SYSTEM AND METHOD FOR SELECTIVELY ALTERING CONTENT OF A VEHICLE INTERFACE | 1 |
Roland Oliver Lamb | GB | London | 2012-12-06 / 20120306783 - Processor Interface | 1 |
Mathew J. Lamb | US | Mercer Island | 2015-08-06 / 20150220231 - SYNCHRONIZING VIRTUAL ACTOR'S PERFORMANCES TO A SPEAKER'S VOICE | 14 |
Steven D. Lamb | US | Woodinville | 2015-08-27 / 20150238870 - Application Interface for Tracking Player Identity | 20 |
David Lamb | GB | Liverpool | 2013-05-30 / 20130135314 - ANALYSIS METHOD | 1 |
David J. Lamb | US | Oakdale | 2011-10-27 / 20110261584 - SYSTEMS AND METHODS FOR CONTROLLING BACKLIGHT OUTPUT CHARACTERISTICS | 2 |
Michael Lamb | US | Alpharetta | 2011-03-17 / 20110066629 - TECHNIQUE FOR PROVIDING SUPPLEMENTAL INTERNET SEARCH CRITERIA | 1 |
Peter J. Lamb | US | Minneapolis | 2011-04-28 / 20110094093 - METHOD AND APPARATUS FOR A SELF-ALIGNING POWER SOURCE CASING | 1 |
Michelle Laurae Lamb | US | Waltham | 2015-02-19 / 20150051185 - Chemical Compounds 251 | 1 |
Thorsten Lamb | DE | Hamburg | 2013-02-21 / 20130044975 - SYSTEM AND METHOD FOR GENERATING A SYNCHRONISATION CONTROL SIGNAL | 1 |
Lowell D. Lamb | US | San Ramon | 2015-10-22 / 20150304046 - Probabilistic Bandwidth Control in a Passive Optical Network (PON) | 9 |
Michael Lamb | US | Carlsbad | 2013-03-07 / 20130057405 - SECURITY APPARATUS AND METHOD | 2 |
Lowell D. Lamb | US | San Ramon | 2015-10-22 / 20150304046 - Probabilistic Bandwidth Control in a Passive Optical Network (PON) | 9 |
Dave W. Lamb | IT | Milano | 2012-05-24 / 20120125685 - LOW AND MEDIUM VOLTAGE CABLE JOINT FILLED WITH COLD THERMOSETTING RESIN AND KIT FOR THE DEPLOYMENT THEREOF | 1 |
Wililam John Lamb | NL | Eindhoven | 2014-07-17 / 20140198619 - ULTRASOUND MEASUREMENT ASSEMBLY FOR MULTIDIRECTIONAL MEASUREMENT | 1 |
Blair G. Lamb | CA | Kilbride | 2015-12-17 / 20150359860 - METHOD OF TREATING FIBROSIS IN SKELETAL MUSCLE TISSUE | 2 |
Brian Paul Lamb | US | Wilmington | 2012-11-01 / 20120273391 - HYDROPROCESSING PROCESS USING UNEVEN CATALYST VOLUME DISTRIBUTION AMONG CATALYST BEDS IN LIQUID-FULL REACTORS | 1 |
Stan Lamb | US | Casey | 2011-07-28 / 20110182665 - CONVEYOR ASSEMBLY FOR AN ASPHALT PAVING MACHINE | 1 |
Michael Lamb | US | Rancho Sante Fe | 2013-02-21 / 20130044767 - ROBUST COMMUNICATION PROTOCOL FOR HOME NETWORKS | 1 |
Karen Y. Lamb | US | Westland | 2009-02-26 / 20090050187 - WALKER WITH UNDERARM SUPPORTS | 1 |
Rusty Lamb | US | Broussard | 2014-10-02 / 20140290952 - Flameless Heating Method | 3 |
Dennis Thomas Lamb | US | Pass Christian | 2013-05-09 / 20130111698 - VACUUM AXLE WITH A MOTOR EMBEDDED THEREIN AND WHEELS | 4 |
Michael Lamb | US | Rancho Santa Fe | 2016-05-19 / 20160140833 - TEMPORARY SECURITY BYPASS METHOD AND APPARATUS | 19 |
George W. Lamb | US | Atlanta | 2009-03-05 / 20090058665 - Apparatus and Method for Providing Weather and Other Alerts | 1 |
Kirk D. Lamb | US | Poughkeepsie | 2015-11-05 / 20150317248 - SIZING A WRITE CACHE BUFFER BASED ON EMERGENCY DATA SAVE PARAMETERS | 1 |
Ricardo Gilberto Lamb | BR | Sao Vendelino | 2015-06-11 / 20150159709 - BACKING PLATE FOR BRAKE PAD OF A DISC BRAKE | 1 |
Donald Thomas Lamb | US | Lincoln | 2011-10-06 / 20110239954 - MODULAR ANIMAL IMAGING APPARATUS AND METHOD OF USE | 2 |
Lowell David Lamb | US | San Ramon | 2015-05-21 / 20150138972 - Digital Subscriber Line (DSL) Communication System with Remote Back-Pressure | 6 |
Aaron Douglass Lamb | US | San Diego | 2015-04-02 / 20150095274 - METHOD AND APPARATUS FOR PRODUCING PROGRAMMABLE PROBABILITY DISTRIBUTION FUNCTION OF PSEUDO-RANDOM NUMBERS | 1 |
Gordon David Lamb | GB | Berkshire | 2012-01-19 / 20120012076 - METHOD OF OPERATING A HYBRID ENGINE | 3 |
James Lamb | US | Seattle | 2014-09-18 / 20140279176 - PROVISION OF REAL-ESTATE MARKET INFORMATION | 1 |
Wilson Christopher Lamb | US | Hillsborough | 2016-05-12 / 20160128389 - MEMS-BASED SENSOR FOR AN AEROSOL DELIVERY DEVICE | 1 |
Andrew J. Lamb | US | Seven Hills | 2013-08-22 / 20130215489 - OPTICAL ELEMENT HAVING AN ELECTROCHROMIC APODIZED APERTURE AND AN APERTURE BODY FORMING AN ELECTROCHROMIC APODIZED APERTURE | 2 |
Brian Lamb | US | Menlo Park | 2010-02-18 / 20100037739 - Power cutting tool with overhead sensing system | 1 |
Donald T. Lamb | US | Lincoln | 2011-11-24 / 20110284765 - OPTICAL BACKGROUND SUPPRESSION SYSTEMS AND METHODS FOR FLUORESCENCE IMAGING | 2 |
Erin Lamb | US | Pasadena | 2015-11-19 / 20150328313 - PHOTOACTIVATED MOLECULES FOR LIGHT-INDUCED MODULATION OF THE ACTIVITY OF ELECTRICALLY EXCITABLE CELLS AND METHODS OF USING SAME | 1 |
William Douglas Lamb | US | Eagleville | 2016-03-31 / 20160093157 - METHODS AND SYSTEMS FOR INTERACTING WITH A PLAYER USING A GAMING MACHINE | 3 |
John D. Lamb | US | Rock Island | 2011-11-10 / 20110272654 - LOW FRICTION ROPE GUARD AND EDGE PROTECTOR WITH RADIUS PROTECTION AND GUIDE RAILS | 1 |
Tony Lamb | US | Union | 2012-08-09 / 20120199616 - MOBILE CONFECTIONARY APPARATUS WITH PROTECTIBLE DISPENSING SYSTEM | 2 |
Art Lamb | US | Tiburon | 2008-12-25 / 20080320172 - Method And Apparatus For Synchronization Of Two Computer Systems | 1 |
James Lamb | US | Novato | 2015-10-01 / 20150281820 - AUDIO HEADSET HAVING WIRE GUIDED EAR BUDS | 1 |
Christopher Lamb | US | San Jose | 2014-11-20 / 20140344821 - TECHNIQUES FOR SHARING PRIORITIES BETWEEN STREAMS OF WORK AND DYNAMIC PARALLELISM | 5 |
Philip Lamb | US | San Diego | 2015-06-25 / 20150174403 - TRANSDERMAL ELECTRICAL STIMULATION DEVICES FOR MODIFYING OR INDUCING COGNITIVE STATE | 4 |
Michael Lamb | GB | London | 2011-09-22 / 20110231522 - DISTRIBUTED DIGITAL MEDIA METERING & REPORTING SYSTEM | 2 |
Brian Lamb | US | Sunnyvale | 2013-12-19 / 20130336628 - AUTOMATIC TRACKING, RECORDING, AND TELEPROMPTING DEVICE | 1 |
David S. Lamb | CA | Haliburton | 2015-08-20 / 20150233608 - Geothermal Energy Transfer System | 4 |
John P. Lamb | US | White Plains | 2016-02-04 / 20160034659 - ANALYZING DATA FROM A SENSOR-ENABLED DEVICE | 1 |
David Lamb | BR | Sao Paolo | 2014-02-13 / 20140047293 - SEMICONDUCTOR CIRCUIT AND METHODOLOGY FOR IN-SYSTEM SCAN TESTING | 1 |
Albert Andrus Lamb | US | Somerville | 2010-01-28 / 20100023615 - REDIRECTING WEB DOWNLOADS | 1 |
Craig Mike Lamb | US | Bozeman | 2014-11-27 / 20140349566 - HVAC Zoning System Having Distributed Intelligence and Method of Manufacture | 1 |
Duncan Lamb | FI | Helsinki | 2012-11-08 / 20120284673 - METHOD AND APPARATUS FOR PROVIDING QUICK ACCESS TO DEVICE FUNCTIONALITY | 1 |
Andrew Allinson Lamb | US | Boston | 2015-06-25 / 20150178342 - USER-DEFINED LOADING OF DATA ONTO A DATABASE | 8 |
James S. Lamb | US | Portland | 2013-01-24 / 20130022133 - Wideband Balun Structure | 1 |
Kevin W. Lamb | US | West Hartford | 2015-11-05 / 20150316939 - Four Way Valve With Oil Filled Actuator | 5 |
Jason Michael Lamb | US | Sunnyvale | 2016-03-10 / 20160068951 - ALIGNMENT SYSTEMS EMPLOYING ACTUATORS PROVIDING RELATIVE DISPLACEMENT BETWEEN LID ASSEMBLIES OF PROCESS CHAMBERS AND SUBSTRATES, AND RELATED METHODS | 1 |
Andrew Allinson Lamb | US | Boston | 2015-06-25 / 20150178342 - USER-DEFINED LOADING OF DATA ONTO A DATABASE | 8 |
Marshal Allen Lamb | US | Raleigh | 2009-12-31 / 20090327417 - Using Semantic Networks to Develop a Social Network | 1 |
Marshall Allen Lamb | US | Raleigh | 2012-09-06 / 20120226784 - AUTOMATED SERVER CONTROLLED CLIENT-SIDE LOGGING | 4 |
Alexander Lamb | US | Califon | 2015-02-12 / 20150046228 - SYSTEM FOR AND METHOD FOR COMMISSION AND KPI TRACKER AGGREGATION AND CONTEXTUALIZATION | 1 |
Douglass T. Lamb | US | Cary | 2012-07-26 / 20120190165 - Creating Integrated Circuit Capacitance From Gate Array Structures | 5 |
Christopher C. Lamb | US | Albuquerque | 2013-01-17 / 20130019002 - SYSTEM AND METHODS OF RESOURCE USAGE USING AN INTEROPERABLE MANAGEMENT FRAMEWORK | 1 |
James Lamb | US | Conover | 2010-08-12 / 20100200266 - ANTI-THEFT MARKING FOR COPPER CLAD STEEL | 1 |
Matthew Lamb | US | Westfield | 2010-12-30 / 20100329637 - System and method for displaying priority transport stream data in a paused multi-channel broadcast multimedia system | 1 |
H. Henry Lamb | US | Apex | 2013-04-25 / 20130102818 - PROCESS OF CONVERSION OF BIOMASS TO FUEL | 4 |
Rodger Lamb | US | Westminster | 2011-02-03 / 20110028539 - Biologically active taxane analogs and methods of treatment | 3 |
Rodger L. Lamb | US | Westminster | 2010-03-18 / 20100069643 - Convergent Process for the Synthesis of Taxane Derivatives | 2 |
Rodger Lamb | US | Westminister | 2008-10-30 / 20080269319 - Biologically active taxane analogs and methods of treatment | 3 |
Gerald Lee Lamb | US | Littleton | 2008-12-25 / 20080314825 - DE-NITRIFICATION TREATMENT SYSTEM AND METHOD | 1 |
Johnny W. Lamb | US | Tucson | 2009-08-13 / 20090199439 - Pant leg securing device with outer display that attaches to footwear | 1 |
James F. Lamb | US | Knoxville | 2009-09-24 / 20090236536 - RADIOACTIVITY DOSE CALIBRATOR | 1 |
Matthew Robert Lamb | US | Westfield | 2011-05-05 / 20110102673 - METHOD AND SYSTEM FOR REDISPLAYING TEXT | 7 |
Roger Allen Lamb | US | Upland | 2016-03-03 / 20160059834 - VEHICLE LINE-LOCKING BRAKE SYSTEM AND METHOD | 1 |
Brian Lamb | US | San Francisco | / - | 1 |
Gordon David Lamb | GB | Reading | 2014-07-03 / 20140187456 - METHOD OF PREPARING A LUBRICANT COMPOSITION | 1 |
Cody William Lamb | US | Altamonte Springs | 2012-08-09 / 20120200300 - Apparatus for Preventing Damage to Electronics Caused by a Broken or Damaged Service Neutral Line Connection | 1 |
Dennis T. Lamb | US | Pass Christian | 2015-07-16 / 20150196180 - EFFICIENT LIGHTWEIGHT VACUUM | 2 |
Joseph M. Lamb | US | Hopkinton | 2016-01-07 / 20160006677 - INVERSE PCP FLOW REMAPPING FOR PFC PAUSE FRAME GENERATION | 4 |
Aaron Paul Lamb | US | Charlotte | 2015-04-23 / 20150107522 - AUTOMATIC SELF-CLEANING, SELF-FILLING PET WATER BOWL APPARATUS, METHODS AND SYSTEMS | 1 |
Kyle Emile Lamb | US | Fayetteville | 2011-12-29 / 20110314721 - High-visibility gunsight | 1 |
Kevin Lamb | US | Columbia | 2015-06-11 / 20150159755 - JACKETED RESILIENT METAL SEAL | 3 |
Paul Lamb | US | Comstock Park | 2015-09-03 / 20150246740 - ROBOT WITH PACKING HEAD AND INTEGRATED VACUUM ARM | 1 |
Michael D. Lamb | US | Gilbert | 2015-10-01 / 20150278936 - Consolidated Goal Planning | 1 |
Joe Lamb | US | Camarillo | 2015-07-02 / 20150187888 - ENGINEERED SUBSTRATES FOR USE IN CRYSTALLINE-NITRIDE BASED DEVICES | 1 |
Marshall A. Lamb | US | Raleigh | 2013-01-03 / 20130007579 - ENABLING HOST ACTIVE ELEMENT CONTENT RELATED ACTIONS ON A CLIENT DEVICE WITHIN REMOTE PRESENTATIONS | 2 |
Austin M. Lamb | US | Bellevue | 2013-06-06 / 20130145380 - CONTROL EXPOSURE | 1 |
Matt Lamb | US | Waxhaw | 2009-04-30 / 20090107601 - Tire tread having three different sipe types | 1 |
Matthew Lamb | US | Waxhaw | 2013-12-19 / 20130333458 - OPTIMAL TIRE PERFORMANCE INDICATOR | 3 |
Richard Lamb | US | Beaverton | 2014-06-05 / 20140156586 - BIG-FAST DATA CONNECTOR BETWEEN IN-MEMORY DATABASE SYSTEM AND DATA WAREHOUSE SYSTEM | 1 |
Dennis Lamb | US | Cookeville | 2014-07-17 / 20140196605 - DUAL-STAGE CYCLONIC AIR SEPARATOR | 3 |
John S. Lamb | US | Bothell | 2016-05-12 / 20160134138 - Low Voltage DC Distribution System for Charging Passenger Devices | 3 |
Urs Lamb | GB | Edinburgh | 2010-05-27 / 20100126857 - ANALYSIS INSTRUMENT | 1 |
Joshua Lamb | US | Garland | 2012-08-02 / 20120194406 - MULTI-BAND ELECTRONICALLY SCANNED ARRAY ANTENNA | 1 |
Fred S. Lamb | US | Coralville | 2009-10-15 / 20090258823 - COMPOSITION AND METHODS FOR THE PREVENTION AND TREATMENT OF GASTROINTESTINAL INFECTIONS | 1 |
Ronald Michael Lamb | US | Santa Clara | 2015-10-01 / 20150276336 - RELOADING SYSTEM | 2 |
William John Lamb | NL | Eindhoven | 2013-05-16 / 20130121516 - SYSTEM AND METHOD FOR SOUND REPRODUCTION | 5 |
C. Scott Lamb | US | Chelmsford | 2014-09-25 / 20140286301 - METHOD AND APPARATUS FOR WIRELESS COMMUNICATION IN A MESH NETWORK USING FREQUENCY SCHEDULE | 2 |
Tony Lamb | US | Walton | 2016-05-05 / 20160121778 - LIQUID TOPPINGS DISPENSING SYSTEM | 2 |
Gates Arnold Lamb | US | Park City | 2015-07-09 / 20150190725 - INTERLOCKING BUILDING BLOCK | 1 |
Paul Lamb | GB | Cheshire | 2014-12-18 / 20140370097 - STERILE PHARMACEUTICAL COMPOSITIONS | 3 |
Jeffrey Lamb | US | Gabriel | 2013-02-14 / 20130039610 - SOLAR TRACKING BEARING AND SOLAR TRACKING SYSTEM EMPLOYING SAME | 1 |
Steven D. Lamb | US | Woodinville | 2015-08-27 / 20150238870 - Application Interface for Tracking Player Identity | 20 |
Robert C. Lamb | US | Blue Springs | 2011-05-05 / 20110103346 - Encapsulating Upper Layers of CDMA Signaling Between a Multi-mode Device and a Signaling Gateway | 1 |
Elliott Lamb | GB | Harpenden | 2009-09-24 / 20090239034 - Article having differing surface finishes and a method for producing same | 1 |
Michael E. Lamb | US | American Fork | 2015-12-03 / 20150345928 - TRIP HAZARD MEASUREMENT GAUGE | 1 |
Logan Michael Lamb | US | Atlanta | 2015-12-03 / 20150349810 - CROSS-MODALITY ELECTROMAGNETIC SIGNATURE ANALYSIS FOR RADIO FREQUENCY PERSONA IDENTIFICATION | 1 |
John P. Lamb | US | White Plaines | 2013-10-24 / 20130282695 - ANALYZING DATA FROM A SENSOR-ENABLED DEVICE | 1 |
Mathew J. Lamb | US | Mercer Island | 2015-08-06 / 20150220231 - SYNCHRONIZING VIRTUAL ACTOR'S PERFORMANCES TO A SPEAKER'S VOICE | 14 |
Richard Forrest Lamb | US | Broomfield | 2012-08-09 / 20120202183 - Controlled Release Survey and Methods Thereof | 1 |
Lawrence S. Lamb | US | Birmingham | 2015-01-15 / 20150017137 - DRUG RESISTANT IMMUNOTHERAPY FOR TREATMENT OF A CANCER | 2 |
Jacob Lamb | US | Maple Grove | 2016-05-19 / 20160140809 - GAMING DEVICE HAVING SUBSEQUENT GAME SYMBOL BONUS | 15 |
Derek Lamb | CA | Calgary | 2014-01-23 / 20140020891 - WATER INJECTION METHOD FOR ASSISTING IN RECOVERY OF HEAVY OIL | 1 |
Justin J. Lamb | US | Portland | 2008-11-20 / 20080283376 - Electrical switches | 1 |
Jeffrey Lamb | US | San Gabriel | 2015-05-28 / 20150144751 - SOLAR TRACKING BEARING AND SOLAR TRACKING SYSTEM EMPLOYING SAME | 1 |
John E. Lamb | US | Newtown | 2014-09-25 / 20140288978 - COMPUTER SYSTEM AND METHOD FOR PROCESSING ACCOUNT DATA | 1 |
Scott Lamb | US | Brerwyn | 2008-12-04 / 20080296374 - RECYCLING KIOSK SYSTEM AND METHOD THEREOF | 1 |
Ronald Michael Lamb | US | Dallas | 2016-04-07 / 20160097613 - STORAGE COMPARTMENT | 1 |
Karen Y. Lamb | US | Belleville | 2016-03-31 / 20160088939 - RETROFITABLE HANGING FRAME | 2 |
Amy Lamb | US | Manchester | 2016-02-18 / 20160048927 - HYBRID ELECTRONIC LOCKBOX | 2 |
Phil Lamb | US | San Diego | 2014-09-18 / 20140276553 - DEVICE AND METHOD FOR SETTING THERAPEUTIC PARAMETERS FOR AN INFUSION DEVICE | 2 |
Lowell Lamb | US | San Ramon | 2014-01-09 / 20140010541 - Energy Efficient Ethernet Power Management Via SIEPON Protocol | 3 |
Dennis T. Lamb | US | Long Beach | 2009-05-21 / 20090126572 - ELECTRODE WIRE FOR AN ELECTROSTATIC PRECIPITATOR | 1 |
Richard Lamb | US | Millbrae | 2013-10-03 / 20130263274 - Crowd Validated Internet Document Witnessing System | 2 |
Jon Lamb | US | Wildwood | 2015-02-05 / 20150040270 - METHODS AND COMPOSITIONS FOR EXPRESSION OF TRANSGENES IN PLANTS | 2 |
Joshua Lamb | US | Rumford | 2014-12-18 / 20140370777 - TRANSFORMABLE CONSTRUCTION TOY | 1 |
Michael Lamb | GB | Buckinghamshire | 2012-09-06 / 20120226780 - ENABLING DIGITAL MEDIA CONTENT TO BE DOWNLOADED TO AND USED ON MULTIPLE TYPES OF COMPUTING DEVICE | 3 |
Scott Lamb | US | Berwyn | 2009-01-15 / 20090014363 - DROP-OFF RECYCLING SYSTEM AND METHOD THEREOF | 1 |
Jeffrey D. Lamb | US | Atlanta | 2014-05-08 / 20140129426 - METHOD AND SYSTEM FOR DETECTION OF A FUEL CARD USAGE EXCEPTION | 1 |
Scott Lamb | US | Brewyn | 2009-01-22 / 20090024479 - COMMUNITY-BASED RECYCLING SYSTEM AND METHODS THEREOF | 1 |
Keith Lamb | GT | Guatemala City | 2016-04-14 / 20160102936 - VISUAL TRACER AND SOUND TRAINING DEVICE | 1 |
Dan Lamb | US | Murrieta | 2009-06-11 / 20090145929 - LIQUID DISPENSER | 1 |
Roberta A. Lamb | US | Wynnewood | 2009-07-30 / 20090193529 - Method for Generating Antibodies | 1 |
Francis B. Lamb | US | Easton | 2010-08-05 / 20100194715 - Integrated information presentation system with environmental controls | 1 |
Steven G Lamb | US | 2015-07-30 / 20150213194 - Methods, Devices, And Systems For Multi-Format Data Aggregation | 1 | |
Steven G. Lamb | US | Middleburg | 2015-07-30 / 20150213194 - Methods, Devices, And Systems For Multi-Format Data Aggregation | 1 |
John Lamb | US | Newtown | 2012-01-05 / 20120004934 - COMPUTER SYSTEM AND COMPUTERIZED METHOD FOR PROCESSING ACCOUNT DATA | 1 |
Jeffrey Lamb | US | Perrysburg | 2016-05-05 / 20160123383 - MOUNTING DEVICE FOR A PHOTOVOLTAIC MODULE | 2 |
Steve Lamb | US | Union City | 2015-04-30 / 20150113733 - SURGERY TABLE HAVING COORDINATED MOTION | 6 |
Blair Lamb | CA | Georgetown | 2014-07-31 / 20140212405 - Fibrinolytic/Proteolytic Treatment of Myofacial and Neuropathic Pain and Related Conditions | 2 |
Edward F. Lamb | US | Bethlehem | 2010-08-05 / 20100194715 - Integrated information presentation system with environmental controls | 1 |
Martin A. Lamb | US | Downingtown | 2011-04-14 / 20110085530 - SYSTEM AND METHOD FOR COMMUNICATION IN A WIRELESS MOBILE AD-HOC NETWORK | 2 |
Nikki Lamb | US | West Fargo | 2011-05-12 / 20110110180 - APPARATUS AND METHOD FOR PREPARING A LIQUID MIXTURE | 1 |
Joshua Lamb | US | Oak Park | 2016-03-24 / 20160088055 - MEDIA PLATFORM FOR ADDING SYNCHRONIZED CONTENT TO MEDIA WITH A DURATION | 5 |
Aaron Lamb | US | West Fargo | 2011-11-10 / 20110276181 - PROGRAMMABLE ELECTRIC HAND TRUCK | 2 |
Gene Lamb | US | Kingman | 2009-02-26 / 20090049779 - Concrete reinforcement structure | 1 |
Frederick W. Lamb | US | Mcdonald | 2013-12-12 / 20130330150 - Stacked Caps with Connecting Stems | 3 |
Scott D. Lamb | US | Standish | 2014-11-13 / 20140335711 - POWER SUPPLY SYSTEM INCLUDING PANEL WITH SAFETY RELEASE | 3 |
Kenneth Eugene Lamb | US | Lebanon | 2015-04-02 / 20150090735 - METHODS AND APPARATUSES FOR DISPENSING FLUIDS | 3 |
Myyra Arlene Lamb | US | Balaton | 2014-01-30 / 20140026629 - ORGANICALLY CHELATED MINERAL COMPOSITIONS AND METHODS THEREOF | 1 |
Richard Dale Lamb | US | Balaton | 2016-01-07 / 20160000093 - AGRICULTURAL COMPOSITIONS AND APPLICATIONS UTILIZING ESSENTIAL OILS | 4 |
John Lamb | US | Newton | 2010-06-03 / 20100138339 - METHOD AND SYSTEM FOR AN ONLINE-LIKE ACCOUNT PROCESSING AND MANAGEMENT | 1 |
Mark J. Lamb | US | Goleta | 2014-03-27 / 20140086454 - ELECTRO-OPTICAL RADAR AUGMENTATION SYSTEM AND METHOD | 1 |
Lester Robert Lamb | US | New Castle | 2013-05-16 / 20130124363 - SYSTEMS AND METHODS FOR IDENTIFYING SUSPICIOUS ORDERS | 2 |
David Lamb | US | Cary | 2015-11-12 / 20150322229 - ANTIMICROBIAL HOUSING AND COVER FOR A MEDICAL DEVICE | 2 |
Jo Ann Lamb | US | Seven Hills | 2010-08-12 / 20100203487 - Systems and methods for assessing a medical ultrasound imaging operator's competency | 1 |
Martin A. Lamb | US | Romansville | 2014-08-07 / 20140219091 - METHOD FOR CONTROLLING FLOOD BROADCASTS IN A WIRELESS MESH NETWORK | 2 |
Craig Adam Lamb | US | Bozeman | 2014-11-27 / 20140349566 - HVAC Zoning System Having Distributed Intelligence and Method of Manufacture | 2 |
Joe Lamb | US | Hopkington | 2013-09-12 / 20130235725 - PSEUDO-RELATIVE MODE WRED/TAIL DROP MECHANISM | 1 |
Logan M. Lamb | US | Knoxville | 2013-10-31 / 20130291112 - ARCHITECTURE FOR REMOVABLE MEDIA USB-ARM | 1 |
John Lamb | GB | Wiltshire | 2009-10-15 / 20090255834 - TOILET CLEANING DEVICE | 1 |
Jonathan C. Lamb | US | Wildwood | 2014-09-18 / 20140283166 - CREATION AND TRANSMISSION OF MEGALOCI | 3 |
Stuart Lamb | US | Stuart | 2014-08-21 / 20140234922 - SYSTEM AND METHOD FOR THE PRODUCTION OF ALKYL ESTERS | 1 |
David James Lamb | DE | Mittelbiberach | 2013-10-24 / 20130281430 - Amino-Indolyl-Substituted Imidazolyl-Pyrimidines and Their Use as Medicaments | 1 |
Duncan Lamb | GB | London | 2009-10-29 / 20090268716 - Communication method and apparatus | 2 |
Joseph Lamb | US | Gig Harbor | 2010-09-02 / 20100222262 - SUBSTITUTED 1, 3-CYCLOPENTADIONE ATTENUATED ENDOTHELIAL INFLAMMATION AND ENDOTHELIAL-MONOCYTE INTERACTIONS | 1 |
John David Lamb | GB | Wiltshire | 2009-12-03 / 20090297460 - AEROSOL DISPENSER | 2 |
Brian David Randolph Lamb | CA | Waterloo | 2013-09-19 / 20130243344 - METHOD AND SYSTEM FOR DECODING ENCODED IMAGES AND REDUCING THE SIZE OF SAID IMAGES | 1 |
Kevin Alan Lamb | GB | Cambridge | 2009-12-31 / 20090322158 - CONTROLLING INDUCTIVE POWER TRANSFER SYSTEMS | 1 |
Alycia Lamb | US | Seattle | 2013-06-20 / 20130152870 - DOG MOBILITY DEVICE | 1 |
Austin Lamb | US | Bellevue | 2013-06-20 / 20130159977 - OPEN KERNEL TRACE AGGREGATION | 1 |
Shawn Marie Lamb | US | Wilmington | 2011-10-06 / 20110246153 - METHOD FOR PELLET CLADDING INTERACTION (PCI) EVALUATION AND MITIGATION DURING BUNDLE AND CORE DESIGN PROCESS AND OPERATION | 1 |
Gordon David Lamb | GB | Southend Bradfield,berkshire | 2010-07-01 / 20100162981 - LUBRICANT COMPOSITION FOR COMBUSTION ENGINE CONTAINING DISPERSANT ADDITIVE AND POLYMER DISPERSANT VISCOSITY INDEX IMPROVER | 1 |
Jacob Lamb | US | Maple Grove | 2016-05-19 / 20160140809 - GAMING DEVICE HAVING SUBSEQUENT GAME SYMBOL BONUS | 15 |
Calvin Lamb | GB | Nottingham | 2014-08-21 / 20140231533 - TRACK ASSEMBLY | 1 |
James A. Lamb | US | Elkhom | 2013-07-11 / 20130179539 - MESSAGE EXCHANGE BETWEEN SOFTWARE COMPONENTS | 1 |
Charles Lamb | US | Carthage | 2013-12-05 / 20130323562 - CERAMIC ENCLOSED THERMAL BATTERY | 4 |
Kenneth Lamb | US | Kingsley | 2013-05-09 / 20130111716 - CABLE TENSIONING DEVICE FOR HUNTING TREE STANDS OR CLIMBING LADDERS | 2 |
Larry Lamb | US | San Bernardino | 2011-08-04 / 20110185621 - TRANSPORTABLE FISHING POLE HOLDER | 1 |
Mark A. Lamb | US | Hinsdale | 2015-10-29 / 20150310322 - Unique Method to Simultaneously Manufacture Paper Substrate Transaction Card and Display Carrier | 2 |
Jennifer Jane Lamb | GB | Sutton Coldfield | 2009-10-22 / 20090260355 - Stirling engine assembly | 1 |
William Lamb | US | Saline | 2012-10-18 / 20120264529 - PIERCE NUT MANUFACTURING METHOD AND APPARATUS | 2 |
John Lamb | GB | Pewsey | 2011-04-28 / 20110095058 - CLOSURE FOR A CONTAINER | 1 |
Roberta Lamb | US | Spring House | 2014-09-11 / 20140255388 - TOLL LIKE RECEPTOR 3 ANTAGONISTS, METHODS AND USES | 3 |
Catherine S. Lamb | US | Westerville | 2014-09-04 / 20140248412 - DRYBLENDED NUTRITIONAL POWDERS | 2 |
Brandy Lamb | US | San Diego | 2014-08-21 / 20140231468 - TELESCOPING SPOUT FOR LIQUID CONTAINER WITH FLOW CONTROL | 1 |
Michelle Lamb | US | Belmont | 2009-05-28 / 20090137624 - Chemical Compounds | 1 |
Daniel Lamb | US | Shaker Heights | 2012-05-31 / 20120136196 - MOBILE IMAGING UNIT WITH ENVIRONMENTAL CONTAINMENT | 1 |
Iain K. Lamb | US | San Francisco | 2012-05-31 / 20120136953 - EMAIL SYSTEM | 1 |
Albert A. Lamb | US | Somerville | 2011-09-29 / 20110238828 - REDIRECTING WEB CONTENT | 3 |
Wayne A. Lamb | US | London | 2008-08-21 / 20080196507 - Pressure sensor incorporating a compliant pin | 1 |
Michael Lamb | US | Washington | 2012-05-17 / 20120117701 - GARMENT HAVING ARMORED PROTECTION | 1 |
James Hope Lamb | GB | Malmesbury | 2014-04-10 / 20140097323 - VIBRATION ISOLATION MOUNT FOR AN ELECTRIC MOTOR | 1 |
Edward L. Lamb | US | Franklin | 2008-10-23 / 20080257769 - MEANS FOR SECURING A TRANSPORT BASE TO A SHIPPING PALLET | 2 |
Brian M. Lamb | US | San Diego | 2015-10-08 / 20150284728 - CHIMERIC POLYPEPTIDES HAVING TARGETED BINDING SPECIFICITY | 1 |
Myrra Arlene Lamb | US | Marshall | 2011-06-23 / 20110152363 - ORGANICALLY CHELATED MINERAL COMPOSITIONS AND METHODS THEREOF | 1 |
Richard Dale Lamb | US | Marshall | 2011-06-23 / 20110152363 - ORGANICALLY CHELATED MINERAL COMPOSITIONS AND METHODS THEREOF | 1 |
Wayne A. Lamb | US | Chillicothe | 2011-06-23 / 20110153171 - System And Method For Limiting Operator Control Of An Implement | 2 |
Christopher Lamb | US | St. Louis | 2008-11-13 / 20080282058 - Message queuing system for parallel integrated circuit architecture and related method of operation | 1 |
James A. Lamb | US | Duffield | 2015-12-17 / 20150359351 - MATTRESS ASSEMBLY | 1 |
Blair G. Lamb | US | 2015-12-17 / 20150359860 - METHOD OF TREATING FIBROSIS IN SKELETAL MUSCLE TISSUE | 1 | |
Brian M. Lamb | US | Manhattan Beach | 2013-01-10 / 20130009807 - Apparatus & Method for Short Dwell Inverse Synthetic Aperture Radar (ISAR) Imaging of Turning Moving Vehicles | 1 |
Peter Alexander John Lamb | US | Clifton Park | / - | 1 |
Michael L. Lamb | US | Leander | 2015-07-09 / 20150193323 - PROVIDING A USER INTERFACE TO ENABLE SELECTION OF STORAGE SYSTEMS, COMPONENTS WITHIN STORAGE SYSTEMS AND COMMON PERFORMANCE METRICS FOR GENERATING PERFORMANCE METRIC REPORTS ACROSS STORAGE SYSTEMS AND COMPONENTS | 4 |
Lisa Ann Lamb | US | Atlanta | 2016-02-18 / 20160048793 - Microjob Rating And Payment System | 1 |
John Lamb | US | Snohomish | 2008-12-18 / 20080309297 - USB CHARGER FOR COMMERCIAL TRANSPORT VEHICLE | 1 |
Karl J. Lamb | US | Port Angeles | 2012-01-12 / 20120010688 - IMPLANTABLE CONDUCTIVE ELEMENT AND METHOD OF USE INHYPERTHERMIC TREATMENT | 2 |
Michael John Lamb | US | Rosemount | 2011-06-16 / 20110145404 - Autonomic Control of a Distributed Computing System Using Finite State Machines | 3 |
Peter Lamb | US | Oakland | 2015-02-26 / 20150057310 - Malate salt of N-(4-phenyl)-N'-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide, and crystalline forms therof for the treatment of cancer | 8 |
Mathew Lamb | US | Mercer Island | 2013-08-01 / 20130194259 - VIRTUAL ENVIRONMENT GENERATING SYSTEM | 2 |
Julie Elisabeth Lamb | US | Potomac | 2013-04-25 / 20130102975 - BLOOD DELIVERY SYSTEM | 1 |
Bernd Lamb | DE | Waldbronn | 2010-10-21 / 20100268500 - METHOD AND DEVICE FOR THE IDENTIFICATION OF A DELAY-SUSCEPTIBLE CONTROL PATH, CONTROL DEVICE AND COMPUTER PROGRAM PRODUCT | 1 |
Duncan Lamb | FR | Rennes | 2010-02-11 / 20100036942 - METHOD FOR INDICATING A SERVICE INTERRUPTION SOURCE | 2 |
Christopher Lamb | BE | Ohain | 2015-08-27 / 20150239169 - PROCESS FOR MAKING A STRETCH-BLOW MOULDED CONTAINER HAVING AN INTEGRALLY MOULDED HANDLE | 6 |
Brian Lamb | CA | Waterloo | 2014-03-20 / 20140082367 - VERIFYING PASSWORDS ON A MOBILE DEVICE | 4 |
Duncan Lamb | EE | Tallinn | 2012-06-21 / 20120159559 - User Interface For A Communication Device | 3 |
Christopher D. Lamb | CA | Victoria | 2008-10-02 / 20080244394 - DISPLAY AND LAYOUT FLOW OF RELATIVELY POSITIONED USER INTERFACE ITEMS | 1 |
Michael L. Lamb | US | San Jose | 2014-08-07 / 20140223012 - CLUSTER-AWARE RESOURCE PROVISIONING IN A NETWORKED COMPUTING ENVIRONMENT | 3 |
Jonathan Lamb | US | Moscow | 2015-11-19 / 20150331422 - Autonomous Vehicle Interface System | 1 |
Michael Ian Lamb | GB | London | 2013-11-28 / 20130318187 - METHOD OF ENABLING DIGITAL MUSIC CONTENT TO BE DOWNLOADED TO AND USED ON A PORTABLE WIRELESS COMPUTING DEVICE | 4 |
Gaurav Lamba | US | Los Altos | 2015-11-19 / 20150334677 - LEVERAGING WIRELESS COMMUNICATION TRAFFIC OPPORTUNISTICALLY | 7 |
Digvijay Singh Lamba | US | San Bruno | 2016-05-12 / 20160132515 - SOCIAL GENOME | 5 |
Jasmit Singh Lamba | IN | New Delhi | 2016-02-04 / 20160034518 - DATA RESEARCH AND RISK MANAGEMENT ASSESSMENT APPLICATION | 1 |
Jaideep Singh Lamba | US | Plano | 2012-08-16 / 20120209808 - METHOD AND APPARATUS FOR PEER-TO-PEER DATABASE SYNCHRONIZATION IN DYNAMIC NETWORKS | 1 |
Kartik Lamba | US | San Francisco | 2015-08-27 / 20150242663 - WIRELESS CARD READER WITH CONTACTLESS AND CONTACT CARD INTERFACES | 10 |
Digvijay Lamba | US | Sunnyvale | 2015-12-03 / 20150347572 - DETERMINATION OF PRODUCT ATTRIBUTES AND VALUES USING A PRODUCT ENTITY GRAPH | 1 |
Doriano Lamba | IT | Roma | 2011-05-05 / 20110105727 - Method for the humanization of antibodies and humanized antibodies thereby obtained | 1 |
Doriano Lamba | IT | Rome | 2015-08-06 / 20150218264 - METHODS FOR THE HUMANIZATION OF ANTIBODIES AND HUMANIZED ANTIBODIES THEREBY OBTAINED | 5 |
Naveen Lamba | US | Haymarket | 2011-04-07 / 20110082797 - VEHICLE USAGE-BASED TOLLING PRIVACY PROTECTION ARCHITECTURE | 8 |
Kartik Lamba | US | Berkeley | 2016-03-10 / 20160070940 - CARD READER WITH POWER EFFICIENT ARCHITECTURE THAT INCLUDES A POWER SUPPLY AND A WAKE-UP CIRCUIT | 3 |
Vikas Lamba | IN | Delhi | 2012-11-29 / 20120303580 - Method and System for Cascading a Middleware to a Data Orchestration Engine | 1 |
Gagandeep Singh Lamba | US | Thornton | 2016-02-11 / 20160043770 - ITERATIVE INTERFERENCE SUPPRESSOR FOR WIRELESS MULTIPLE-ACCESS SYSTEMS WITH MULTIPLE RECEIVE ANTENNAS | 12 |
Jaideep S. Lamba | US | Plano | 2012-06-21 / 20120155322 - Method And Apparatus For Network Node Discovery | 2 |
Lavlesh Lamba | US | Piscataway | 2012-10-04 / 20120250920 - HEARING AID DEVICE AND A METHOD OF MANUFACTURING A HEARING AID DEVICE | 8 |
Jaspreet Lamba | CA | Milton | 2013-04-04 / 20130085875 - PAYMENT PROCESSING SYSTEM INCLUDING POINT-OF-SALE DEVICE WITH A UNIQUE IDENTIFIER AND ASSOCIATED METHODS | 1 |
Gagandeep Singh Lamba | US | Woodinville | 2011-12-01 / 20110292974 - Methods for estimation and interference suppression for signal processing | 2 |
Gaurav Lamba | US | Sunnyvale | 2012-06-14 / 20120149369 - METHOD AND APPARATUS FOR SUPPORTING LOCATION SERVICES WITH ROAMING | 4 |
Harinder S. Lamba | US | Downers Grove | 2015-04-16 / 20150102752 - BRAKING SYSTEM FOR VEHICLE | 4 |
Triptpal Singh Lamba | US | Bothell | 2015-07-02 / 20150188800 - MANAGEMENT OF COMMUNITY WI-FI NETWORK | 12 |
Vikas Lamba | IN | Bangalore | 2012-08-23 / 20120215880 - FORWARDING DATA FROM SERVER TO DEVICE | 2 |
Manisha Lamba | US | Waterford | 2014-09-18 / 20140271842 - TOFACITINIB ORAL SUSTAINED RELEASE DOSAGE FORMS | 1 |
Tript Singh Lamba | US | Bothell | 2015-07-02 / 20150189584 - SERVICE-ASSISTED NETWORK ACCESS POINT SELECTION | 3 |
Doriano Lamba | IT | Pomezia | 2009-12-03 / 20090300780 - Method for the humanization of antibodies and humanized antibodies thereby obtained | 1 |
Harinder Singh Lamba | US | Downers Grove | 2015-01-29 / 20150032301 - TWO TIERED ENERGY STORAGE FOR A MOBILE VEHICLE | 5 |
Vikas Lamba | US | 2010-03-04 / 20100057744 - METHOD AND SYSTEM FOR CASCADING A MIDDLEWARE TO A DATA ORCHESTRATION ENGINE | 1 | |
Sarika Lamba | IN | Noida | 2011-01-06 / 20110004670 - ELECTRONIC APPARATUS AND CONTROL METHOD FOR REMOTELY PROCESSING FILES | 1 |
Kartik Lamba | US | San Francisco | 2015-08-27 / 20150242663 - WIRELESS CARD READER WITH CONTACTLESS AND CONTACT CARD INTERFACES | 10 |
Kartik Lamba | US | 2014-01-02 / 20140001264 - METHOD FOR CONSERVING POWER USING A WIRELESS CARD READER | 2 | |
Hemank Lamba | IN | New Delhi | 2016-02-18 / 20160048666 - Triggering Actions on a User Device Based on Biometrics of Nearby Individuals | 2 |
Lavlesh Lamba | US | Piscataway | 2012-10-04 / 20120250920 - HEARING AID DEVICE AND A METHOD OF MANUFACTURING A HEARING AID DEVICE | 8 |
Gagandeep Singh Lamba | US | Thornton | 2016-02-11 / 20160043770 - ITERATIVE INTERFERENCE SUPPRESSOR FOR WIRELESS MULTIPLE-ACCESS SYSTEMS WITH MULTIPLE RECEIVE ANTENNAS | 12 |
Triptpal Singh Lamba | US | Bothell | 2015-07-02 / 20150188800 - MANAGEMENT OF COMMUNITY WI-FI NETWORK | 12 |
Digvijay Singh Lamba | US | Sunnyvale | 2015-04-02 / 20150095275 - MASSIVE RULE-BASED CLASSIFICATION ENGINE | 13 |
Digvijay Singh Lamba | US | Sunnyvale | 2015-04-02 / 20150095275 - MASSIVE RULE-BASED CLASSIFICATION ENGINE | 13 |
Babla Lamba | US | San Diego | 2015-06-25 / 20150181402 - SYSTEMS AND METHODS FOR TIMER CONTINUATION IN A POWER RESET SCENARIO | 2 |
Gagan Deep Singh Lamba | US | Thornton | 2011-08-18 / 20110200151 - Iterative Interference Suppression Using Mixed Feedback Weights and Stabilizing Step Sizes | 1 |
Gagandeep Singh Lamba | US | Thorton | 2012-06-14 / 20120147817 - Iterative Interference Suppressor for Wireless Multiple-Access Systems with Multiple Receive Antennas | 1 |
James Leonard Lambach | US | Mcmurray | 2014-02-27 / 20140053486 - Foam Wall Structure | 1 |
James Lambach | US | Mcmurray | 2015-04-02 / 20150093535 - FOAM WALL STRUCTURE | 1 |
Beata E. Lambach | US | St. Louis | 2014-05-22 / 20140141126 - BAKED FOOD COMPOSITIONS COMPRISING SOY WHEY PROTEINS THAT HAVE BEEN ISOLATED FROM PROCESSING STREAMS | 5 |
Kenneth Keith Lambach | US | Munroe Falls | 2014-07-31 / 20140209812 - HIGH PRESSURE ION CHAMBER ENCLOSURE SUPPORT MOUNT | 1 |
Gregory R. Lambach | US | South St. Paul | 2011-03-24 / 20110071453 - COMPRESSION BANDAGE SYSTEM | 1 |
Riku Lambacka | FI | Oulu | 2015-05-21 / 20150138024 - DEPOSITED THREE-DIMENSIONAL ANTENNA APPARATUS AND METHODS | 2 |
Johannes Jacobus Maria Lambalk | NL | Enkhuizen | 2009-07-02 / 20090172836 - Resistance to Powdery Mildew and Absence of Necrosis in Cucumis Sativus | 1 |
Johannes Jacobus Maria Lambalk | NL | Middenbeemster | 2010-11-25 / 20100299777 - METHOD FOR OBTAINING A PLANT WITH A LASTING RESISTANCE TO A PATHOGEN | 3 |
Ralph H. Lambalot | US | Wrentham | 2012-09-06 / 20120222980 - TAMPER-EVIDENT PACKAGE | 1 |
Clifford Lambarth | US | Portage | 2012-10-25 / 20120267910 - AMBULANCE COT AND LOADING AND UNLOADING SYSTEM | 2 |
Cliff Lambarth | US | Portage | 2009-07-16 / 20090178200 - EMERGENCY STRETCHER | 4 |
Clifford Edwin Lambarth | US | Portage | 2015-12-24 / 20150366272 - EMS GARMENT | 9 |
Clifford Edwin Lambarth | US | Portage | 2015-12-24 / 20150366272 - EMS GARMENT | 9 |
Clifford E. Lambarth | US | Portage | 2009-02-12 / 20090039666 - Retractable head and foot section pivots for an ambulance cot | 5 |
Thomas Eldred Lambdin | US | Auburn | 2016-04-21 / 20160110243 - SYSTEMS AND METHODS FOR ANALYZING DATA IN A NON-DESTRUCTIVE TESTING SYSTEM | 12 |
Clarke Lambe | US | Scottsdale | 2016-03-24 / 20160088403 - HEARING ASSISTIVE DEVICE AND SYSTEM | 1 |
Canice Lambe | IE | Dublin | 2009-05-21 / 20090128573 - Memory Based Content Display Interception | 1 |
Peter J. Lambe | US | Anaheim | 2010-10-28 / 20100270794 - Service Adapter Kit | 1 |
Aoife Lambe | IE | County Offaly | 2009-06-18 / 20090152195 - Biofilm reactor | 1 |
Donald M. Lambe | US | St. Joseph | 2010-10-28 / 20100274740 - BUSINESS METHOD AND SYSTEM FOR PLANNING EXECUTING AND ADMINISTERING A PUBLIC OFFERING OF REVENUE BACKED SECURITIES | 2 |
Kenneth A. Lambe | CA | Calgary | 2013-06-20 / 20130157515 - DYNAMIC CONTACT BAYONET ELECTRICAL CONNECTOR FOR HARSH ENVIRONMENTS | 3 |
Peter Lambe | US | Orange | / - | 1 |
Charles T. Lambe | US | Grand Prairie | 2011-02-03 / 20110025580 - ANTENNA SYSTEM AND CONNECTOR FOR ANTENNA | 1 |
Gerard Lambeau | FR | Valbonne Sophia Antipolis | 2012-12-06 / 20120311728 - METHODS AND PHARMACEUTICAL COMPOSITION FOR THE TREATMENT OF ATHEROSCLEROSIS | 1 |
Louis Lambeau | ES | Bilbao | 2014-02-20 / 20140052754 - DEVICE AND METHOD FOR CREATING LINKS | 1 |
Gérard Lambeau | FR | Grasse | 2012-11-08 / 20120282238 - Use of Secreted Phospholipases A2 in the Diagnosis and Treatment of Malaria | 1 |
Gerard Lambeau | FR | Grasse | 2015-03-26 / 20150086564 - NOVEL ANTIBODIES ANTI-sPLA2-IIA AND USES THEREOF | 7 |
Anne-Marie Lambeir | BE | Wilrijk | 2014-03-20 / 20140079632 - ACTIVITY-BASED PROBES FOR THE UROKINASE PLASMINOGEN ACTIVATOR | 1 |
Andre Lambelet | US | Sarasota | 2014-05-01 / 20140122210 - SYSTEMS AND METHODS FOR DELIVERING AND REDEEMING ELECTRONIC COUPONS | 1 |
Lawrence Lambelet | US | Flemington | 2015-07-23 / 20150203262 - WATER BOTTLE WITH DOSAGE IN A BLISTER PACK | 7 |
Pierre Lambelet | CH | Saint-Legier | 2015-09-17 / 20150258038 - STABLE AND BIOAVAILABLE COMPOSITIONS OF ISOMERS OF CAROTENOIDS FOR SKIN AND HAIR | 6 |
Raymond Lambelet | CH | Vufflens-La-Ville | 2012-02-16 / 20120038098 - LOADING STATION FOR PLATE ELEMENTS AND MACHINE FOR PROCESSING SUCH ELEMENTS | 2 |
Severine Lamberet | FR | Gagny | 2015-03-05 / 20150059621 - Struvite-K and Syngenite Composition for Use in Building Materials | 1 |
Michael Lamberg | US | Massapequa Park | 2013-03-21 / 20130073854 - DATA STORAGE INCORPORATING CRYTPOGRAPHICALLY ENHANCED DATA PROTECTION | 1 |
Amy M. Lamberg | US | Palatine | 2012-02-16 / 20120036641 - Space Saver Pillow System And Method For Making The Same | 2 |
Klaus Lamberg | DE | Schwaney | 2010-08-26 / 20100218046 - Method For Testing A Control Apparatus And Test Device | 1 |
Vesa Lamberg | FI | Jyvaskyla | 2010-04-15 / 20100089313 - Rod Cradle, Instert to be Used in a Rod Cradle, and Method for Inserting a Rod in a Rod Cradle | 1 |
John R. Lamberg | US | Minnetonka | 2014-09-11 / 20140253409 - COMPOSITES FOR ANTENNAS AND OTHER APPLICATIONS | 4 |
Micheline Lambermont | BE | Waterloo | 2009-03-26 / 20090081169 - METHOD FOR OSTEOGENIC DIFFERENTIATION OF BONE MARROW STEM CELLS (BMSC) AND USES THEREOF | 1 |
Maurice Lambers | NL | Almelo | 2010-06-17 / 20100150315 - X-RAY SOURCE | 1 |
Hans-Gerd Lambers | DE | Paderborn | 2015-10-01 / 20150282253 - HEATING DEVICE FOR CONDUCTIVE HEATING OF A SHEET METAL BLANK | 1 |
Thomas J. Lambers | US | Prospect | 2009-04-09 / 20090092820 - REINFORCED STRUCTURES AND METHOD OF MANUFACTURE THEREOF | 1 |
Teartse Tim Lambers | NL | Nijmegen | 2015-04-02 / 20150093463 - NUTRITIONAL COMPOSITION CONTAINING A PEPTIDE COMPONENT WITH ANTI-INFLAMMATORY PROPERTIES AND USES THEREOF | 8 |
Benjamin S. Lambers | US | Marshall | 2009-12-31 / 20090324887 - FRICTION MATERIALS | 1 |
Mathilda Maria Henrica Lambers | NL | Weert | 2009-01-08 / 20090012300 - Process for the Preparation of an (Hetero) Arylamine | 1 |
Teartse Tim Lambers | NL | Nijmegen | 2015-04-02 / 20150093463 - NUTRITIONAL COMPOSITION CONTAINING A PEPTIDE COMPONENT WITH ANTI-INFLAMMATORY PROPERTIES AND USES THEREOF | 8 |
Gennady Lambersky | IL | Nesher | 2015-01-29 / 20150032448 - METHOD AND APPARATUS FOR EXPANSION OF SEARCH QUERIES ON LARGE VOCABULARY CONTINUOUS SPEECH RECOGNITION TRANSCRIPTS | 1 |
Carol Lamberson | US | Ringoes | 2013-07-25 / 20130190507 - PROCESSES FOR THE SYNTHESIS OF DIARYLTHIOHYDANTOIN AND DIARYLHYDANTOIN COMPOUNDS | 1 |
Lisa Ann Lamberson | US | Painted Post | 2016-04-07 / 20160099437 - OPAL GLASSES FOR LIGHT EXTRACTION | 17 |
Lisa A. Lamberson | US | Painted Post | 2013-11-07 / 20130295353 - STRENGTHENED GLASS SUBSTRATES WITH GLASS FRITS AND METHODS FOR MAKING THE SAME | 5 |
Lisa Ann Lamberson | US | Painted Post | 2016-04-07 / 20160099437 - OPAL GLASSES FOR LIGHT EXTRACTION | 17 |
George Lamberson | US | New Port Richey | 2011-10-27 / 20110264042 - CONDUCTIVE POLYMER MATERIALS AND APPLICATIONS THEREOF INCLUDING MONITORING AND PROVIDING EFFECTIVE THERAPY | 2 |
George Lamberson | US | San Antonio | 2015-04-09 / 20150100057 - FOOT, ANKLE AND LOWER EXTREMITY COMPRESSION AND FIXATION SYSTEM AND RELATED USES | 3 |
Roger E. Lamberson | US | San Diego | 2013-01-24 / 20130019393 - Portable Spa Insulation Method and Apparatus | 1 |
Joseph Lamberson | US | Jersey City | 2014-02-27 / 20140058116 - PROCESS FOR PREPARING INHIBITORS OF THE HEPATITIS C VIRUS | 1 |
Roy Lamberston | US | Los Altos | 2011-06-23 / 20110149636 - Ion barrier cap | 1 |
Piers Lambert | GB | Cranleigh | 2014-06-05 / 20140151589 - FLOW APPARATUS AND MONITORING SYSTEM RELATING THERETO | 1 |
Emmanuel Lambert | FR | Altrippe | 2014-10-02 / 20140290788 - METHOD FOR FILLING A MULTITUBE CATALYTIC REACTOR | 1 |
Abigail Rose Lambert | US | Kenmore | 2014-05-29 / 20140148361 - Generation and Expression of Engineered I-ONUI Endonuclease and Its Homologues and Uses Thereof | 1 |
Brian M. Lambert | US | Boston | 2011-12-22 / 20110314156 - MEMORABLE RESOURCE NAMES | 1 |
Paul A. Lambert | US | Mountain View | 2015-07-30 / 20150215765 - METHOD AND APPARATUS FOR DISCOVERING A WIRELESS DEVICE IN A WIRELESS NETWORK | 36 |
Christopher B. Lambert | US | Kinston | 2012-08-09 / 20120199162 - METHOD AND SYSTEM FOR REMOVING A CLOG FROM A DISHWASHER | 1 |
David Raymond Lambert | GB | Ashington | 2014-09-11 / 20140254165 - ILLUMINATION BEAM ADJUSTMENT APPARATUS AND ILLUMINATION APPARATUS | 1 |
Marc Lambert | CA | Sainte-Catherine | 2014-09-11 / 20140252863 - ALTERNATING CURRENT (AC) SYNCHRONIZATION FOR LOAD RESTORATION | 1 |
Patrick Lambert | CA | Otterburn Park | 2011-09-22 / 20110226911 - PIPE SPACER | 1 |
Pascal Lambert | FR | Pressigny-Les-Pins | 2012-08-09 / 20120202628 - Controllable Hydraulic Turnbuckle | 1 |
Hendrik Lambert | BE | Deinze | 2016-04-07 / 20160095653 - Prediction of atrial wall electrical reconnection based on contact force measured during RF ablation | 3 |
Daniel T. Lambert | US | Manhattan | 2016-02-11 / 20160042372 - DATA CLUSTERING AND USER MODELING FOR NEXT-BEST-ACTION DECISIONS | 3 |
George H. Lambert | US | Belle Mead | 2011-09-22 / 20110229883 - Biochemical Markers for Disease States and Genes for Identification of Biochemical Defects | 1 |
Rebecca Lambert | US | Washington | 2015-02-12 / 20150046465 - SYSTEM AND METHOD FOR TARGETING RELEVANT RESEARCH ACTIVITY IN RESPONSE TO DIAGNOSTIC MARKER ANALYSES | 2 |
Philippe Lambert | CH | Chiasso | 2013-08-01 / 20130197649 - SUBSTRATE FOR WEAR-PROOF ORTHOPAEDIC JOINTS, OF NON FERROUS METAL WITH A NITRIDE-BASED COATING | 1 |
Charles R. Lambert | US | Melbourne | 2012-05-03 / 20120108903 - INFRARED ENDOSCOPIC BALLOON PROBES | 1 |
Johanna Maria Lambert | NL | Utrecht | 2016-03-31 / 20160089400 - FERMENTED NUTRITIONAL COMPOSITION WITH THIOL PROTEASE INHIBITOR | 1 |
Didier Lambert | FR | Saint Mitre Les Remparts | 2015-04-09 / 20150098083 - METHOD FOR DETECTING THE TRANSITION OF PRODUCTS IN A CONDUIT | 1 |
Russ Lambert | US | Fountain Valley | 2011-09-01 / 20110211658 - SYSTEM AND METHOD OF PERFORMING DIGITAL MULTI-CHANNEL AUDIO SIGNAL DECODING | 1 |
Kal K. Lambert | US | Seattle | 2013-12-19 / 20130339216 - Biophysical Geoengineering Compositions and Methods | 1 |
Kenneth Jason William Lambert | CA | Kanata | 2014-04-17 / 20140108801 - System and Method for Identity Management for Mobile Devices | 2 |
Ryan Lambert | US | San Francisco | 2014-11-27 / 20140350485 - INFUSION DEVICE WITH RELEASABLE FLUID CONNECTOR | 1 |
Jeremy Lambert | GB | Dundee | 2016-04-07 / 20160095823 - METHODS OF USING PROPOFOL DERIVATIVES FOR ANALGESIA | 1 |
Carole Lambert | US | Campbell | 2016-03-17 / 20160079276 - Semiconductor Chip Including Integrated Circuit Having Cross-Coupled Transistor Configuration and Method for Manufacturing the Same | 23 |
Arnold Lambert | FR | Chavaney | 2011-08-25 / 20110207069 - REDOX MASSES HAVING A SPINEL TYPE STRUCTURE AxA'x,ByB'y,O4 AND USE IN A CHEMICAL LOOPING COMBUSTION PROCESS | 1 |
Christopher R. Lambert | US | Hudson | 2014-10-30 / 20140322785 - Continuous Flow Bioreactor for Magnetically Stabilized Three-Dimensional Tissue Culture | 3 |
Alexander P. Lambert | US | Cambridge | 2013-08-08 / 20130204940 - SYSTEM AND METHOD FOR DETERMINING RELEVANCE OF SOCIAL CONTENT | 1 |
George W. Lambert | US | Belle Meade | 2011-09-29 / 20110237669 - Polyunsaturated Fatty Acids Interactions and Oxidative Stress Disorders | 1 |
Trevor Edouard Lambert | GB | London | 2014-09-18 / 20140265513 - Vehicle Seat | 1 |
John Christopher Lambert | US | Greenville | 2016-03-24 / 20160083829 - COATING PROCESS | 1 |
Byron Lambert | US | Temecula | 2015-05-21 / 20150137428 - METHODS OF STABILIZING MOLECULAR WEIGHT OF POLYMER STENTS AFTER STERILIZATION | 7 |
Robert J. Lambert | CA | Cambridge | 2013-04-25 / 20130101112 - METHOD AND APPARATUS FOR MINIMIZING DIFFERENTIAL POWER ATTACKS ON PROCESSORS | 11 |
Jason M. Lambert | US | Pasadena | 2011-03-03 / 20110053688 - ENTERTAINMENT SYSTEM PROVIDING DYNAMICALLY AUGMENTED GAME SURFACES FOR INTERACTIVE FUN AND LEARNING | 1 |
Mark E. Lambert | US | Hanover | 2011-08-18 / 20110197352 - SHOWER DOOR WITH PIVOTED SIDE BY SIDE PANELS | 1 |
Eric Lambert | FR | Marseille | 2012-09-13 / 20120227325 - VEHICLE PANEL PROVIDED WITH A MOVABLE WINDOW, AND AN ASSOCIATED VEHICLE | 1 |
Daniel A. Lambert | US | Carlsbad | 2012-06-28 / 20120164992 - SYSTEM AND METHOD FOR INITIATING AUXILIARY FUNCTIONS IN A TELECOMMUNICATION NETWORK | 4 |
Chris Lambert | US | San Francisco | 2016-01-28 / 20160027306 - RIDE CHAINING | 3 |
Damien Lambert | US | Sunnyvale | 2014-05-15 / 20140133868 - INTENSITY-BASED MODULATOR | 1 |
David K. Lambert | US | South Jordan | 2016-05-19 / 20160142548 - CONFERENCING APPARATUS WITH AN AUTOMATICALLY ADAPTING BEAMFORMING MICROPHONE ARRAY | 11 |
Christine Lambert | US | San Mateo | 2010-10-14 / 20100261229 - SYSTEM AND METHOD FOR PREPARING AND USING BULK EMULSION | 1 |
Stephen Mark Lambert | US | Castro Valley | 2013-06-06 / 20130142955 - APPARATUS FOR FORMING FILMS ON SUBSTRATES | 4 |
Steven E. Lambert | US | San Jose | 2012-11-29 / 20120300327 - SYSTEM AND METHOD FOR IMPROVING HEAD POSITIONING | 2 |
Paul Lambert | CA | Chambly | 2014-12-04 / 20140353044 - CORE BARREL HEAD ASSEMBLY | 2 |
Keith Lambert | US | Dayton | 2015-05-14 / 20150129509 - PRESSURE ASSIST FEATURE FOR PRESSURE FRYER | 1 |
Nicolaas Lambert | NL | Eindhoven | 2012-06-28 / 20120161969 - CONSCIOUSNESS MONITORING | 11 |
Mike Lambert | US | San Jose | 2010-02-18 / 20100042413 - Voice Activated Application Service Architecture and Delivery | 1 |
Kevin M. Lambert | US | North Royalton | 2011-02-10 / 20110032253 - Antenna Near-Field Probe Station Scanner | 1 |
Brian Lambert | US | Strongsville | 2011-09-29 / 20110232212 - SOLAR ROOFING SYSTEM | 5 |
Diane Lambert | US | Berkeley Heights | 2015-08-20 / 20150235261 - ADVERTISEMENT EFFECTIVENESS MEASUREMENT | 7 |
Michael Lambert | US | Highwood | 2011-08-04 / 20110191222 - SYSTEMS AND METHODS FOR MANAGING EVENTS | 1 |
Rebecca Kay Lambert | US | Arlington | 2016-04-28 / 20160119803 - WIRELESS MESH NETWORK USAGE REPORTING SYSTEM AND METHOD | 1 |
Roger J. Lambert | US | Pacific Palisades | 2010-02-04 / 20100028010 - Method and system for updating a control device database via flashing light | 1 |
John J. Lambert | US | Redmond | 2014-08-21 / 20140237607 - IDENTIFYING EXPLOITATION OF VULNERABILITIES USING ERROR REPORTS | 3 |
Scott Lambert | US | Bellevue | 2012-01-12 / 20120011493 - BINARY CODE CHANGE VULNERABILITY PRIORITIZATION | 2 |
John Joseph Lambert | US | Redmond | 2011-12-22 / 20110314544 - EVALUATING SHELL CODE FINDINGS | 3 |
Edward M. Lambert | US | Mercer Island | 2014-05-15 / 20140137270 - DIGITAL MEDIA ASSET IDENTIFICATION SYSTEM & METHOD | 9 |
Mathew A. Lambert | US | Olympia | 2009-09-24 / 20090240894 - METHOD AND APARATUS FOR THE SYNCHRONIZATION OF DISTRIBUTED CACHES | 1 |
John Robert Lambert | US | Bothell | 2010-06-17 / 20100153930 - CUSTOMIZABLE DYNAMIC LANGUAGE EXPRESSION INTERPRETER | 1 |
Ed Lambert | US | Seattle | 2015-06-11 / 20150163648 - Methods for Providing Additional Information for Mobile-Originated Short Message Service | 3 |
Daniel A. Lambert | US | Encinitas | 2009-12-10 / 20090305722 - METHOD AND SYSTEM FOR AUTOMATED COLLECTION OF CALL ROUTING PERFORMANCE DATA IN A WIRELESS NETWORK | 1 |
David Lambert | CA | Ardrossan | 2013-07-11 / 20130175461 - RADIATION SHIELDING BARRIERS | 1 |
Fred Lambert | CA | Sherwood Park | 2013-07-11 / 20130175461 - RADIATION SHIELDING BARRIERS | 1 |
John A. Lambert | US | Strongsville | 2011-01-13 / 20110005023 - Motor Control for a Vacuum Cleaner | 1 |
Charles Bruce Lambert | US | Deerfield Township | 2010-04-29 / 20100101709 - Letterpress Application of Elastomeric Compositions | 1 |
Adam Lambert | US | North Canton | 2010-02-25 / 20100047248 - METHODS OF PROCESSING COMPOSITIONS CONTAINING MICROPARTICLES | 1 |
Larry Lambert | US | London | 2011-08-04 / 20110185662 - FOAMING OF SIMULATED STONE STRUCTURES | 2 |
Stephen Charles Basil Lambert | AU | Kangaroo Point, Queensland | 2015-05-14 / 20150134436 - ELECTRONIC COMMERCE REDEEMABLE TICKET | 1 |
Stephen Charles Basil Lambert | AU | Zillmere (qld) | 2015-05-14 / 20150134436 - ELECTRONIC COMMERCE REDEEMABLE TICKET | 1 |
Jean-Francois Lambert | CA | Orford | 2016-05-05 / 20160121970 - SNOWMOBILE SUSPENSION | 2 |
Nicholas Neville Lambert | AU | Frankston South | 2015-04-02 / 20150091410 - AXIAL FLUX ELECTRICAL MACHINES AND METHODS OF MANUFACTURING THE SAME. | 1 |
Debbie Lambert | US | San Francisco | 2013-07-04 / 20130173746 - INTERFACING PORTABLE MEDIA DEVICES AND SPORTS EQUIPMENT | 3 |
Robert E. Lambert | US | Glendale | 2009-04-09 / 20090092382 - Method and apparatus for storage and playback of programs | 1 |
Craig Norman Lambert | US | Sunnyvale | 2008-11-13 / 20080278223 - APPARATUS AND METHOD FOR CONTROLLING THE PROPAGATION DELAY OF A CIRCUIT BY CONTROLLING THE VOLTAGE APPLIED TO THE CIRCUIT | 1 |
Michael A. Lambert | US | San Diego | 2011-01-13 / 20110005267 - AUTOMOTIVE ADSORPTION HEAT PUMP | 1 |
Robert John Lambert | CA | Cambridge | 2015-09-24 / 20150271208 - MANAGEMENT AND DISTRIBUTION OF SECURITY POLICIES IN A COMMUNICATION SYSTEM | 24 |
David P. Lambert | US | Irvine | 2011-12-01 / 20110290737 - Self Contained Dissolved Air Flotation System | 2 |
William Lambert | US | San Diego | 2011-11-17 / 20110280932 - SUSTAINED RELEASE FORMULATION OF METHOTREXATE AS A DISEASE-MODIFYING ANTIRHEUMATIC DRUG (DMARD) AND AN ANTI-CANCER AGENT | 2 |
James L. Lambert | US | Sunland | 2010-03-04 / 20100055721 - Surface Enhanced Raman Scattering and Multiplexed Diagnostic Assays | 1 |
Paul Lambert | US | El Dorado Hills | 2015-11-26 / 20150335817 - PUMP APPARATUS, SYSTEM AND METHOD OF USE | 10 |
Carole Lambert | US | San Jose | 2009-12-03 / 20090300575 - Optimizing Layout of Irregular Structures in Regular Layout Context | 2 |
Craig Norman Lambert | US | San Jose | 2009-11-12 / 20090278522 - LOW POWER METHOD OF RESPONSIVELY INITIATING FAST RESPONSE TO A DETECTED CHANGE OF CONDITION | 1 |
Ed Lambert | US | Pleasanton | 2009-11-05 / 20090273101 - Apparatus and Method for Preventing Configurable System-on-a-Chip Integrated Circuits from Becoming I/O Limited | 2 |
David Lambert | FR | Cugnaux | 2013-02-07 / 20130034437 - FASTENING DEVICE PARTICULARLY SUITABLE FOR THE FASTENING BETWEEN AN AIR INTAKE AND AN ENGINE OF AN AIRCRAFT NACELLE | 2 |
Gildas Lambert | ES | Madrid | 2014-06-05 / 20140150557 - ULTRASOUND INSPECTION SYSTEM AND ULTRASONIC QUALITY CONTROL METHOD | 1 |
Michel Lambert | CA | Laval | 2008-10-02 / 20080239709 - Concealed Emergency Lighting Fixture with Full Rotation of Door | 1 |
Sebastien Lambert | CA | Sainte-Sophie | 2009-07-30 / 20090188022 - HOCKEY HELMET WITH AN OUTER SHELL MADE OF TWO DIFFERENT MATERIALS | 1 |
Ghislain Lambert | CA | Beloeil | 2009-11-19 / 20090286652 - System and Method for Starting a Combustion Engine of a Hybrid Vehicle | 1 |
Sebastien Lambert | CA | Ste-Sophie | 2010-03-04 / 20100050323 - HOCKEY HELMET COMPRISING AN OCCIPITAL ADJUSTMENT MECHANISM | 1 |
Christopher H. Lambert | US | Christiansburg | 2014-07-24 / 20140202682 - APPARATUS FOR STRIPPING OPTICAL FIBERS AND OPTICAL FIBER ASSEMBLIES | 4 |
Timothy L. Lambert | US | Lindenhurst | 2014-12-25 / 20140378570 - Polyester Polyols Containing Diels-Alder or Ene Adducts | 3 |
Brian Lambert | US | Boston | 2011-07-21 / 20110179020 - SCALABLE TOPICAL AGGREGATION OF DATA FEEDS | 1 |
Alexandra Lambert | CA | Quebec | 2015-06-11 / 20150157705 - THREE-DIMENSIONAL CAVITIES OF DENDRITIC CELL IMMUNORECEPTOR (DCIR), COMPOUNDS BINDING THERETO AND THERAPEUTIC APPLICATIONS RELATED TO INHIBITION OF HUMAN IMMUNODEFICIENCY VIRUS TYPE-1 (HIV-1) | 4 |
Felix Lambert | CA | Terrebonne | 2012-09-27 / 20120246689 - BUFFERING CONTENT ON A HANDHELD ELECTRONIC DEVICE | 2 |
Roger Lambert | US | Pacific Palisades | 2009-02-05 / 20090037972 - METHODS AND APPARATUS TO PRESENT AUDIO AND VIDEO AT NON-NATIVE RATES | 1 |
Bernard Lambert | US | Leesburg | 2015-03-05 / 20150064071 - Disposable Module For Device For Synthesizing Radioisotopes And Process For Manufacturing Said Module | 3 |
Philip Eric Lambert | GB | Preston | 2016-05-05 / 20160123799 - Light shield | 1 |
Todd D. Lambert | US | Brooklyn Park | 2015-04-23 / 20150107366 - Electroactive Polymer Based Pressure Sensor | 2 |
Geoffrey Lambert | CA | Edmonton | 2010-09-16 / 20100230917 - TRANSPORTABLE DISPLAY CART | 1 |
William Joseph Lambert | US | San Diego | 2012-05-10 / 20120114740 - SUSTAINED RELEASE FORMULATION OF A NON-STEROIDAL ANTI-INFLAMMATORY DRUG | 1 |
Mark L. Lambert | US | Menlo Park | 2012-05-10 / 20120117560 - Capability model for deploying componentized applications | 3 |
Richard Lambert | CA | Kleinberg | 2010-09-23 / 20100236075 - Scraping utensil and means for storing a utensil | 1 |
Todd D. Lambert | US | Brooklyn | 2011-07-21 / 20110176940 - HIGH PRESSURE INTENSIFIER SYSTEM | 1 |
Thierry Lambert | FR | Octeville Sur Mers | 2012-05-10 / 20120114783 - NOZZLE FOR A MACHINE FOR MANUFACTURING CONTAINERS | 1 |
Chad D. Lambert | CA | Belleville | 2013-09-26 / 20130250598 - FOG LAMP AND THE LIKE EMPLOYING SEMICONDUCTOR LIGHT SOURCES | 7 |
Robert John Lambert | CA | Cambridge | 2015-09-24 / 20150271208 - MANAGEMENT AND DISTRIBUTION OF SECURITY POLICIES IN A COMMUNICATION SYSTEM | 24 |
Brian A. Lambert | US | Wenatchee | 2016-03-17 / 20160073741 - Three Point Slide Connector System | 1 |
Matthew Lambert | US | Harrisburg | 2015-05-21 / 20150135671 - ALL WHEEL DRIVE, WALK BEHIND MOWER | 1 |
David R. Lambert | US | Rochester | 2012-10-04 / 20120248034 - METHODS OF MAKING AND USING LIQUID FILTER MEDIA | 1 |
Daniel Thomas Lambert | US | Boston | 2011-10-13 / 20110251879 - Product discount system, apparatus and method | 1 |
Mitchell Lambert | CA | Calgary | 2015-05-21 / 20150136427 - Tubewire Injection Buckling Mitigation | 1 |
Rodney J. Lambert | US | Lansing | 2012-05-10 / 20120116698 - VARIABLE SENSING USING FREQUENCY DOMAIN | 1 |
Jeff Lambert | US | Tomball | 2016-04-14 / 20160102518 - Shear Ram Blowout Preventer with Engagement Feature | 1 |
Robert J. Lambert | IE | Dublin | 2013-07-11 / 20130174981 - CYANOACRYLATE ADHESIVE WITH IMPROVED WATER RESISTANCE | 1 |
Robert J. Lambert | CA | Waterloo | 2014-03-20 / 20140082367 - VERIFYING PASSWORDS ON A MOBILE DEVICE | 1 |
Carl Lambert | US | Boca Raton | 2014-04-03 / 20140095241 - Availability-Based Contact Routing and Scheduling System | 2 |
Nicolaas Lambert | NL | Waalre | 2016-05-19 / 20160135723 - CONDITIONING OF CHEMO-OPTICAL SENSORS FOR TRANSCUTANEOUS APPLICATION | 18 |
William Paul Lambert | US | Topeka | 2012-07-26 / 20120186103 - SYSTEM AND METHOD FOR PRINTING A PATTERN ON FOOTWEAR | 1 |
Anthony Lambert | FR | Antony | 2011-07-14 / 20110170448 - MANAGEMENT OF ROUTING TOPOLOGY IN A NETWORK | 1 |
Magali Lambert | FR | Orsay | 2011-07-14 / 20110169120 - INTEGRATED CIRCUIT WITH GRATING AND MANUFACTURING METHOD THEREFOR | 1 |
Arnold Lambert | FR | Chavanay | 2016-04-21 / 20160107941 - METHOD FOR IMPREGNATING A POROUS BODY BY A SUSPENSION AND INSTALLATION FOR IMPLEMENTING SAME | 8 |
Brian C. Lambert | US | L'Anse | 2011-10-20 / 20110252925 - Extractor Tool and Extractor Tool Kit | 1 |
Colin Lambert | US | Austin | 2013-04-25 / 20130099766 - Voltage Regulator with Optimal Efficiency Selection and a Master-Slave Zero Cross Detection Configuration | 2 |
Susan Elaine Lambert | US | Pasadena | 2011-07-07 / 20110166964 - SYSTEMS AND METHODS FOR SEARCHING FOR ENTERTAINMENT TICKETS VIA INTERNET | 1 |
John Nicholas Lambert | AU | Notting Hill | 2014-02-20 / 20140051689 - Polycyclic Agents for the Treatment of Respiratory Syncytial Virus Infections | 2 |
Christian M. Lambert | US | Draper | 2015-11-19 / 20150330154 - FULLY INFILTRATED ROTARY DRILL BIT | 19 |
Joshua D. Lambert | US | State College | 2014-12-11 / 20140363529 - COMPOUNDS INFLUENCING FATTY ACID UPTAKE AND METABOLISM AND METHODS OF ISOLATING FROM COCOA PRODUCTS | 1 |
Bryan Scott Lambert | US | The Woodlands | 2014-12-11 / 20140364346 - VISCOUS FLUID DILUTION SYSTEM AND METHOD THEREOF | 2 |
Steven L. Lambert | US | Washington | 2016-04-21 / 20160108300 - USE OF PVE LUBRICANTS WITH SUBSTITUTE REFRIGERANTS IN A MOBILE AIR CONDITIONING SYSTEM | 8 |
Sébastien Lambert | FR | Saint-Epain | 2016-04-14 / 20160102012 - METHOD FOR TREATING A SURFACE AND DEVICE IMPLEMENTED | 1 |
Olivier Lambert | FR | Lahoussoye | 2016-03-31 / 20160089748 - FRICTION STIR WELDING TOOL COMPRISING A RETRACTABLE GUIDE MEMBER AND A WELDING PROCESS | 1 |
Cédric Lambert | FR | Saint Romans | 2015-03-26 / 20150088579 - METHOD OF AIDING THE DESIGN OF A DATA CENTER | 1 |
Christopher Lambert | US | San Francisco | 2015-12-17 / 20150363449 - Refining location estimates and reverse Geocoding based on a user profile | 1 |
Martin Lambert | DE | Homburg | 2012-10-18 / 20120260875 - FINGER FOLLOWER LEVER OF A VALVE TRAIN AND METHOD FOR PRODUCING THE SAME | 1 |
John W. Lambert | US | Herndon | 2014-10-09 / 20140302775 - Determination of State Vector, Timing, and Navigation Quality Metrics from Reception of ADS-B Transmissions | 2 |
John F. Lambert | US | Apex | 2012-05-10 / 20120117181 - SYSTEM FOR AND METHOD OF PROVIDING MOBILE APPLICATIONS MANAGEMENT | 1 |
Rachel Kay Lambert | US | San Francisco | 2016-03-03 / 20160065555 - ACCESSING A CLOUD-BASED SERVICE PLATFORM USING ENTERPRISE APPLICATION AUTHENTICATION | 1 |
Didier Lambert | FR | Bernos Beaulac | 2016-03-03 / 20160061720 - Method for Characterising a Product by Means of Topological Spectral Analysis | 2 |
William Joseph Lambert | US | North Potomac | 2013-08-15 / 20130209548 - SUSTAINED RELEASE FORMULATION OF A NON-STEROIDAL ANTI-INFLAMMATORY DRUG | 7 |
Craig Lambert | US | San Jose | 2014-09-18 / 20140265610 - APPARATUSES AND RELATED METHODS FOR MODULATING POWER OF A WIRELESS POWER RECEIVER | 1 |
Benjamin Adam Lambert | US | Mt. Pleasant | 2013-11-21 / 20130311498 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR DATA INTEGRATION AND DATA MAPPING | 1 |
Daniel Lambert | US | Carlsbad | 2016-04-21 / 20160109246 - DELETING UNNECESSARY MAP DATA | 12 |
Florence Lambert | FR | Aubervillers | 2012-10-18 / 20120264669 - COMBINATION OF POLYMER AND SURFACTANT FOR IMPROVED LAUNDRY | 1 |
John Lambert | US | Redmond | 2011-06-23 / 20110154505 - UNOBTRUSIVE ASSURANCE OF AUTHENTIC USER INTENT | 1 |
Nathan Lambert | US | Cleveland Heights | 2014-07-10 / 20140195341 - Advertising Subsidized Computer Network Printing Systems, Methods and Products | 1 |
Joshua Lambert | US | Eagleville | 2016-04-07 / 20160099835 - SYSTEM AND METHOD FOR EXTENDING CLOUD SERVICES INTO THE CUSTOMER PREMISE | 4 |
Daniel T. Lambert | US | New York | 2015-12-03 / 20150347901 - Generating Written Content from Knowledge Management Systems | 6 |
Jeffrey Lambert | US | Houston | 2012-11-01 / 20120273211 - SUBSEA SENSORS DISPLAY SYSTEM AND METHOD | 1 |
James Lambert | US | Hampton Cove | 2012-11-01 / 20120274695 - Apparatuses for Printing on Generally Cylindrical Objects and Related Methods | 1 |
David Lambert | FR | Paris | 2013-10-03 / 20130262278 - Deduction Information Repository | 2 |
Matthew Cody Lambert | US | Lenoir City | 2015-08-06 / 20150220539 - DOCUMENT RELATIONSHIP ANALYSIS SYSTEM | 3 |
Ralph A. Lambert | US | Gettysburg | 2015-06-25 / 20150176142 - Dual Diaphragm Electrolysis cell assembly and method for generating a cleaning solution without any salt residues and simultaneously generating a sanitizing solution having a predetermined level of available free chlorine and PH | 2 |
William Thomas Lambert | US | Westfield | 2016-01-28 / 20160021883 - MOLECULES HAVING CERTAIN PESTICIDAL UTILITIES, INTERMEDIATES, COMPOSITIONS, AND PROCESSES, RELATED THERETO | 1 |
Franklin Lambert | US | Palmetto | 2013-06-13 / 20130151187 - Systems And Methods For Determining Current Flow Through A Utility Asset | 1 |
Paul Lambert | CA | North Bay | 2015-01-15 / 20150014065 - CORE BARREL VALVE ASSEMBLY | 3 |
John Henry Lambert | GB | Devon | 2011-11-10 / 20110273700 - SOUND-CREATION INTERFACE | 1 |
Richard D. Lambert | US | Germantown | 2014-06-26 / 20140180431 - IMPLANT COMPONENTS AND METHODS | 11 |
Laurent Lambert | US | 2014-02-13 / 20140047263 - SYNCHRONOUS LOCAL AND CROSS-SITE FAILOVER IN CLUSTERED STORAGE SYSTEMS | 1 | |
Paul Lambert | US | El Dorado Hills | 2015-11-26 / 20150335817 - PUMP APPARATUS, SYSTEM AND METHOD OF USE | 10 |
Aaron M. Lambert | US | Lexington | 2014-07-31 / 20140212161 - Method and System for Controlling a Fuser Assembly | 1 |
William T. Lambert | US | Westfield | 2014-05-29 / 20140148340 - PESTICIDAL COMPOSITIONS | 5 |
Marie-Josée Lambert | CA | Sherbrooke | 2015-06-25 / 20150173426 - Swimming Garment and Corresponding Method of Use Thereof | 1 |
Richard B. Lambert | US | Atlanta | 2015-06-25 / 20150180524 - APPARATUS FOR SUPPORTING ONE OR MORE MYRIAD OBJECTS AND METHOD OF USING SAME | 1 |
Darcy E. Lambert | US | Hayward | 2016-05-19 / 20160138160 - REACTIVE ULTRAVIOLET THERMAL PROCESSING OF LOW DIELECTRIC CONSTANT MATERIALS | 1 |
Michael Lambert | US | Painesville | 2015-06-25 / 20150178453 - Systems and Methods for Core Measures | 1 |
Richard D. Lambert | US | Collierville | 2015-11-12 / 20150320427 - FEMORAL REAMING SYSTEM AND METHOD OF PERFORMING TRIAL REDUCTION | 3 |
Nathalie Lambert | FR | Marseille Cedex 09 | 2015-07-02 / 20150185226 - Method for Diagnosing Scleroderma | 1 |
Adam David Lambert | GB | Swindon | 2014-07-24 / 20140203776 - DOCKING STATION FOR A MOBILE ROBOT | 1 |
Adam Johnson Lambert | GB | Durham | 2014-08-07 / 20140219755 - ACTUATING SYSTEM FOR EJECTOR OF DUMP TRUCK | 1 |
Daniel James Lambert | GB | North Devon | 2015-12-10 / 20150354617 - Locking Device for a Threaded Fastener | 1 |
Martin Lambert | AU | South Australia | 2012-02-16 / 20120041694 - METHOD AND SYSTEM FOR ASSESSMENT OF PIPELINE CONDITION | 1 |
Richard Lambert | US | Germantown | 2012-01-05 / 20120000058 - METHOD OF STERILIZING AN ORTHOPAEDIC IMPLANT | 2 |
Vincent Lambert | US | Salisbury | 2008-09-25 / 20080234768 - Systems for monitoring and applying electrical currents in an organ perfusion system | 1 |
Christopher Lambert | US | Hudson | 2014-05-08 / 20140127137 - SMMR (SMALL MOLECULE METABOLITE REPORTERS) FOR USE AS IN VIVO GLUCOSE BIOSENSORS | 2 |
Roger H. Lambert | US | West Lebanon | 2012-11-29 / 20120303175 - MODIFICATION OF CONTROL PARAMETERS BASED ON OUTPUT POWER | 6 |
Steven R. Lambert | US | Gilbert | 2012-11-29 / 20120299526 - Perpetual EV split power system | 1 |
William Scott Lambert | US | Pasadena | 2010-01-07 / 20100003437 - METHOD OF PRODUCING A SHAPED ARTICLE HAVING EXCELLENT BARRIER PROPERTIES | 2 |
Timothy Michael Lambert | US | Austin | 2015-04-23 / 20150113178 - PERIPHERAL COMPONENT HEALTH MONITORING APPARATUS | 2 |
Mark J. Lambert | US | Greenville | 2008-10-30 / 20080269949 - SECURING COMMUNICATIONS WITH ROBOTS | 2 |
John M. Lambert | US | Cambridge | 2011-12-29 / 20110319612 - ELIMINATION OF HETEROGENEOUS OR MIXED CELL POPULATION IN TUMORS | 2 |
Martin R. Lambert | GB | Berkshire | 2009-04-02 / 20090089398 - DIGITAL RIGHTS MANAGEMENT | 1 |
Michael Lambert | US | Springtown | 2010-05-20 / 20100124165 - Silent Failure Identification and Trouble Diagnosis | 1 |
Donald Lambert | US | Westwood | 2009-10-22 / 20090261215 - Intravenous pole and base protection system | 1 |
Timothy Lambert | US | Austin | 2011-12-01 / 20110292802 - System and Method for Automatic Throttling of Resources in an Information Handling System Chassis | 3 |
Shell Lambert | US | Plano | 2010-03-11 / 20100062519 - Portable organ and tissue preservation apparatus, kit and methods | 1 |
James M. Lambert | US | Staunton | 2014-04-17 / 20140103555 - POLYOLEFIN ELASTIC FIBER | 3 |
James Michael Lambert | US | 2011-05-26 / 20110124549 - FABRIC CARE COMPOSITIONS | 1 | |
Don Lambert | US | Dallas | 2011-05-05 / 20110104309 - INHIBITION OF BACTERIA THROUGH APPLICATION OF A DILUTED SOLUTION OF A SILICATE CHEMICAL | 1 |
Georgia Lambert | US | La Porle | 2010-12-16 / 20100317550 - Drilling Fluid And Process of Making The Same | 1 |
Sheldon M. Lambert | US | Plano | 2013-06-06 / 20130144175 - PERSONAL HEALTH INFORMATION IDENTIFICATION TAG | 1 |
Carl Lambert | US | Chelmsford | 2010-07-29 / 20100191566 - Appointment Scheduling System | 1 |
Colin A. Lambert | US | Austin | 2010-09-30 / 20100250913 - Methods and Systems for Managing A Voltage Regulator | 1 |
John Lambert | US | Cambridge | 2011-04-28 / 20110097345 - NOVEL DOSING REGIMEN AND METHOD OF TREATMENT | 1 |
H. Michael Lambert | US | Austin | 2010-09-23 / 20100241060 - SURGICAL DEVICES AND METHODS | 1 |
Jeff Lambert | US | Houston | 2010-04-15 / 20100089205 - Packable Battering Ram | 1 |
Craig James Lambert | US | Plano | 2009-10-15 / 20090259430 - Method and Apparatus for Synchronizing Signals in a Testing System | 1 |
Marc Lambert | BE | Roeselare | 2012-07-05 / 20120169187 - Presentation Device | 1 |
Jeff Lambert | US | Canyon | 2009-08-20 / 20090208914 - Door Breach Training System | 1 |
John David Lambert | US | Houston | 2008-10-23 / 20080263380 - GPS TIME SYNCRONIZATION FOR DATA DEVICE | 1 |
Beverly Ann Lambert | US | Marlborough | 2009-02-19 / 20090045275 - Waste Chopper Kit | 1 |
Matthew M. Lambert | US | Harrisburg | 2015-04-30 / 20150113932 - ALL WHEEL DRIVE, WALK BEHIND MOWER | 3 |
Fabrice Lambert | FR | Vaux En Bugey | 2013-06-06 / 20130139737 - TABLE INCLUDING AT LEAST ONE LEG THAT CAN BE TURNED EITHER WAY UP | 1 |
Edward L. Lambert | US | Westboro | 2010-01-14 / 20100006082 - WIRE SLICING SYSTEM | 1 |
Christopher R. Lambert | US | Worcester | 2010-11-18 / 20100290951 - SURFACE-BASED AMMONIUM ION SENSOR: AN ELECTRODE DERIVATIZED WITH A SELF-ASSEMBLED MONOLAYER | 2 |
Philip Lambert | US | Northborough | 2011-01-13 / 20110009496 - RESVERATROL FORMULATIONS | 1 |
Mark Lambert | US | Ipswich | 2010-07-22 / 20100181470 - ION BEAM ANGLE CALIBRATION AND EMITTANCE MEASUREMENT SYSTEM FOR RIBBON BEAMS | 1 |
David K. Lambert | US | South Jordan | 2016-05-19 / 20160142548 - CONFERENCING APPARATUS WITH AN AUTOMATICALLY ADAPTING BEAMFORMING MICROPHONE ARRAY | 11 |
Matt Lambert | US | Harrisburg | 2016-01-28 / 20160021812 - DUAL FUNCTION VIBRATION ISOLATION AND HANDLE SWIVEL | 5 |
Colin Lambert | GB | Lancaster | 2015-03-05 / 20150064095 - Method of Producing a Molecular Structure | 1 |
Cyril Lambert | FR | Bordeaux | 2013-11-21 / 20130310747 - NASAL ASPIRATOR FOR BABIES | 1 |
Damien Lambert | US | Los Altos | 2016-05-12 / 20160133496 - SEMICONDUCTOR BONDING WITH COMPLIANT RESIN AND UTILIZING HYDROGEN IMPLANTATION FOR TRANSFER-WAFER REMOVAL | 4 |
Hudson Lambert | GB | Horsham, West Sussex | 2015-12-03 / 20150345129 - DAMP PROOF COURSE ARTICLE | 1 |
David Lambert | US | Memphis | 2013-09-12 / 20130233177 - Single Cup Coffee and Tea Brewing Mug | 2 |
Grégory Lambert | FR | Chatenay Malabry | 2011-08-18 / 20110201689 - COMPOSITIONS CONTAINING QUATERNARY AMMONIUM COMPOUNDS | 2 |
Marc-André Lambert | CH | Zurich | 2012-01-26 / 20120022791 - VH Reservoir Mapping with Borehole Sensors | 3 |
Jürgen Lambert | DE | Gommersheim | 2012-06-28 / 20120164425 - FOAM SHEET BASED ON STYRENE POLYMER-POLYOLEFIN MIXTURES | 3 |
Roland Lambert | FR | Le Grave | 2013-09-12 / 20130237399 - CENTRIFUGE COMPRISING VISUAL AND/OR TACTILE INDICATOR FOR INDICATING THE ACCURATE MOUNTING OF THE ROTOR ON THE DRIVE SHAFT, AND CORRESPONDING ROTOR | 1 |
Chris Lambert | US | Toledo | 2016-02-25 / 20160052180 - Sub-ambient pressure morphology control process for use in molding extruded polymer foams, and parts produced therefrom | 1 |
Olivier Lambert | FR | Spechbach-Ie-Haut | 2013-02-07 / 20130035286 - Pharmaceutical Composition Comprising Cyclic Somatostatin Analogues | 1 |
Nicolas Lambert | FR | Issy-Les-Moulineaux | 2014-12-04 / 20140353211 - PROCESS FOR MILD HYDROCRACKING OF HEAVY HYDROCARBON FRACTIONS WITH OPTIMIZED THERMAL INTEGRATION | 2 |
Brian M. Lambert | US | Redmond | 2011-12-29 / 20110320407 - SHARED DATA COLLECTIONS | 2 |
Fabian Lambert | FR | Chatou | 2016-03-10 / 20160068766 - REGENERATOR FOR REGENERATING CATALYSTS UNDER DIFFERENT OPERATING CONDITIONS | 3 |
Claude, Georges, Jacques, Paul Lambert | FR | Saint-Witz | 2014-01-30 / 20140031202 - CATALYST | 2 |
Dawn Lambert | US | Rockford | 2013-10-31 / 20130290210 - SYSTEM AND METHOD FOR AUTOMATING PRE-EMPLOYMENT ASSESSMENT | 1 |
Joshua Tn Lambert | US | Greenwood | 2012-12-20 / 20120318619 - REMOTE SAFETY BRAKE | 1 |
Ronald Lambert | US | San Jose | 2012-12-20 / 20120320450 - FIBER-MOPA APPARATUS FOR DELIVERING PULSES ON DEMAND | 1 |
Michael R. Lambert | US | Springtown | 2012-12-20 / 20120320785 - METHOD AND APPARATUS FOR MANAGING PACKET CONGESTION | 1 |
Oliver Lambert | DE | Stuttgart | 2014-04-03 / 20140091026 - FILTER APPARATUS FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Olivier Lambert | FR | Steinbrunn-Le-Haut | 2010-08-26 / 20100215734 - PHARMACEUTICAL COMPOSITIONS | 1 |
Bertrand De Lambert | FR | Brunoy | 2012-11-15 / 20120288939 - NANOPARTICULATE CELL CULTURE SURFACE | 3 |
Arnaud Lambert | FR | Raves | 2011-05-12 / 20110107996 - PROCESS FOR THE PRODUCTION OF AN INTAKE MANIFOLD AND CORRESPONDING MANIFOLD | 1 |
Loic Lambert | FR | Le Tour Du Parc | 2011-09-29 / 20110238776 - METHOD AND SYSTEM FOR THE VIRTUALIZED STORAGE OF A DIGITAL DATA SET | 3 |
Nicolas Lambert | FR | Grepiac | 2011-03-17 / 20110063137 - INSTALLATION FOR DETECTING AND DISPLAYING THE FAILURES OF THE FUNCTIONAL SYSTEMS OF AN AIRCRAFT | 2 |
Charles Lambert | FR | Toulouse | 2011-03-10 / 20110056718 - ANTI-LIGHTNING SYSTEM AND AIRCRAFT COMPRISING SUCH A SYSTEM | 2 |
Jeremy Brandon Lambert | US | Raleigh | 2012-12-20 / 20120323464 - MULTIPLE MODE THROTTLE CONTROL | 1 |
Jean-Pierre Lambert | FR | Grancieres | 2011-03-03 / 20110051613 - METHOD FOR DETECTING THE CENTRAL FREQUENCY OF AT LEAST ONE CARRIER IN A WIDE-BAND DOWNLINK, AND CORRESPONDING COMPUTER SOFTWARE PRODUCT, STORAGE DEVICE AND MOBILE APPARATUS | 1 |
Arnold Lambert | FR | Chavanay | 2016-04-21 / 20160107941 - METHOD FOR IMPREGNATING A POROUS BODY BY A SUSPENSION AND INSTALLATION FOR IMPLEMENTING SAME | 8 |
Magali Lambert | FR | Versailles | 2011-02-03 / 20110027128 - SENSOR CHIP AND METHOD OF MANUFACTURING THE SAME | 1 |
Chrisophe Maurice Lambert | FR | Saint Maure | 2011-01-20 / 20110011292 - Method for Changing Edition on a Rotary Press | 1 |
Cedric Lambert | FR | Julos | 2010-12-02 / 20100303389 - FLEXIBLE SHIPPING CONTAINER AND MANUFACTURING PROCESS | 1 |
Christine Kay Lambert | US | Dearborn | 2016-03-24 / 20160084185 - METHOD OF CONTROLLING NOx BY PNA | 31 |
William Lambert | GB | Thetford Norfolk | 2013-11-28 / 20130315696 - Vehicle Chassis | 1 |
Michel Lambert | FR | Boulevard De L'Oise | 2010-11-18 / 20100290751 - MODULAR CABLE HEAD FOR OPTICAL NETWORKS | 1 |
David Lambert | US | Rincon | 2011-05-19 / 20110113932 - AIR FRAME FASTENER INSTALLATION TOOL | 1 |
Melissa Hibbard Lambert | US | Rincon | 2011-05-19 / 20110113932 - AIR FRAME FASTENER INSTALLATION TOOL | 1 |
Florence Lambert | FR | Paris | 2013-11-21 / 20130310298 - Detergent Composition with Anti-Spotting and/or Anti-Filming Effects | 4 |
Xavier Lambert | FR | Rueil Malmaison | 2010-10-21 / 20100265681 - Memory Card Reader | 1 |
Fanny Lambert | FR | Pegomas | 2010-07-22 / 20100184172 - SYSTEM FOR THE PRODUCTION OF AROMATIC MOLECULES IN STREPTOMYCES | 2 |
Olivier Lambert | FR | Spechbach-Le-Haut | 2016-05-19 / 20160136163 - STABLE PHARMACEUTICAL COMPOSITIONS COMPRISING A PYRIMIDINE-SULFAMIDE | 17 |
Nadine Lambert | FR | Chatou | 2013-10-17 / 20130273525 - MULTIPLEX METHOD FOR DETECTING AN INFECTION | 5 |
Douglas J. Lambert | US | Gilford | 2012-12-13 / 20120313393 - Pickup truck tailgate accessory drill-less adapter | 3 |
Benoit Lambert | FR | Carrieres S/seine | 2010-06-10 / 20100141008 - HEADREST FOR THE SEAT OF AN AUTOMOTIVE VEHICLE AND AUTOMOTIVE VEHICLE SEAT PROVIDED WITH SUCH HEADREST | 1 |
Philip John Lambert | FR | Hauteville Lompnes | 2011-12-01 / 20110295414 - WORKPIECE CARRIER AND WORKPIECE POSITIONING SYSTEM AND METHOD | 1 |
Claude Lambert | FR | Saint Michel Sur Orge | 2011-09-29 / 20110232398 - METHOD FOR AUTOMATICALLY IDENTIFYING A MATERIAL OR AN OBJECT | 4 |
Arnie Lambert | US | Hodgenville | 2013-05-30 / 20130138267 - METHOD AND APPARATUS FOR PROVIDING PROBABLE CAUSE RELATING TO VEHICLE NON-COMPLIANCE | 2 |
J. Ewing Lambert | US | Indianola | 2011-01-06 / 20110000342 - WRENCH | 2 |
Michael Lambert | US | Ann Arbor | 2013-10-03 / 20130257363 - CONSOLE ASSEMBLY WITH CHARGING STATE INDICATOR | 3 |
Laurent Lambert | FR | Paris | 2010-02-25 / 20100045792 - DEVICE FOR CAPTURING THE SILHOUETTE OF AN INDIVIDUAL | 4 |
Nathaniel A. Lambert | US | Hooksett | 2015-12-31 / 20150374173 - SYSTEM AND METHOD FOR SENSING OIL QUALITY | 2 |
Flavien Lambert | FR | Clamart | 2010-02-04 / 20100028522 - FRUIT-BASED FATTY STUFFING OR SPREAD | 3 |
Olivier Lambert | FR | Spechbach-Lehaut | 2009-12-17 / 20090312441 - ORGANIC COMPOUNDS | 1 |
Scott Lambert | US | Westminster | 2010-11-04 / 20100275695 - WIND TURBINE BLADE TESTING SYSTEM USING BASE EXCITATION | 1 |
Dale J. Lambert | US | Mandeville | 2016-01-28 / 20160025883 - SUBMERGED HUB FOR OCEAN BOTTOM SEISMIC DATA ACQUISITION | 7 |
Timothy Lambert | US | Lindenhurst | 2013-04-11 / 20130090399 - Aromatic Polyester Polyols and Aromatic Polyester Polyol Blends Containing Biorenewable Components and Methods of Making | 2 |
James Oldham Lambert | US | Roswell | 2011-06-23 / 20110148242 - STATOR BAR WITH END ARM INVOLUTE-ON-CONE BEND SUBSTANTIALLY ALIGNED WITH STATOR CORE SLOT | 2 |
Spencer Lambert | US | Woodland Hills | 2012-05-03 / 20120104699 - SUPPORT FOR BULLET TRAPS | 2 |
David Lambert | FR | Toulouse | 2009-11-26 / 20090290978 - TURBINE ENGINE FOR AIRCRAFT | 1 |
John A. Lambert | US | Clermont | 2010-07-08 / 20100173059 - High speed citrus juice extraction method and apparatus | 1 |
Francois Lambert | FR | Bois-Guillaume | 2009-08-13 / 20090201459 - System for Pairing Spectacle Glasses without Strapping | 1 |
Anne Lambert | FR | St. Aubin D'Aubigne | 2016-04-28 / 20160117311 - Method and Device for Performing Story Analysis | 1 |
Tony Alan Lambert | US | Brownsburg | 2010-05-20 / 20100124483 - Apparatus and method for cooling a turbine airfoil arrangement in a gas turbine engine | 1 |
Glynn D. Lambert | US | Augusta | 2010-04-22 / 20100095459 - Pillow for use with wheelchair | 1 |
Allen Lambert | US | Augusta | 2010-04-22 / 20100095459 - Pillow for use with wheelchair | 1 |
Kevin Lambert | US | Minneapolis | 2008-12-18 / 20080308695 - Universal pot holder | 1 |
Von E. Lambert | US | White Heath | 2011-05-12 / 20110112854 - METHOD AND SYSTEM FOR INTEGRATION OF CLINICAL AND FACILITIES MANAGEMENT SYSTEMS | 1 |
Timothy M. Lambert | US | Austin | 2016-04-14 / 20160104101 - Distributed Enterprise Equipment Inventory Location System | 45 |
Guy Lambert | FR | Nancy | 2009-07-09 / 20090172931 - CASKET MADE OF MECHANICAL PULP BOARD OR OF A SIMILAR MATERIAL WITH A SIDE ENTRANCE | 1 |
Jean-Charles Lambert | FR | Tourcoing | 2012-09-20 / 20120238461 - METHOD FOR DETERMINING THE RISK OF OCCURRENCE OF ALZHEIMER'S DISEASE | 3 |
George H. Lambert | US | Stuart | 2011-04-07 / 20110078909 - Apparatus and method of electronically impregnating a wear-resistant cutting edge | 1 |
Patrick Lambert | FR | Paris | 2009-06-25 / 20090165094 - Terminal activation method | 1 |
Patrick M. Lambert | US | Rochester | 2013-04-25 / 20130102684 - BIOACTIVE COMPOSITIONS | 7 |
Karine Lambert | FR | Saint Julien De Ratz | 2009-05-14 / 20090123803 - Fuel cell comprising a plurality of individual cells connected in series by current collectors | 1 |
Christine Marie Paul Lambert | FR | Reims | 2009-05-07 / 20090118305 - PYRIDINE AND PYRAZINE DERIVATIVES - 083 | 1 |
Bernard Lambert | FR | Communay | 2008-12-18 / 20080309027 - Static Seal | 1 |
Jean Pierre Lambert | FR | Antony | 2008-12-04 / 20080299975 - METHOD OF RESELECTING A CELL BY A MOBILE TERMINAL IN IDLE MODE IN A CELLULAR TELECOMMUNICATION NETWORK | 1 |
Daniel James Lambert | US | Hubertus | 2014-08-07 / 20140216831 - Self Charging Electric Vehicle | 1 |
Gregory F. Lambert | US | East Greenwich | 2011-04-28 / 20110094036 - ROTATABLE DEVICE FOR A CHILD AND METHOD OF USE | 1 |
Brian A. Lambert | US | East Wenatchee | 2013-08-22 / 20130213320 - Animal Collar | 1 |
Edward M. Lambert | US | Mercer Island | 2014-05-15 / 20140137270 - DIGITAL MEDIA ASSET IDENTIFICATION SYSTEM & METHOD | 9 |
Tony L. Lambert | US | Sheboygan | 2015-08-06 / 20150219145 - BOLT AND CAP ASSEMBLY FOR PLUMBING FIXTURE | 1 |
Karen Lambert | US | East Greenwich | 2011-04-28 / 20110094036 - ROTATABLE DEVICE FOR A CHILD AND METHOD OF USE | 1 |
Robert J. Lambert | IE | Lucan | 2009-02-26 / 20090050649 - Nozzle assembly incorporating a molded flow through brush application and a reusable break-off cap, a container having a nozzle assembly and packaging therefor | 1 |
Christian M. Lambert | US | Draper | 2015-11-19 / 20150330154 - FULLY INFILTRATED ROTARY DRILL BIT | 19 |
Robert J. Lambert | CA | Cambridge | 2013-04-25 / 20130101112 - METHOD AND APPARATUS FOR MINIMIZING DIFFERENTIAL POWER ATTACKS ON PROCESSORS | 11 |
Jean-François Lambert | CA | Montreal | 2015-02-26 / 20150056924 - POST-ACCIDENT NETWORK PROBE AND METHOD OF USING THE SAME | 1 |
David K. Lambert | US | Sterling Heights | 2014-08-07 / 20140218487 - VARIABLE DISPARITY THREE-DIMENSIONAL (3D) DISPLAY SYSTEM AND METHOD OF OPERATING THE SAME | 19 |
James Michael Lambert | US | Staunton | 2014-12-04 / 20140357730 - NON-TEXTILE POLYMER COMPOSITIONS AND METHODS | 7 |
Steven J. Lambert | US | Mount Prospect | 2011-03-03 / 20110053553 - METHOD AND APPARATUS FOR RESERVING RESOURCES FOR EMERGENCY SERVICES IN A WIRELESS COMMUNICATION SYSTEM | 2 |
Scott Lambert | US | Boulder | 2011-02-24 / 20110041617 - BASE EXCITATION TESTING SYSTEM USING SPRING ELEMENTS TO PIVOTALLY MOUNT WIND TURBINE BLADES | 1 |
Robert J. Lambert | CA | Mississauga | 2012-09-13 / 20120233469 - HYBRID SIGNATURE SCHEME | 2 |
Jeremy R. Lambert | US | Westminster | 2013-08-22 / 20130214980 - PHASED ARRAY ANTENNA | 1 |
Jordan K. Lambert | US | New Orleans | 2011-01-13 / 20110005912 - DELAYED COKING PROCESS | 1 |
Anne Lambert | FR | Chantepie | 2014-09-18 / 20140282702 - METHOD AND APPARATUS FOR PROVIDING INFORMATION FOR A MULTIMEDIA CONTENT FILM | 2 |
Matt Lambert | US | Summerville | 2011-01-06 / 20110000175 - VARIABLE SPEED CONTROLLER | 2 |
Matthew Lambert | US | Summerville | 2011-01-06 / 20110000176 - TRIGGER CONTROLLER | 3 |
Brian M. Lambert | US | West Des Moines | 2011-01-06 / 20110001335 - SUSPENDED FLOORBOARD | 2 |
Ricky R. Lambert | US | Bradenton | 2010-11-25 / 20100294774 - Plural Chamber Drinking Cup | 1 |
Edward L. Lambert | US | Bealeton | 2008-10-16 / 20080251475 - Toothbrush Holder | 1 |
Mary P. Lambert | US | Glenview | 2013-12-12 / 20130330837 - MONOCLONAL ANTIBODIES THAT TARGET PATHOLOGICAL ASSEMBLIES OF AMYLOID BETA (ABETA) | 6 |
David L. Lambert | US | Clinton | 2010-09-30 / 20100247323 - Erosion barrier for thermal barrier coatings | 1 |
Dale Lambert | US | Mandeville | 2014-09-18 / 20140269174 - Arctic Seismic Surveying Operations | 4 |
Paul F. Lambert | US | Madison | 2015-10-22 / 20150297602 - DRUGS TO PREVENT HPV INFECTION | 8 |
Timothy John Lambert | US | Port Townsed | 2012-02-09 / 20120030914 - Aesthetic beaded necklace clasp | 1 |
Franklin Cook Lambert | US | Palmetto | 2010-07-29 / 20100189925 - INSULATOR COATING AND METHOD FOR FORMING SAME | 1 |
Walter Ray Lambert | US | Bimble | 2010-03-04 / 20100051071 - SPRAY ARM | 1 |
Ricky R. Lambert | US | Sarasota | 2010-03-04 / 20100051623 - PLURAL CHAMBER DRINKING CUP | 1 |
Michel Lambert | CA | Saint-Eustache | 2014-10-02 / 20140292506 - EMERGENCY LIGHTING FIXTURE WITH REMOTE CONTROL | 2 |
Torrey R. Lambert | US | Baltimore | 2012-05-10 / 20120111667 - Collapsible Saw Horses | 2 |
Robert E. Lambert | US | Brookfield | 2010-01-07 / 20100004970 - METHOD AND STRUCTURE FOR IMPLEMENTING B2B TRADING PARTNER BOARDING | 1 |
Carole Lambert | US | Campbell | 2016-03-17 / 20160079276 - Semiconductor Chip Including Integrated Circuit Having Cross-Coupled Transistor Configuration and Method for Manufacturing the Same | 23 |
Kori Lambert | US | Stamford | 2009-12-10 / 20090306984 - SYSTEM FOR AND METHOD OF AUTOMATED QUALITY MONITORING | 1 |
Ian James Lambert | US | Katy | 2015-02-19 / 20150052390 - Apparatus and Method for Microprocessor File System Protection | 1 |
Edward Lambert | US | Mercer Island | 2013-01-03 / 20130007898 - DIGITAL MEDIA ASSET IDENTIFICATION SYSTEM & METHOD | 1 |
Malcolm David Dick Lambert | GB | West Wickham | 2008-11-06 / 20080272214 - Fuel injector | 1 |
Peter A. Lambert | GB | Sutton Coldfield | 2008-12-04 / 20080299170 - Medical Devices and Coatings Therefor | 1 |
Howard Shelton Lambert | GB | Southampton | 2012-07-05 / 20120173428 - DATA TRANSFER ACROSS A NETWORK | 3 |
David Lambert | GB | Ashington | 2009-05-07 / 20090116210 - DEVICE FOR INTELLIGENT ILLUMINATION | 1 |
Hugh Lambert | GB | Dorset | 2009-05-28 / 20090136227 - Mirror | 1 |
John F. Lambert | GB | Cheltenham | 2013-10-24 / 20130279969 - Parallel Opening Hinge | 4 |
Stephen Lambert | GB | Hereford | 2009-06-18 / 20090152498 - LUMINOUS MATERIALS | 1 |
Paul L.m.g.d. Lambert | GB | Cleveland | 2009-08-27 / 20090211354 - Materials Testing | 1 |
Richard Michael Lambert | GB | Cambridge | 2010-02-25 / 20100043837 - METHOD OF CONTROLLING CONTAMINATION OF A SURFACE | 1 |
David Lambert | GB | Swansea | 2011-07-28 / 20110180232 - HEAT EXCHANGE AND TRANSPORT SYSTEM FOR RETORTING APPARATUS | 2 |
Malcolm David Dick Lambert | GB | Kent | 2010-10-14 / 20100258656 - MEANS FOR ALIGNING AND PRE-STRESSING COMPONENTS OF A FUEL INJECTOR ASSEMBLY | 2 |
Eric Lambert | US | Otis Orchards | 2014-02-27 / 20140055282 - Automated Reconfiguration of Utility Meters | 1 |
Kevin Lambert | US | Kirkland | 2014-04-17 / 20140106837 - CROWDSOURCING TO IDENTIFY GUARANTEED SOLVABLE SCENARIOS | 1 |
Pierre Lambert | BE | Retine | 2014-10-02 / 20140294740 - ORAL CARE COMPOSITIONS | 1 |
Reginald Lambert | CA | Alberta | 2011-12-15 / 20110302973 - SULPHUR-CONTAINING FERTILIZERS AND PROCESS FOR THE PREPARATION THEREOF | 1 |
Reginald Lambert | CA | Calgary | 2011-12-15 / 20110302975 - SULPHUR-CONTAINING FERTILIZERS AND PROCESS FOR THE PREPARATION THEREOF | 1 |
William J. Lambert | US | Tempe | 2009-11-12 / 20090279224 - TRANSIENT VOLTAGE COMPENSATION SYSTEM AND METHOD | 1 |
Scott Anthony Lambert | US | East Bethel | 2011-06-23 / 20110152971 - ANTENNA ARRANGEMENTS FOR IMPLANTABLE THERAPY DEVICE | 3 |
Michael R. Lambert | US | Ann Arbor | 2014-10-02 / 20140292015 - MOBILE DEVICE RETENTION AND CHARGING TRAY | 2 |
Steven L. Lambert | US | Washington | 2016-04-21 / 20160108300 - USE OF PVE LUBRICANTS WITH SUBSTITUTE REFRIGERANTS IN A MOBILE AIR CONDITIONING SYSTEM | 8 |
Piers Lambert | GB | Camberley | 2015-09-03 / 20150247589 - FLOW APPARATUS | 2 |
Matthew M. Lambert | US | Summerville | 2009-10-22 / 20090264256 - BREATHING EXERCISE APPARATUS | 1 |
Thomas Lambert | US | Makawao | 2009-10-15 / 20090258652 - Cellular systems with distributed antennas | 1 |
Craig A. Lambert | US | Macomb | 2016-02-25 / 20160055116 - DYNAMIC VEHICLE BUS SUBSCRIPTION | 5 |
Kal K. Lambert | US | Warrenton | / - | 1 |
Andrew B. Lambert | US | Fleetwood | 2009-08-13 / 20090200760 - DUAL RATE GAS SPRING SHOCK ABSORBER | 1 |
Juanelle Little Lambert | US | Gray | 2013-08-08 / 20130203982 - CELLULOSE INTERPOLYMERS AND METHOD OF OXIDATION | 10 |
Deava Lambert | US | Coventry | 2009-07-16 / 20090181222 - HOT MELT INKS | 1 |
Shawn Wayne Lambert | US | Chesapeake | 2009-04-16 / 20090095592 - Secondary clutch lever | 1 |
James P. Lambert | US | Toluca Lake | 2011-07-28 / 20110184832 - System and Method for Networking Shops Online and Offline | 10 |
Gary F. Lambert | US | Oldsmar | 2009-01-29 / 20090025266 - PICTURE FRAME WITH TILT DISPLAY AND SUPPORT | 1 |
Guillaume Lambert | CA | Otterburn Park | 2015-11-12 / 20150324643 - REMOTE VISUAL INSPECTION SYSTEM AND METHOD | 1 |
Claude Lambert | FR | Saint-Cloud | 2014-04-03 / 20140093299 - PACKAGING DEVICE HAVING AN ADJUSTABLE MAGNETIC CLOSURE SYSTEM | 2 |
Franklin C. Lambert | US | Palmetto | 2015-03-19 / 20150077088 - VOLTAGE SENSOR SYSTEMS AND METHODS | 3 |
Tyler Lambert | CA | Loring | 2016-02-18 / 20160044855 - SHOVEL AND STEP | 1 |
Anthony Andrew Lambert | US | Tucson | 2009-01-08 / 20090013337 - APPARATUS, SYSTEM, AND METHOD FOR SWITCHING DATA LIBRARY MANAGERS | 1 |
Hubert L. Lambert | US | Norfolk | 2008-12-11 / 20080304899 - Liquid soap dispensing and scrubbing tool | 1 |
Kevin Dean Lambert | US | Johnstown | 2008-10-30 / 20080265120 - Linear translational microscope stand | 1 |
Eric Lambert | US | Macedon | 2011-10-06 / 20110240736 - Web Service for Enabling Network Access to Hardware Peripherals | 2 |
Donald Christopher Lambert | US | Tulsa | 2008-09-25 / 20080229733 - CATALYTIC CONVERTER SYSTEM AND ELEMENT FOR DIESEL ENGINES | 1 |
Robert Louis Lambert | US | Coral Gables | 2008-09-18 / 20080224449 - Board Control Grip Step for Snowboards | 1 |
Andrew Benjamin Lambert | US | Fleetwood | 2008-08-28 / 20080203631 - METHODS AND APPARATUS FOR PROTECTING A SHOCK ABSORBER FROM BOTTOMING | 1 |
Christopher Lambert | US | Blacksburg | 2014-06-05 / 20140150563 - STRAIN SENSING CABLE | 3 |
Mark Lambert | US | Menlo Park | 2011-12-15 / 20110307877 - Managing static data structures of legacy software in dynamic class loader environments | 1 |
Robert Lambert | CA | Cambridge | 2013-01-17 / 20130016831 - Method and Apparatus For Computing A Shared Secret Key | 1 |
Patrick Lambert | US | Laplace | 2013-12-05 / 20130324278 - PUTTING PRACTICE AID | 1 |
Jürgen Lambert | DE | Gommersheim | 2011-11-03 / 20110268972 - ELASTIC PARTICLE FOAM BASED ON POLYOLEFIN/STYRENE POLYMER MIXTURES | 2 |
Urbain Lambert | LU | Capellen | 2013-08-08 / 20130202943 - PROTECTION FOR A POSITIVE FLAT ELECTRODE OF A LEAD ACID BATTERY, POSITIVE FLAT ELECTRODE AND BATTERY EQUIPPED THEREOF, PROCESS FOR MANUFACTURING | 3 |
Martin Lambert | DE | Korb | 2016-05-12 / 20160135275 - EUV Radiation Generating Device Including a Beam Influencing Optical Unit | 7 |
Marc Lambert | NO | Langhus | 2010-06-24 / 20100159352 - PROCESS FOR PRODUCING ENERGY PREFERABLY IN THE FORM OF ELECTRICITY AND/OR HEAT USING CARBON DIOXIDE AND METHANE BY CATALYTIC GAS REACTION AND A DEVICE FOR PERFORMING THE PROCESS | 2 |
Marc-André Lambert | CH | Zurich | 2010-06-17 / 20100153015 - VH reservoir mapping | 2 |
Matthew Allister Lambert | IE | Dublin | 2010-06-10 / 20100143349 - HUMANIZED ANTI-RAGE ANTIBODY | 1 |
Timothy L. Lambert | US | Lidenhurst | 2013-04-04 / 20130085216 - PREPARATION OF ANTIPLASTICIZERS FOR THERMOPLASTIC POLYESTERS | 1 |
Michael Lambert | DE | Grundau | 2011-07-14 / 20110171691 - GENETICALLY MODIFIED STRAINS FOR BIOTRANSFORMATIONS IN ANTHRACYCLINE PRODUCTION | 2 |
Joy Melanie Lambert | NZ | Wellington | 2010-01-28 / 20100023451 - Method of Online Payment Authorization, A Method of Correlating Text Messages and Systems Therefor | 1 |
Simon Lambert | GB | Cleveland | 2014-06-26 / 20140175290 - RADIATION DETECTOR | 1 |
Matthew Michael Lambert | US | Summerville | 2011-12-22 / 20110308217 - DOOR PROP IN A LAWN MOWER | 1 |
Jorge Omar Lambert | AR | La Pampa | 2008-10-09 / 20080247850 - Trailer apparatus | 1 |
Kerry J. Lambert | US | Breinigsville | / - | 1 |
Georg Lambert | DE | Wolfsburg | 2014-11-27 / 20140347962 - ARRANGEMENT ON A COMPONENT OF A MOTOR VEHICLE | 3 |
Ian James Lambert | US | Houston | 2014-07-31 / 20140211986 - APPARATUS AND METHOD FOR MONITORING AND COUNTING TRAFFIC | 2 |
John David Lambert | US | Katy | 2015-02-19 / 20150052390 - Apparatus and Method for Microprocessor File System Protection | 3 |
Lisa Lambert | US | Newbury Park | 2015-01-29 / 20150028070 - Protective Case for Portable Electronic Device | 2 |
Byron J. Lambert | US | Temecula | 2013-02-07 / 20130032967 - COLD ETHYLENE OXIDE STERILIZATION OF A BIODEGRADABLE POLYMERIC STENT | 1 |
Auréllen Lambert | FR | Saint Jean De Sixt | 2012-06-14 / 20120150072 - DEVICE AND METHOD FOR DETERMINING AN EXCRETION FLOW RATE OF A BODY FLUID OF A PERSON OR AN ANIMAL | 1 |
Didier Lambert | BE | Genval | 2012-06-14 / 20120149735 - 1,4-Dihydropyridine Derivatives and Their Uses | 1 |
Olivier Jean Lambert | FR | Spechbach-Le-Haut | 2015-02-05 / 20150038414 - Organic Compounds | 1 |
Caroline Lambert | GB | Derby | 2013-02-21 / 20130045079 - GAS COMPRESSION | 1 |
Kurt Daniel Lambert | US | Lexington | 2015-11-19 / 20150331367 - Transfer System for an Electrophotographic Device | 3 |
Ulrich Lambert | DE | Emmerting | 2011-12-29 / 20110318546 - Monocrystalline Semiconductor Wafer Comprising Defect-Reduced Regions and Method For Producing It | 1 |
Noel W.a. Lambert | AU | Lower Belfort | / - | 1 |
Keith Adam Lambert | GB | Perthshire | 2013-04-04 / 20130084170 - GAS TURBINE ENGINE MOUNTING ARRANGEMENTS | 1 |
Laurent Nicolas Lambert | US | Santa Cruz | 2015-09-24 / 20150269044 - STORAGE AGGREGATE RESTORATION | 1 |
William Stephen Lambert | US | Jefferson | 2012-04-26 / 20120099945 - Two-legged nail | 1 |
Willy Lambert | BE | Gent | 2010-07-22 / 20100183750 - FORTIFICATION OF PLANTS WITH FOLATES BY METABOLIC ENGINEERING | 1 |
Alain Lambert | BE | Beauvechain | 2014-02-20 / 20140051892 - Process for chemical synthesis from an alkenone made from a halogenated precursor | 7 |
Nathalie C. Lambert | FR | Marseille | 2015-01-22 / 20150024391 - COMPOSITIONS AND METHODS FOR DETECTING ALLOGENEIC MATTER IN A SUBJECT | 1 |
Pierre Lambert | BE | Fleron | 2011-02-10 / 20110033394 - ORAL COMPOSITIONS AND METHODS | 2 |
Bart Lambert | BE | Ieper | 2015-08-20 / 20150232951 - Brassica Plant Comprising Mutant Fatty ACYL-ACP Thioesterase Alleles | 5 |
Joseph J. Lambert | US | Charlotte | 2016-04-07 / 20160096162 - Pressure Stepped Microwave Assisted Digestion | 11 |
Millard Hurst Lambert | US | Durham | 2010-09-23 / 20100240642 - 1H-Indole-2-Carboxylic Acid Derivatives Useful As PPAR Modulators | 1 |
Joseph Joshua Lambert | US | Charlotte | 2016-03-10 / 20160067670 - MICROWAVE-ASSISTED PEPTIDE SYNTHESIS | 7 |
Joseph Lambert | US | Charlotte | 2008-10-09 / 20080245787 - CONTROLLING AND MODERATING MICROWAVE ENERGY IN CONCURRENT MULTIPLE SAMPLE WELL APPLICATIONS | 1 |
Dennis Michael Lambert | US | Cary | 2010-11-18 / 20100291680 - METHODS AND COMPOSITIONS FOR INHIBITION OF MEMBRANE FUSION-ASSOCIATED EVENTS, INCLUDING HIV TRANSMISSION | 1 |
Magali Huguette Alice Lambert | FR | Orsay | 2012-04-12 / 20120088315 - DEVICE HAVING SELF-ASSEMBLED-MONOLAYER | 2 |
Roseanne Lambert | US | Chesapeake | 2012-02-02 / 20120024320 - CLEANING APPARATUS AND METHOD OF CLEANING A STRUCTURE | 1 |
Walter L. Lambert | US | Muskogee | 2015-11-26 / 20150335154 - Transportation of Parallel Wire Cable | 7 |
Jorn Lambert | BE | Waterloo | 2010-11-18 / 20100288834 - Systems And Methods For Controlling Payment And Information Flows In Payment-By Card Networks | 1 |
Niek Lambert | NL | Waalre | 2014-08-28 / 20140238855 - SENSOR FOR FLUID-SOLUBLE GAS | 3 |
Nicholaas Lambert | NL | Waalre | 2008-12-25 / 20080316070 - Encoding of Data Words Using Three or More Level Levels | 1 |
Johny Willem Marie Lambert | NL | Voorschoten | 2009-12-31 / 20090324344 - BIOSEALING | 1 |
Nick Lambert | NL | Wallre | 2010-02-18 / 20100039856 - PROGRAMMABLE PHASE-CHANGE MEMORY AND METHOD THEREFOR | 1 |
Nicolaas Lambert | NL | Eindhoven | 2012-06-28 / 20120161969 - CONSCIOUSNESS MONITORING | 11 |
Keith Lambert | US | Lebanon | 2013-04-25 / 20130098847 - FILTERING SYSTEMS AND METHODS FOR FRYER APPARATUS | 2 |
Charles Lambert | BE | Angleur | 2010-11-11 / 20100287625 - VEGF VARIANTS | 1 |
Eddy Lambert | BE | Deerlijk | 2011-08-18 / 20110197555 - FILTER ELEMENTS | 3 |
Isabelle Lea Louise Marie Lambert | BE | Arion | 2010-06-24 / 20100154948 - TIRE TREAD WITH GROOVE REINFORCEMENT | 1 |
Laurent Lambert | US | Santa Cruz | 2014-10-30 / 20140325256 - SYSTEMS AND METHODS FOR MANAGING DISASTER RECOVERY IN A STORAGE SYSTEM | 1 |
Thierry Lambert | FR | Octeville Sur Mer | 2014-01-30 / 20140030372 - CONTROLLED VALVE FITTINGS DEVICE FOR AN INSTALLATION FOR THE BLOW-MOULDING OF CONTAINERS COMPRISING INDIVIDUALLY OPERABLE VALVES | 1 |
Christopher Lambert | US | Martinsville | 2013-03-07 / 20130056166 - No waste rolling paper | 1 |
Juanelle Little Lambert | US | Gray | 2013-08-08 / 20130203982 - CELLULOSE INTERPOLYMERS AND METHOD OF OXIDATION | 10 |
Michael T. Lambert | US | Maryville | 2011-03-24 / 20110067570 - SQUARE FILTER BAG AND CAGE DESIGN | 1 |
Richard D. Lambert | US | Germantown | 2014-06-26 / 20140180431 - IMPLANT COMPONENTS AND METHODS | 11 |
Peter Lambert | BE | Ruiselede | 2013-11-07 / 20130293787 - Fast Channel Switching | 1 |
Grégory Lambert | FR | Chatenay Malabry | 2016-03-24 / 20160082107 - COMPOSITIONS CONTAINING QUATERNARY AMMONIUM COMPOUNDS | 12 |
David Lambert | US | San Jose | 2014-05-15 / 20140135715 - DEVICE FOR REDUCING RENAL SYMPATHETIC NERVE ACTIVITY | 1 |
Jeremy B. Lambert | US | Raleigh | 2014-10-30 / 20140324321 - MULTIPLE MODE THROTTLE CONTROL | 1 |
Patrick Lambert | FR | Marseille | 2015-10-29 / 20150312033 - NON ALTERABLE STRUCTURE INCLUDING CRYPTOGRAPHIC MATERIAL | 1 |
Philippe Lambert | BE | Wezembeek-Oppem | 2010-06-03 / 20100133278 - CONTAINERS AND METHODS FOR MANUFACTURING SAME | 1 |
Daniel Lambert | US | Carlsbad | 2016-04-21 / 20160109246 - DELETING UNNECESSARY MAP DATA | 12 |
Brian Lambert | US | East Wenatchee | 2016-02-11 / 20160037868 - Self-Aligning, Quick Connect and Disconnect Buckle System | 1 |
Stephen Lambert | US | Castro Valley | 2016-03-17 / 20160076977 - METHODS FOR SAMPLING FROM NON-ATMOSPHERIC VESSELS IN A PARALLEL REACTOR SYSTEM | 3 |
Kal K Lambert | US | Hammond | 2012-01-12 / 20120011050 - Biophysical Geoengineering Compositions and Methods | 1 |
Yves-Julien Lambert | BE | Chaumont Gistoux | 2015-01-29 / 20150030852 - COPOLYMERS FOR WIRE AND CABLE APPLICATIONS | 4 |
David P. Lambert | US | Los Angeles | 2013-05-09 / 20130112626 - Self Contained Dissolved Air Flotation System | 1 |
Jo Lambert | BE | De Pinte | 2009-09-24 / 20090239934 - Anti-Myosin Va siRNA and Skin Depigmentation | 1 |
Bart Lambert | BE | Beernem | 2009-07-30 / 20090192290 - New bacillus thuringiensis strains and their insecticidal proteins | 1 |
Timothy M. Lambert | US | Round Rock | 2015-10-08 / 20150286422 - SYSTEM AND METHOD FOR PROVIDING ACCESSIBILITY FOR ACCESS CONTROLLER STORAGE MEDIA | 1 |
Peter Lambert | BE | Aarsele | 2009-02-26 / 20090052553 - DEVICE AND ASSOCIATED METHOD FOR CONCEALING ERRORS IN DECODED MEDIA UNITS | 1 |
Didier Michel Lambert | BE | Brussels | 2008-10-30 / 20080269325 - Methods to Relieve Pain | 1 |
Stephanie Lambert | BE | Berloz | 2008-09-04 / 20080213160 - Method of Synthesising a Support Catalyst for the Production of Carbon Nanotubes | 1 |
Olivier Lambert | FR | Steinbrunn Ie Haut | 2014-10-30 / 20140323415 - ORGANIC COMPOUNDS | 1 |
Gerard G. Lambert | US | San Diego | 2014-02-06 / 20140038267 - NOVEL MONOMERIC YELLOW-GREEN FLUORESCENT PROTEIN FROM CEPHALOCHORDATE | 1 |
Ben Lambert | US | Mount Olive | 2015-10-15 / 20150292148 - METHODS OF FORMING AN ARTIFICIAL LEATHER SUBSTRATE FROM LEATHER WASTE AND PRODUCTS THEREFROM | 1 |
Daniel Scott Lambert | AU | Waterford | 2015-10-01 / 20150274794 - CHAPERONIN 10 VARIANTS | 3 |
Kenneth S. Lambert | US | Boyds | 2012-01-19 / 20120014277 - NETWORK MONITORING SYSTEM | 1 |
Noel William Alexander Lambert | AU | Lower Belford | 2009-11-26 / 20090288995 - FLOTATION CELL | 3 |
David Lambert | US | Boston | 2015-10-15 / 20150294403 - SYSTEMS AND METHODS FOR VEHICLE FLEET SHARING | 1 |
Peter Alan Lambert | AU | Victoria | 2011-04-14 / 20110086875 - Powdered Medicament for Nasal Delivery of Ascorbic Acid for Reducing Apomorphine Induced Toxicity to Ciliated Tissue | 1 |
Lawrence Arthur Lambert | CA | Ladysmith | 2016-05-12 / 20160130161 - METHOD AND APPARATUS FOR SEPARATING SALTS FROM A LIQUID SOLUTION | 1 |
John Lambert | AU | Blackburn South | 2010-12-09 / 20100311684 - NOVEL TRICYCLIC NUCLEOSIDES OR NUCLEOTIDES AS THERAPEUTIC AGENTS | 1 |
Paul F. Lambert | US | Madison | 2015-10-22 / 20150297602 - DRUGS TO PREVENT HPV INFECTION | 8 |
Charles Bruce Lambert | US | Mason | 2013-10-31 / 20130287953 - LETTERPRESS APPLICATION OF ELASTOMERIC COMPOSITIONS | 1 |
John Lambert | AU | Blackburn South, Victoria | 2010-11-18 / 20100291031 - BICYCLIC NUCLEOSIDES AND NUCLEOTIDES AS THERAPEUTIC AGENTS | 1 |
Christopher H. Lambert | US | Blacksburg | 2015-08-06 / 20150219445 - FIBER OPTIC SHAPE SENSING SYSTEM USING ANCHORING POINTS | 6 |
Jamil Lambert | AU | Camperdown | 2012-02-16 / 20120037808 - Fibre Optic Dosimeter | 3 |
John Nicholas Lambert | AU | Blackburn South | 2009-01-01 / 20090004138 - Bicyclic Nucleosides and Nucleotides as Therapeutic Agents | 1 |
Malcolm Mcdonald Lambert | AU | New South Wales | 2008-10-23 / 20080262800 - Construction Method | 1 |
John Lambert | AU | Victoria | 2008-08-21 / 20080200423 - Novel Tricyclic Nucleosides or Nucleotides as Therapeutic Agents | 1 |
John Lambert | US | Seattle | 2015-05-21 / 20150143525 - ANALYZING ACCESS CONTROL CONFIGURATIONS | 2 |
Jamil Lambert | DE | Essen | 2013-03-21 / 20130068958 - DETECTOR AND METHOD FOR DETECTING NEUTRONS | 1 |
Joseph J. Lambert | US | Charlotte | 2016-04-07 / 20160096162 - Pressure Stepped Microwave Assisted Digestion | 11 |
David K. Lambert | US | Sterling Heights | 2014-08-07 / 20140218487 - VARIABLE DISPARITY THREE-DIMENSIONAL (3D) DISPLAY SYSTEM AND METHOD OF OPERATING THE SAME | 19 |
Tony L. Lambert | US | Saukville | 2015-09-03 / 20150247310 - MULTI-FLUSH MODE TOILET | 2 |
Mary P. Lambert | US | San Antonio | 2014-10-30 / 20140322731 - AMYLOID BETA-DERIVED DIFFUSIBLE LIGANDS (ADDLs), ADDL-BINDING MOLECULES, AND USES THEREOF | 4 |
James Lambert | US | Culver City | 2015-10-22 / 20150302571 - RECOGNITION-BASED AUTHENTICATION, SYSTEMS AND METHODS | 1 |
Claude J. Lambert | CA | Varennes | 2016-04-28 / 20160118186 - Hardened Inductive Device And Systems And Methods For Protecting The Inductive Device From Catastrophic Events | 1 |
J. David Lambert | US | Atlantic Beach | 2013-09-12 / 20130234862 - Street Light Monitoring System | 1 |
Micheline Lambert | US | 2013-09-12 / 20130237399 - CENTRIFUGE COMPRISING VISUAL AND/OR TACTILE INDICATOR FOR INDICATING THE ACCURATE MOUNTING OF THE ROTOR ON THE DRIVE SHAFT, AND CORRESPONDING ROTOR | 1 | |
Scott Michael Lambert | US | Newport Beach | 2016-02-11 / 20160040958 - HEXAGONAL ATTACHMENT SYSTEM | 1 |
July Lambert | US | 2013-09-12 / 20130237399 - CENTRIFUGE COMPRISING VISUAL AND/OR TACTILE INDICATOR FOR INDICATING THE ACCURATE MOUNTING OF THE ROTOR ON THE DRIVE SHAFT, AND CORRESPONDING ROTOR | 1 | |
Aude Lambert | US | 2013-09-12 / 20130237399 - CENTRIFUGE COMPRISING VISUAL AND/OR TACTILE INDICATOR FOR INDICATING THE ACCURATE MOUNTING OF THE ROTOR ON THE DRIVE SHAFT, AND CORRESPONDING ROTOR | 1 | |
Clemens Lamberth | DE | Efringen-Kirchen | 2009-02-26 / 20090054233 - METHODS FOR PROTECTING USEFUL PLANTS OR PLANT PROPAGATION MATERIAL | 1 |
Clemens Lamberth | CH | Stein | 2016-02-18 / 20160046620 - METHODS OF CONTROLLING NEONICOTINOID RESISTANT PESTS | 28 |
Clemens Lamberth | CH | Basel | 2014-02-20 / 20140051736 - FUNGICIDAL COMPOSITIONS | 8 |
Clemens Lamberth | CH | Stein | 2016-02-18 / 20160046620 - METHODS OF CONTROLLING NEONICOTINOID RESISTANT PESTS | 28 |
Clemens Lamberth | CH | Basel | 2014-02-20 / 20140051736 - FUNGICIDAL COMPOSITIONS | 8 |
W. Andrew Lamberth | US | San Mateo | 2015-06-11 / 20150163142 - DETECTING AN ELEPHANT FLOW BASED ON THE SIZE OF A PACKET | 1 |
Kasper Lamberth | DK | Stenloese | 2016-01-07 / 20160002314 - FACTOR VIII VARIANTS HAVING A DECREASED CELLULAR UPTAKE | 4 |
William A. Lamberti | US | Stewartsville | 2016-01-07 / 20160001276 - Process for Making Alkylated Aromatic Compound | 4 |
Roberta Lamberti | IT | Ulzio | 2009-11-05 / 20090276057 - DOUBLE LAYER SURGICAL PROSTHESIS TO REPAIR SOFT TISSUE | 1 |
Joseph Nichoias Lamberti | US | Castro Valley | 2012-01-26 / 20120017372 - INFANT SWADDLING BLANKET | 1 |
Roberta Lamberti | IT | Ulzio (torino) | / - | 1 |
William Anthony Lamberti | US | Stewartsville | 2014-07-10 / 20140194582 - METHODS FOR PROCESSING AND INTERPRETING SIGNALS FROM STATIC AND ACOUSTIC PROBES IN FLUIDIZED BED REACTOR SYSTEMS | 2 |
Francis V. Lamberti | US | Greenville | 2012-12-27 / 20120328700 - METHODS AND COMPOSITIONS FOR REGENERATING CONNECTIVE TISSUE | 8 |
Joseph N. Lamberti | US | Castro Valley | 2015-05-21 / 20150142041 - APPARATUS AND METHODS FOR PERFORMING MINIMALLY-INVASIVE SURGICAL PROCEDURES | 7 |
Francis V. Lamberti | US | Greenville | 2012-12-27 / 20120328700 - METHODS AND COMPOSITIONS FOR REGENERATING CONNECTIVE TISSUE | 8 |
Gerard A. Lamberti | US | Carpinteria | 2014-05-01 / 20140117640 - VEHICULAR SUSPENSION ENHANCEMENT | 1 |
Francis Vincent Lamberti | US | Cary | 2015-09-17 / 20150258239 - ABSORBABLE COMPOSITIONS AND METHODS FOR THEIR USE IN HEMOSTASIS | 6 |
Joe Lamberti | US | Castro Valley | 2014-06-19 / 20140172084 - Epicardial Clip | 3 |
Joseph F. Lambert, Ii | US | Orlando | 2010-07-29 / 20100192141 - METHOD OF TRANSFERRING SOFTWARE AND PATIENT DATA IN AN MRI WIRELESS PATIENT MONITOR SYSTEM | 2 |
David Lambertin | FR | Caderousse | 2015-08-20 / 20150232387 - PROCESS FOR PREPARING A COMPOSITE MATERIAL FROM AN ORGANIC LIQUID AND RESULTING MATERIAL | 1 |
Bernard Lambertin | FR | Saint Lo | 2010-02-04 / 20100028833 - DRILL FOR DENTAL USE AND WITH MODULATED INERTIA | 1 |
David Lambertin | FR | Orange | 2013-01-17 / 20130014670 - USE OF ANTICORROSION AGENTS FOR CONDITIONING MAGNESIUM METAL, CONDITIONING MATERIAL THUS OBTAINED AND PREPARATION PROCESSAANM Lambertin; DavidAACI OrangeAACO FRAAGP Lambertin; David Orange FRAANM Frizon; FabienAACI Villeneuve Lez AvignonAACO FRAAGP Frizon; Fabien Villeneuve Lez Avignon FRAANM Blachere; AdrienAACI Entraigues Sur SorguesAACO FRAAGP Blachere; Adrien Entraigues Sur Sorgues FRAANM Bart; FlorenceAACI OrsanAACO FRAAGP Bart; Florence Orsan FR | 2 |
Marco Lambertini | IT | San Lazzaro Di Savena | 2013-01-31 / 20130025207 - OUTWARD OPENING WINDOW UNIT | 8 |
Vito Guido Lambertini | IT | Giaveno (torino) | 2010-12-09 / 20100310422 - OPTICAL BIOSENSOR | 1 |
Vito Lambertini | IT | Orbassano (torino) | 2009-02-19 / 20090045163 - METHOD FOR OBTAINING A TRANSPARENT CONDUCTIVE FILM | 1 |
Egar Ramon Lambertini | AR | Cordoba | 2009-02-12 / 20090041566 - Extractor of dry grains from a silo bag | 1 |
Marco Lambertini | IT | San Lazzaro Di Savena (bologna) | 2008-10-09 / 20080245027 - PROFILE FOR SLIDING WINDOWS OR DOORS, METHOD FOR MAKING THE PROFILE, AND WINDOW OR DOOR OBTAINED WITH THE PROFILE | 2 |
Ramon Egar Lambertini | AR | Provincia De Cordoba | 2011-03-03 / 20110052352 - SILAGE BAG UNLOADING APPARATUS | 1 |
Stefano Giuseppe Lambertini | IT | Monza | 2012-06-28 / 20120166338 - METHOD AND SYSTEM FOR UNIVERSAL APPLICATION FOR TRANSACTION PROCEDURES WITH NFC TECHNOLOGY | 1 |
Luca Lambertini | US | New York | 2011-11-10 / 20110275955 - TISSUE SAMPLING TOOL | 1 |
Rubén José Lambertini | AR | Bell Ville | 2015-03-12 / 20150068179 - MULTI-ROW CORN HEADER GROOVED SET | 1 |
Loris Lambertini | IT | Crespellano | 2015-02-26 / 20150057908 - ASIL B-COMPLIANT IMPLEMENTATION OF AUTOMOTIVE SAFETY-RELATED FUNCTIONS BY MEANS OF A HIGH DIAGNOSABILITY, QUALITY MANAGED-COMPLIANT INTEGRATED CIRCUIT | 9 |
Stefano Lambertini | IT | Monza | 2012-06-14 / 20120146762 - METHOD AND SYSTEM FOR MONITORING A PLURALITY OF ELEMENTS IN A SAFETY PROCEDURE | 1 |
Marco Lambertini | IT | San Lazzaro Di Savena | 2013-01-31 / 20130025207 - OUTWARD OPENING WINDOW UNIT | 8 |
Robert R. Lamberton | GB | Limavady | 2014-10-09 / 20140300995 - Data Writer with Yoke Shaped Write Pole | 1 |
Robert Lamberton | GB | Limavady | 2012-05-10 / 20120111952 - Field Assisted Switching of a Magnetic Memory Element | 1 |
Marc Lamberton | FR | Antibes | 2016-02-11 / 20160042173 - SYSTEM AND METHOD FOR IMPLEMENTING A ROBOT PROOF WEB SITE | 9 |
Mikael Lamberton | FR | Arthemonay | 2014-01-16 / 20140014203 - SAFETY ANTI-REVERSING DEVICE FOR A BOTTOM OF A BASIN | 1 |
Robert William Lamberton | IE | Limavady | 2013-01-10 / 20130010386 - Magnetically Biased Write Pole | 4 |
Robert William Lamberton | GB | Limavady | 2010-11-25 / 20100296194 - TRANSDUCING HEAD DESIGN FOR MICROWAVE ASSISTED MAGNETIC RECORDING | 1 |
Gary Lamberton | US | Glenville | 2010-06-17 / 20100150726 - ARTICLE AND ULTRASONIC INSPECTION METHOD AND SYSTEM THEREFOR | 1 |
Gary Austin Lamberton | US | Glenville | 2014-12-11 / 20140363294 - Thermographic Inspection System for Composite Wind Turbine Blade | 5 |
Marc Lamberton | FR | Antibes | 2016-02-11 / 20160042173 - SYSTEM AND METHOD FOR IMPLEMENTING A ROBOT PROOF WEB SITE | 9 |
Dinand Lamberts | NL | Assen | 2012-08-02 / 20120193452 - BURNER WITH LOW POROSITY BURNER DECK | 1 |
Nikolai Lamberts | CH | Bonaduz | 2016-04-14 / 20160102202 - FLOWABLE POLYAMIDES | 15 |
Bernd Lamberts | US | Cupertino | 2010-04-01 / 20100079903 - ADAPTIVE DATA RECOVERY PROCEDURE BASED ON RADIAL POSITIONING | 3 |
Nikolai Lamberts | CH | Bonaduz | 2016-04-14 / 20160102202 - FLOWABLE POLYAMIDES | 15 |
Dinand Lamberts | NL | Za Assen | 2011-04-07 / 20110081621 - METAL BURNER MEMBRANE | 1 |
Brandon Lambertson | US | La Verne | 2015-11-05 / 20150314523 - APPLICATOR FOR APPLYING PROTECTIVE COVERINGS TO ELECTRONIC DEVICE DISPLAYS | 1 |
Roy Lambertson | US | Los Altos | 2015-12-31 / 20150380642 - TWO-TERMINAL REVERSIBLY SWITCHABLE MEMORY DEVICE | 14 |
Michael C. Lambertson | US | Aurora | 2015-02-05 / 20150038308 - Adjustable Roller Frame | 1 |
Brandon Lambertson | US | Rancho Cucamonga | 2009-05-07 / 20090114694 - HARNESS WITH OUTER FLAP | 1 |
Jeffrey S. Lambertson | US | Danville | 2014-09-11 / 20140250844 - MULTI-STAGE HOOD FILTER SYSTEM | 5 |
Roy Lambertson | US | Los Altos | 2015-12-31 / 20150380642 - TWO-TERMINAL REVERSIBLY SWITCHABLE MEMORY DEVICE | 14 |
Michael C. Lambertson, Jr. | US | Aurora | 2016-03-31 / 20160089690 - PAINT ROLLER AND METHOD OF ASSEMBLING THE SAME | 11 |
Detlef Lambertus | DE | Osterholz-Scharmbeck | 2015-02-19 / 20150049588 - METHOD FOR DETECTING NAVAL MINES AND NAVAL MINE DETECTION SYSTEM | 3 |
Gordon R. Lambertus | US | Indianapolis | 2014-09-25 / 20140283593 - METHOD AND SYSTEM FOR DOWNHOLE ANALYSIS | 2 |
Detlef Lambertus | DE | Hassendorf-Sottrum | 2016-03-17 / 20160075418 - WEAPON CLEARANCE APPLIANCE FOR CLEARING WEAPONS, SUCH AS UNDERWATER MINES, UNDER WATER, UNMANNED UNDERWATER VEHICLE HAVING A WEAPON CLEARANCE APPLIANCE OF THIS KIND, AND METHOD FOR THIS PURPOSE | 3 |
Gordon Lambertus | US | Wellesley | 2010-06-24 / 20100154511 - APPARATUS AND METHOD FOR MULTI-DIMENSIONAL GAS CHROMATOGRAPHY | 2 |
Gordon R. Lambertus | US | Wellesley | 2009-06-25 / 20090158820 - METHOD AND SYSTEM FOR DOWNHOLE ANALYSIS | 2 |
Adrianus Lambertus Johannes Maria Nikkelen | NL | Wijk Bij Duurstede | 2011-06-30 / 20110161016 - System for Analyzing A Fluctuating Flow of A Mixture of Gases | 1 |
Christine Marie Paul Lambert-Van Der Brempt | FR | Cedex | 2012-10-18 / 20120264731 - CHROMENONE DERIVATIVES | 2 |
Christine Marie Paul Lambert-Van Der Brempt | GB | Macclesfield | 2016-05-19 / 20160137634 - Chemical Compounds | 4 |
Christine Marie Paul Lambert-Van Der Brempt | FR | Reims | 2013-06-20 / 20130158026 - CHROMENONE DERIVATIVES | 3 |
Christine Marie, Paul, Lambert-Van Der Brempt | GB | Cheshire | 2014-07-24 / 20140206700 - CHEMICAL COMPOUNDS | 1 |
Paul E. Lamberty | US | Romeo | 2016-03-17 / 20160075105 - AUTOMOTIVE VEHICLE EXTERIOR LAMINATE COMPONENT AND METHOD OF FORMING SAME | 1 |
John Lamberty | US | Oconomowoc | 2010-07-22 / 20100183124 - Gain Calibration and Correction Technique for Digital Imaging Systems | 2 |
Brian Daniel Lamberty | US | Genoa City | 2015-05-14 / 20150130622 - APPARATUS AND METHOD FOR CONTROLLING A DEVICE | 1 |
Julio Lamberty | US | Hawthorne | 2016-04-21 / 20160106659 - POLYSILICONE BASE FOR SCAR TREATMENT | 1 |
Michael Lamberty | US | San Jose | 2015-03-05 / 20150061992 - METHOD AND APPARATUS FOR MANIPULATING CONTENT IN AN INTERFACE | 2 |
Mark A. Lamberty | US | Cottage Grove | 2009-01-01 / 20090000090 - METHOD FOR INSULATIVE FILM FOR CAPACITOR COMPONENTS | 1 |
Susan L. Lamberty | US | Grafton | 2008-09-18 / 20080227069 - Swimming Pool Device | 1 |
Lisa Lamberty | US | Hawthorne | 2012-10-11 / 20120259252 - Coupling Emulsions for Use With Ultrasound Devices | 4 |
John Robert Lamberty | US | Oconomowoc | 2013-10-03 / 20130256543 - DIGITAL X-RAY DETECTION HAVING AT LEAST ONE TRUNCATED CORNER | 5 |
Stephanie Lambertz | DE | Hirschberg | 2014-09-25 / 20140287193 - COVERING LAYER FOR A SANITARY ARTICLE | 1 |
Christina Lambertz | DE | Neuwied | 2015-12-24 / 20150369801 - Method for Detecting and/or Quantifying the Binding Affinities of a Target Molecule to a Plurality of Different Binding Partners by Plasmon Resonance of Nanoparticles and a Position-Encoded Sensor Therefor | 1 |
Matthias Lambertz | DE | Bretten | 2015-12-24 / 20150366573 - INSTRUMENT, IN PARTICULAR MEDICAL-ENDOSCOPIC INSTRUMENT OR TECHNOSCOPE | 2 |
Bodo W. Lambertz | CH | Pfaffikon | 2014-10-02 / 20140289934 - SOCK | 19 |
Karl Lambertz | US | Troy | / - | 1 |
Bodo W. Lambertz | CH | Wilen B. Wollerau | 2013-01-24 / 20130019377 - ARTICLE OF CLOTHING | 1 |
Andreas Lambertz | DE | Juelich | 2012-09-20 / 20120234366 - METHOD FOR THE PRODUCTION AND SERIES CONNECTION OF PHOTOVOLTAIC ELEMENTS TO GIVE A SOLAR MODULE AND SOLAR MODULE | 2 |
Stefan Lambertz | DE | Hurth | 2015-02-05 / 20150037467 - METHOD FOR MAKING POUCHES AND A POUCH AS SUCH | 1 |
Walter Lambertz | DE | Aurich | 2014-12-18 / 20140369837 - TURBINE FOR A HYDROELECTRIC POWER PLANT AND HYDROELECTRIC POWER PLANT | 1 |
Robert H. Lambeth | US | Elkton | 2013-11-14 / 20130303712 - BRANCHED ADDITIVES FOR POLYMER TOUGHENING | 1 |
David N. Lambeth | US | Pittsburgh | 2012-03-15 / 20120061596 - Active Combustion Flow Modulation Valve | 2 |
David Lambeth | US | Pittsburgh | 2014-02-27 / 20140054384 - SYSTEMS AND METHODS FOR DRIVE CIRCUITS FOR DYNAMIC MAGNETIC STRIPE COMMUNICATIONS DEVICES | 35 |
Shawn Michael Lambeth | US | Pine Island | 2008-11-20 / 20080285551 - Method, Apparatus, and Computer Program Product for Implementing Bandwidth Capping at Logical Port Level for Shared Ethernet Port | 1 |
Gregory H. Lambeth | US | Baton Rouge | 2015-01-29 / 20150031786 - Process for Producing a Powder Comprising an Extruded Carrier With an Active Compound | 12 |
Andrew Lambeth | US | San Mateo | 2012-09-06 / 20120227041 - MANAGEMENT OF DISTRIBUTED VIRTUAL SWITCH AND DISTRIBUTED VIRTUAL PORTS | 5 |
John David Lambeth | US | Decatur | 2015-04-23 / 20150110723 - QUINAZOLINE DERIVATIVES, COMPOSITIONS, AND USES RELATED THERETO | 3 |
Luke Lambeth | GB | Berkshire | 2012-03-29 / 20120076823 - Vector | 1 |
Gregory H. Lambeth | US | Baton Rouge | 2015-01-29 / 20150031786 - Process for Producing a Powder Comprising an Extruded Carrier With an Active Compound | 12 |
Andrew W. Lambeth | US | San Mateo | 2016-01-28 / 20160028658 - DISTRIBUTED VIRTUAL SWITCH FOR VIRTUALIZED COMPUTER SYSTEMS | 1 |
W. Andrew Lambeth | US | San Mateo | 2016-03-10 / 20160070588 - Migrating Middlebox State for Distributed Middleboxes | 27 |
Walter Andrew Lambeth | US | San Mateo | 2014-04-24 / 20140112343 - Private Allocated Networks Over Shared Communications Infrastructure | 3 |
W. Andrew Lambeth | US | San Mateo | 2016-03-10 / 20160070588 - Migrating Middlebox State for Distributed Middleboxes | 27 |
Shawn M. Lambeth | US | Pine Island | 2009-02-26 / 20090055831 - Allocating Network Adapter Resources Among Logical Partitions | 1 |
William B. Lambeth, Jr. | US | Sanford | 2009-02-19 / 20090044506 - RETURN TO NEUTRAL CONTROL MECHANISM FOR ZERO TURNING RADIUS MOWER | 1 |
Marios Lambi | US | South Lyon | 2013-05-16 / 20130119743 - COMPOSITE COMPONENT | 1 |
Ravindra M. Lambi | US | Old Bridge | 2010-07-22 / 20100185791 - Transport Frame Structure for Retransmission in DSL | 4 |
Mark Lambiase | US | Ladera Ranch | 2013-03-14 / 20130067219 - CONFIGURING A VALID DURATION PERIOD FOR A DIGITAL CERTIFICATE | 9 |
Mark V. Lambiase | US | Ladera Ranch | 2015-01-29 / 20150033022 - CONFIGURING A VALID DURATION PERIOD FOR A DIGITAL CERTIFICATE | 6 |
Jennifer A. Lambiase | US | Goshen | 2016-02-04 / 20160030222 - Ankle-Foot Flexion Device | 1 |
Antonio Lambiase | IT | Milano | 2013-11-28 / 20130315856 - CONJUGATES FOR THE TREATMENT OF MESOTHELIOMA | 1 |
Antonio Lambiase | IT | Milan | 2011-10-27 / 20110263512 - CONJUGATES FOR THE TREATMENT OF MESOTHELIOMA | 1 |
Alessandro Lambiase | IT | Rome | 2009-05-07 / 20090118177 - USE OF NERVE GROWTH FACTOR IN EYE-DROPS FOR THERAPY OF PATHOLOGIES OF THE CENTRAL NERVOUS SYSTEM, SUCH AS ALZHEIMER'S AND PARKINSON'S DISEASE | 1 |
Mark Lambiase | US | Ladera Ranch | 2013-03-14 / 20130067219 - CONFIGURING A VALID DURATION PERIOD FOR A DIGITAL CERTIFICATE | 9 |
Kent Lambie | CA | Brooklin | 2010-01-28 / 20100022131 - REGISTERED JACK WITH ENHANCED EMI PROTECTION | 1 |
John M. Lambie | US | Portland | 2014-11-27 / 20140350856 - Simultaneous multi-event universal kriging methods for spatio-temporal data analysis and mapping | 4 |
Serve Lambie | TW | Chu-Nan | 2012-01-26 / 20120019506 - METHOD AND APPARATUS FOR POWER CONTROL OF AN ORGANIC LIGHT-EMITTING DIODE PANEL AND AN ORGANIC LIGHT-EMITTING DIODE DISPLAY USING THE SAME | 1 |
Christopher Lee Lambie | US | Grafton | 2016-05-05 / 20160122049 - METHOD AND APPARATUS FOR WRAPPING A FOLIO REAM OF PAPER | 2 |
Stuart Lambie | GB | Pulverbatch | 2015-04-30 / 20150114265 - METHOD OF MAKING PARTICULATE MATERIAL | 1 |
Ian Andrew Lambie | GB | Renfrewshire | 2009-05-28 / 20090137706 - Process for preparation of a novel pigmented composition for use in gravure inks | 1 |
Mark A. Lambie | US | Eagle | 2013-07-18 / 20130182414 - PROTECTIVE MODULAR HELMET WITH INTEGRATED LIGHTING SYSTEM | 1 |
Suzanne Lambie | NZ | Palmerston North | 2013-08-22 / 20130217612 - COMPLETE GENOME SEQUENCE OF THE METHANOGEN METHANOBREVIBACTER RUMINANTIUM | 1 |
Stephen Lambie | CA | North Vancouver | 2012-09-13 / 20120233141 - APPARATUS, METHOD AND COMPUTER-READABLE STORAGE MEDIUM FOR SEARCHING PATIENT STUDIES | 1 |
Stuart Lambie | GB | Shropshire | 2012-07-26 / 20120186491 - METHOD OF MAKING PARTICULATE MATERIAL | 1 |
Benjamin Lambie | DE | Heusenstamm | 2013-05-16 / 20130119673 - INVENTION RELATING TO ROTOR BLADES, IN PARTICULAR FOR WIND TURBINE GENERATORS | 1 |
John Lambie | AU | Toowong | 2013-12-05 / 20130321281 - Keypad | 1 |
Jan Lambie | NL | St. Geertruid | 2012-10-04 / 20120247072 - APPARATUS FOR, AND METHOD OF, FORMING, FILLING AND CLOSING BAGS, EACH WITH A POURING DEVICE | 2 |
Philippe Lambin | NL | Maastricht | 2016-03-17 / 20160078613 - Method and System for Determining a Phenotype of a Neoplasm in a Human or Animal Body | 1 |
Philippe Lambin | BE | Genappe Bousvalle | 2015-06-04 / 20150150849 - CANCER TARGETING USING CARBONIC ANHYDRASE ISOFORM IX INHIBITORS | 2 |
Jason P. Lambin | US | New Lenox | 2011-03-10 / 20110058989 - APPARATUS FOR CONTACTING HYDROCARBON FEED AND CATALYST | 4 |
Philippe Lambin | BE | Bousval | 2013-02-28 / 20130053392 - CARBONIC ANHYDRASE INHIBITORS | 1 |
Philippe Lambin | BE | Genappe-Bousval | 2011-03-10 / 20110059074 - Knowledge-Based Proliferation Signatures and Methods of Use | 9 |
Anne Lambin | FR | Lomme | 2011-09-29 / 20110233102 - USE OF A MATERIAL BASED ON A THERMOPLASTIC POLYMER HAVING A HIGH CONTENT OF ANTIOXIDANTS FOR PACKAGING DIANHYDROHEXITOLS | 1 |
Laetitia Lambinet | FR | Toulouse | 2009-02-19 / 20090045981 - SYSTEM AND METHOD FOR DATA TRANSMISSION BETWEEN AT LEAST ONE DISPLAY SCREEN AND A CLIENT SYSTEM | 1 |
Laetitia Lambinet | FR | Colomiers | 2011-01-27 / 20110018742 - METHOD OF DISPLAYING AN IMAGE ON A SCREEN OF AN AIRCRAFT | 1 |
Joseph Lambing | US | Burlingame | 2011-06-30 / 20110160250 - CRYSTALLINE FORMS OF A FACTOR XA INHIBITOR | 1 |
Joe Lambing | US | South San Francisco | 2013-07-04 / 20130172374 - SOLID COMPOSITION FOR CONTROLLED RELEASE OF IONIZABLE ACTIVE AGENTS WITH POOR AQUEOUS SOLUBILITY AT LOW PH AND METHODS OF USE THEREOF | 3 |
Joe Lambing | US | Burlingame | 2013-05-23 / 20130131089 - DOSAGE FORMS OF ELINOGREL AND METHODS OF INJECTABLE ADMINISTRATION THEREOF | 1 |
Cyndi Lambinicio | US | Redwood Shores | 2008-11-13 / 20080282235 - Facilitating Assessment Of A Test Suite Of A Software Product | 1 |
Cynthia Lambinicio | US | Redwood City | 2009-08-13 / 20090204945 - UTILIZING INTELLIGENT AUTOMATED SCRIPTS TO TEST SOFTWARE APPLICATIONS | 1 |
Eugenia Lambiri | CA | Ottawa | 2013-12-05 / 20130322241 - TABLE-BASED LINK ADAPTATION FOR WIRELESS COMMUNICATION NETWORK TRANSMISSIONS | 1 |
Osman Lambiro | US | Englishtown | 2011-02-17 / 20110038818 - COMPOSITION AND METHOD FOR CREAM BLEACH PRODUCT | 1 |
Rod Lambirth | US | Kenna | 2008-09-04 / 20080210676 - Portable welder | 1 |
John S. Lamb, Jr. | US | Bothell | 2015-05-07 / 20150123433 - Holder For Personal Electronic Devices | 2 |
Jamie Parker Lamb, Jr. | US | Austin | 2014-01-30 / 20140028296 - MAGNETIC SENSING DEVICE FOR FASTENERS | 1 |
John W. Lamb, Jr. | US | Boulder | 2012-08-02 / 20120194592 - COLOR PROFILE DETERMINATION FOR PRINTING SYSTEMS | 1 |
Donald William Lamb, Jr. | US | North Haven | 2016-05-19 / 20160138457 - EXHAUST MIXER AND METHOD OF MAKING SAME | 5 |
Carolyn S. Lambka | US | Dubuque | 2010-12-23 / 20100320851 - INTERNAL OIL COOLING VIA HOUSING END BRACKETS FOR AN ELECTRIC MACHINE | 1 |
John Douglas Lambkin | IE | County Cork | 2010-08-19 / 20100209053 - OPTICAL FIBRE CONNECTOR | 1 |
Paul Martin Lambkin | IE | Carrigaline | 2015-08-20 / 20150233857 - TEST METHOD AND DEVICE | 6 |
John Douglas Lambkin | IE | Carrigaline | 2010-03-11 / 20100061418 - Mounting surface-emitting devices | 2 |
Todd William Lambkin | US | Stevensville | 2014-05-22 / 20140139095 - OVEN DOOR ASSEMBLY | 1 |
Paul Martin Lambkin | IE | Cork | 2013-10-03 / 20130259086 - TEMPERATURE SENSOR AND AN INFRARED DETECTOR INCLUDING SUCH A SENSOR | 2 |
Paul Lambkin | IE | Carrigaline | 2008-08-28 / 20080202209 - Sensor | 1 |
Paul Lambkin | IE | Raheen | 2015-10-29 / 20150311003 - MEMS Switch | 1 |
Imelda Lambkin | IE | Sutton | 2010-07-01 / 20100168381 - CONJUGATES OF MEMBRANE TRANSLOCATING AGENTS AND PHARMACEUTICALLY ACTIVE AGENTS | 2 |
Robert Lambkin-Williams | GB | Brighton | 2014-12-04 / 20140356388 - Vaccine - Screening Method | 2 |
Robert Lambkin-Williams | US | 2013-06-27 / 20130164315 - Vaccines - Screening Method | 1 | |
Robert Lambkin-Williams | GB | London | 2010-02-18 / 20100040655 - Anti-viral Formulations Nanomaterials And Nanoparticles | 1 |
Guillaume Lamblin | BE | Ixelles | 2013-05-02 / 20130108832 - PROCESS FOR THE PRODUCTION OF A DEPOSIT OF INORGANIC NANOPARTICLES HAVING MICRO GAPS ONTO A LIGHT-TRANSPARENT SUPPORT | 1 |
Claude Lamblin | FR | Perro-Guirec | 2010-09-09 / 20100228551 - Encoding/Decoding of Digital Signals, Especially in Vector Quantization with Permutation Codes | 2 |
Claude Lamblin | FR | Perros Guirec | 2009-12-03 / 20090299737 - Method for adapting for an interoperability between short-term correlation models of digital signals | 3 |
Claude Lamblin | FR | Perros Guirrec | 2008-12-11 / 20080306732 - Method and Device for Carrying Out Optimal Coding Between Two Long-Term Prediction Models | 1 |
Claude Lamblin | FR | Tregastel | 2015-06-25 / 20150179190 - METHOD OF DETECTING A PREDETERMINED FREQUENCY BAND IN AN AUDIO DATA SIGNAL, DETECTION DEVICE AND COMPUTER PROGRAM CORRESPONDING THERETO | 4 |
Matthieu Lambolez | FR | Paris | 2009-10-29 / 20090266525 - AIR BLOWING DEVICE FOR COOLING THE INTERNAL COMBUSTION ENGINE OF A VEHICLE TESTED ON A ROLLER BENCH | 1 |
Pieter Lambooij | NL | Eindhoven | 2010-12-02 / 20100306642 - CO-BROWSING (JAVA) SCRIPTED HTML DOCUMENTS | 1 |
Louis R. Lamborghini | US | Smithfield | 2010-12-16 / 20100315267 - Touch Sensor System with Memory | 2 |
Lyndon Claudius Lamborn | US | Mesa | 2012-02-09 / 20120034088 - Method for Connecting a Tension-Torsion Strap | 4 |
Lyndon Lamborn | US | Mesa | 2009-07-02 / 20090169383 - ROTOR BALANCE DEVICE AND METHOD | 1 |
Calvin Lamborn | US | Twin Falls | 2009-10-01 / 20090249504 - NOVEL SNAP PEA VARIETY SUGAR DEUCE | 1 |
Lyndon C. Lamborn | US | Mesa | 2010-02-11 / 20100034654 - Sculptured Throat Spool | 2 |
Chad Lamborn | US | Donnellson | 2013-05-02 / 20130108457 - Wind turbine blade comprising a vortex-generator | 1 |
Daniel R. Lamborn | US | Hillsboro | 2015-07-02 / 20150188033 - METHODS OF FORMING A MAGNETIC RANDOM ACCESS MEMORY ETCH SPACER AND STRUCTURES FORMED THEREBY | 1 |
Dale Lamborn | US | Midland | 2012-06-07 / 20120138630 - Bulk liquid strorage and transport tank, method of converting shipping containers to bulk liquid storage and transport tanks, and method of use thereof | 1 |
Andrew Lamborne | US | Golden | 2016-05-05 / 20160120579 - INTERSPINSOUS IMPLANTS AND METHODS | 17 |
Alexander Lambotte | DE | Dusseldorf | 2008-10-23 / 20080262109 - METHOD FOR PRODUCING LIQUID PREPARATIONS HAVING A SOLID BODY CONTENT | 1 |
Manea Lambotte | FR | Vandieres | 2016-03-10 / 20160072344 - HIGH-SPEED ELECTRIC MOTOR | 1 |
Paul Lambotte | US | San Diego | 2016-02-18 / 20160047005 - DEVICES FOR THE DETECTION OF MULTIPLE ANALYTES IN A SAMPLE | 5 |
Stephen Lambourn | GB | Wiltshire | 2015-08-13 / 20150226925 - RFID-ENABLED OPTICAL ADAPTER FOR USE WITH A PATCH PANEL | 9 |
Stephen Lambourn | GB | Wiltshire | 2015-08-13 / 20150226925 - RFID-ENABLED OPTICAL ADAPTER FOR USE WITH A PATCH PANEL | 9 |
Stephen Lambourn | GB | Swindon | 2014-01-23 / 20140023328 - INDICATING COMMUNICATIONS COMPONENTS VIA ILLUMINATION | 2 |
Henry Licming Lambourn | GB | Malmesbury | 2013-11-28 / 20130312216 - CLEANER HEAD | 4 |
Stephen Lambourn | GB | Swindon, Wiltshire | 2014-05-29 / 20140147080 - INDICATING COMMUNICATIONS COMPONENTS VIA ILLUMINATION | 1 |
Mathew Gordon Lambourn | GB | Peterborough | 2010-02-18 / 20100038611 - WIRE TERMINATION DEVICE | 1 |
Paul Lambourn | ZA | Alberton | 2011-10-27 / 20110259990 - PLAN OR MAP PROTECTOR AND STORAGE APPARATUS | 1 |
Henry Licming Lambourn | GB | Swindon | 2015-12-03 / 20150342409 - DOMESTIC APPLIANCE | 5 |
Michael Edward Lambourn | GB | Oxford | 2014-12-18 / 20140366495 - CYCLONIC SEPARATING APPARATUS | 1 |
Robert Andrew Lambourne | US | Santa Barbara | 2015-11-19 / 20150333719 - Volume Interactions for Connected Playback Devices | 11 |
Alexis Lambourne | GB | Kilburn | 2011-12-08 / 20110296811 - Heat transfer arrangement for fluid-washed surfaces | 1 |
Robert A. Lambourne | US | Santa Barbara | 2015-12-03 / 20150347085 - Multi-Channel Pairing in a Media System | 24 |
Sean Lambourne | GB | Calne | 2016-03-17 / 20160076577 - SEALANT CAPS INCLUDING INTERNAL BARRIER RINGS | 1 |
Robert A. Lambourne | US | Santa Barbara | 2015-12-03 / 20150347085 - Multi-Channel Pairing in a Media System | 24 |
Nick Lambourne | GB | Stoke On Trent | 2008-11-27 / 20080293449 - METHOD AND SYSTEM FOR PARTITIONING A DEVICE INTO DOMAINS TO OPTIMIZE POWER CONSUMPTION | 1 |
Alexis Lambourne | GB | Belper | 2013-05-16 / 20130118915 - SACRIFICIAL ANODE | 6 |
Robert Andrew Lambourne | US | Santa Barbara | 2015-11-19 / 20150333719 - Volume Interactions for Connected Playback Devices | 11 |
Alexis Lambourne | GB | Derby | 2016-05-19 / 20160138173 - MARINE CATHODIC PROTECTION SYSTEM | 1 |
Jean-Philippe Lamboux | FR | Saint Didier Des Bois | 2015-11-26 / 20150335475 - Device for dispensing drops | 5 |
Branimir Lambov | IE | Tyrrelstown | 2010-05-06 / 20100114811 - DIRECT CONSTRUCTION OF FINITE STATE MACHINES | 1 |
Branimir Z. Lambov | IE | Tyrrelstown | 2008-11-06 / 20080275837 - METHOD AND SYSTEM FOR APPROXIMATE STRING MATCHING | 1 |
Branimir Z. Lambov | IE | Dublin | 2012-04-19 / 20120095990 - METHOD AND SYSTEM FOR APPROXIMATE STRING MATCHING | 3 |
Alan M. Lambowitz | US | Austin | 2014-01-02 / 20140004569 - USE OF TEMPLATE SWITCHING FOR DNA SYNTHESIS | 2 |
Emil Lambrache | US | Campbell | 2011-09-08 / 20110219160 - FAST TWO WIRE INTERFACE AND PROTOCOL FOR TRANSFERRING DATA | 6 |
Samuel G. Lambrakos | US | Alexandria | 2011-11-03 / 20110268617 - SYNTHESIS OF HYDROCARBONS VIA CATALYTIC REDUCTION OF CO2 | 1 |
Stefan Lambrecht | DE | Hehlen | 2014-03-27 / 20140087428 - Microorganisms And Methods For Producing Substituted Phenols | 3 |
Bram Gilbert Antoon Lambrecht | US | Berkeley | 2010-01-28 / 20100023133 - Semi-actuated transfemoral prosthetic knee | 1 |
Stijn Lambrecht | BE | Melle | 2011-12-08 / 20110300548 - GDF15 AS MOLECULAR TOOL TO MONITOR AND ENHANCE PHENOTYPIC STABILITY OF ARTICULAR CHONDROCYTES | 2 |
Xavier Lambrecht | BE | Romsee | 2010-07-29 / 20100189287 - Bus circuit | 3 |
Bart Lambrecht | BE | Laarne | 2015-11-05 / 20150315262 - NOVEL INTERLEUKIN-33 INHIBITORS | 1 |
Jens Lambrecht | DE | Burgkirchen | 2014-10-02 / 20140296365 - METHOD FOR PRODUCING COMPOSITE INSULATORS BY UV-CROSSLINKING SILICONE RUBBER | 2 |
Craig Lambrecht | US | Rochester | 2014-10-16 / 20140307087 - METHODS AND SYSTEMS FOR PREVENTING TRAFFIC ACCIDENTS | 2 |
Lonny Lambrecht | US | Byron | 2008-12-11 / 20080307184 - MEMORY CONTROLLER OPERATING IN A SYSTEM WITH A VARIABLE SYSTEM CLOCK | 1 |
Mark J. Lambrecht | US | Mequon | 2010-01-21 / 20100011653 - STEERABLE FISHING LURE | 1 |
Greg Lambrecht | US | 2008-10-16 / 20080255476 - Methods and devices for treating obesity | 1 | |
Lonny J. Lambrecht | US | Byron | 2015-12-03 / 20150347343 - INTERCOMPONENT DATA COMMUNICATION | 4 |
Frank Lambrecht | US | Mountain View | 2016-03-31 / 20160093339 - STUB MINIMIZATION USING DUPLICATE SETS OF SIGNAL TERMINALS | 34 |
Bart Lambrecht | BE | Waregem | 2009-04-16 / 20090098819 - VENTILATION DEVICE | 1 |
Amoret Margaret Lambrecht | US | St. Charles | 2014-07-31 / 20140210165 - Surface Sealing System | 1 |
Frank Lambrecht | US | Danville | 2016-05-05 / 20160125929 - METHODS AND APPARATUS FOR SYNCHRONIZING COMMUNICATION WITH A MEMORY CONTROLLER | 1 |
Henk Lambrecht | BE | B-Kuurne | 2008-10-02 / 20080236700 - Loom and a method for weaving single-web loop velvet | 1 |
Marc Lambrecht | BE | Brussels | 2013-08-01 / 20130196845 - COLLOIDAL PHOTO-CATALYTIC COMPOSITION | 1 |
Bart Lambrecht | BE | Gent | 2013-03-14 / 20130064795 - IMMUNE MODULATION | 1 |
Bram Gilbert Antoon Lambrecht | US | Sunnyvale | 2014-09-18 / 20140276947 - Sealing Multiple Surgical Instruments | 6 |
Gregory B. Lambrecht | US | Natick | 2014-09-25 / 20140288589 - Intravascular Filter With Debris Entrapment Mechanism | 1 |
Frank Lambrecht | US | Mountainview | 2013-04-04 / 20130082395 - STUB MINIMIZATION USING DUPLICATE SETS OF SIGNAL TERMINALS IN ASSEMBLIES WITHOUT WIREBONDS TO PACKAGE SUBSTRATE | 2 |
Christopher Lambrecht | US | Santa Barbara | 2015-10-15 / 20150296177 - ENHANCED VIDEO INTERACTION FOR A USER INTERFACE OF A TELEPRESENCE NETWORK | 1 |
Tom Lambrecht | US | Parker | / - | 1 |
Gregory H. Lambrecht | US | Natick | 2015-12-31 / 20150374504 - HERNIATED DISC REPAIR | 21 |
Gregory Lambrecht | US | Natick | 2015-07-09 / 20150191340 - METHOD AND APPARATUS FOR ENGAGING A BEVERAGE EXTRACTION DEVICE WITH A CONTAINER | 18 |
Frank Peter Lambrecht | US | Danville | 2014-09-18 / 20140267024 - COMPUTING INTERFACE SYSTEM | 2 |
Gregory H. Lambrecht | US | Natick | 2015-12-31 / 20150374504 - HERNIATED DISC REPAIR | 21 |
Greg H. Lambrecht | US | Natick | 2011-05-05 / 20110106264 - METHODS OF INTERVERTEBRAL DISC AUGMENTATION | 3 |
Frank Lambrecht | US | Mountain View | 2016-03-31 / 20160093339 - STUB MINIMIZATION USING DUPLICATE SETS OF SIGNAL TERMINALS | 34 |
Craig Charles Lambrecht | US | Rochester | 2013-05-23 / 20130131871 - METHODS AND SYSTEMS FOR DETERMINING HEATING AND AIR CONDITIONING DEMANDS ON A PRINT SHOP | 3 |
Gregory Lambrecht | US | Natick | 2015-07-09 / 20150191340 - METHOD AND APPARATUS FOR ENGAGING A BEVERAGE EXTRACTION DEVICE WITH A CONTAINER | 18 |
Bram Lambrecht | US | Mountain View | 2014-11-20 / 20140338477 - FORCE TRANSMISSION MECHANISM FOR TELEOPERATED SURGICAL SYSTEM | 1 |
Stijn Lambrecht | BE | Landskouter | 2011-12-08 / 20110300562 - GDF15 AS A DIFFERENTIAL MARKER FOR SPONDYLOARTHROPATHY | 1 |
Richard M. Lambrecht | US | Milwaukee | 2014-09-18 / 20140261392 - Solar Collector | 1 |
Chris Lambrecht | US | Santa Barbara | 2014-09-18 / 20140267549 - ENHANCED VIDEO INTERACTION FOR A USER INTERFACE OF A TELEPRESENCE NETWORK | 1 |
Frank Lambrecht | US | San Jose | 2014-08-21 / 20140233333 - METHODS AND APPARATUS FOR SYNCHRONIZING COMMUNICATION WITH A MEMORY CONTROLLER | 4 |
Andy Lambrechts | BE | Herent | 2015-10-08 / 20150288894 - SPECTRAL CAMERA WITH MIRRORS FOR PROJECTING MULTIPLE ADJACENT IMAGE COPIES ONTO SENSOR ARRAY | 6 |
Marc Lambrechts | BE | Leuven | 2014-01-02 / 20140002000 - Method and System for Powering and Measuring Positions of a Plurality of DC-Motors Over a Wire Interface | 1 |
Frank Lambrechts | DE | Dortmund | 2009-02-12 / 20090038700 - PLUMBING FIXTURE | 1 |
Diether Lambrechts | BE | Kessel-Lo (leuven) | 2014-10-02 / 20140294811 - METHOD FOR PREDICTING RISK OF HYPERTENSION ASSOCIATED WITH ANTI-ANGIOGENESIS THERAPY | 3 |
Ann Lambrechts | BE | Avelgem | 2015-10-15 / 20150292923 - METHOD TO DETERMINE OR MONITOR THE AMOUNT OR THE DISTRIBUTION OF ADDITIONAL MATERIAL PRESENT IN A FLOW OF A FLOWABLE SUBSTANCE | 7 |
Marc Lambrechts | BE | Ieper | 2009-12-31 / 20090324205 - PULSE COUNT CONTROL FOR BRUSHED DC MOTOR DRIVEN BY PULSE WIDTH MODULATION | 1 |
Patrick Lambrechts | BE | Mortsel | 2015-03-26 / 20150085987 - METHOD FOR THE DETERMINATION AND USE OF A STANDARD OPERATIONAL VALUE FOR THE DELAY TIME OF A RADIOGRAPHIC SYSTEM | 1 |
Andre Lambrechts | CH | Baar | 2010-05-27 / 20100131531 - SYSTEM AND METHOD FOR BUILDING MULTIPLE ONLINE LEGAL RESEARCH APPLICATIONS | 1 |
Andy Lambrechts | BE | Leuven | 2008-10-23 / 20080263530 - METHOD AND SYSTEM FOR AUTOMATED CODE CONVERSION | 2 |
Marc Lambrechts | BE | Kessel-Lo | 2014-04-03 / 20140095749 - METHOD FOR ADDRESSING THE PARTICIPANTS OF A BUS SYSTEM | 1 |
Diether Lambrechts | BE | Kessel-Lo | 2015-02-12 / 20150045369 - NOVEL MARKERS FOR DETECTING MICROSATELLITE INSTABILITY IN CANCER AND DETERMINING SYNTHETIC LETHALITY WITH INHIBITION OF THE DNA BASE EXCISION REPAIR PATHWAY | 2 |
Ann Lambrechts | BE | Herentals | 2011-04-21 / 20110088596 - REINFORCED STRUCTURE COMPRISING A CEMENTITIOUS MATRIX AND ZINC COATED METAL ELEMENTS | 2 |
Thomas Lambricht | BE | Perwez | 2016-05-19 / 20160137546 - HIGH INFRARED TRANSMISSION GLASS SHEET | 4 |
Nicolas Lambricht | BE | Louvain-La-Neuve | 2015-12-10 / 20150353701 - EXPANDABLE VINYL AROMATIC POLYMERS COMPRISING PLATELET NEEDLE COKE PARTICLES | 1 |
Thomas Lambricht | BE | Louvain-La-Neuve | 2015-03-12 / 20150072156 - SHEET OF GLASS WITH HIGH ENERGY TRANSMISSION | 1 |
Thomas Lambricht | BE | Gosselies | 2014-11-06 / 20140326314 - GLASS SHEET WITH HIGH ENERGY TRANSMISSION | 2 |
Andrew C. Lambridis | US | Dix Hills | 2014-10-23 / 20140311689 - DRIVE ASSISTED ROLLER ASSEMBLY FOR ROLLING DOOR | 2 |
Andrew C. Lambridis | US | Brooklyn | 2009-01-22 / 20090020237 - Two-motor drive arrangement for a roller curtain | 1 |
Terry M. Lambright | US | Corvallis | 2014-05-01 / 20140118441 - THERMAL INKJET PRINT HEAD WITH SOLVENT RESISTANCE | 8 |
Terry M. Lambright | US | Corvalis | 2011-06-16 / 20110141191 - SYSTEM AND METHOD FOR MAINTAINING OR RECOVERING NOZZLE FUCTION FOR A PRINTHEAD | 1 |
Michael Lambright | US | Goshen | 2016-01-28 / 20160024827 - DOOR HINGE CLOSING MECHANISM | 2 |
Terry M. Lambright | US | Corvallis | 2014-05-01 / 20140118441 - THERMAL INKJET PRINT HEAD WITH SOLVENT RESISTANCE | 8 |
Joe Lambright | US | Gilbert | 2008-11-27 / 20080290882 - PROBE NEEDLE PROTECTION METHOD FOR HIGH CURRENT PROBE TESTING OF POWER DEVICES | 1 |
Henry Dan Lambright | US | Nashua | 2014-09-11 / 20140258248 - Delta Compression of Probabilistically Clustered Chunks of Data | 1 |
Miranda Lambrigts | BE | Eisden | 2012-04-26 / 20120097714 - LIQUID DOSING APPARATUS | 1 |
Clement Lambrinos | FR | Montreuil | 2015-11-12 / 20150325058 - METHOD AND SYSTEM FOR CONTROLLING ACCESS TO A STATION FOR AUTOMATED RENTAL OF VEHICLES SITUATED WITHIN A STRUCTURE ACCESS TO WHICH IS CONTROLLED | 10 |
Kathy Lambrinos | CA | London | 2012-08-09 / 20120202042 - COMPOSITE ARTICLE WITH EXPANDABLE ELASTOMER IN COMPRESSION | 3 |
Clement Lambrinos | FR | Montreuil | 2015-11-12 / 20150325058 - METHOD AND SYSTEM FOR CONTROLLING ACCESS TO A STATION FOR AUTOMATED RENTAL OF VEHICLES SITUATED WITHIN A STRUCTURE ACCESS TO WHICH IS CONTROLLED | 10 |
John D. Lambris | US | Philadelphia | 2016-04-07 / 20160096866 - POTENT COMPSTATIN ANALOGS | 14 |
John D. Lambris | US | Bryn Mawr | 2016-03-24 / 20160084820 - METHODS OF TREATING OR PREVENTING PERIODONTITIS AND DISEASES ASSOCIATED WITH PERIODONTITIS | 11 |
John Lambris | US | Bryn Mawer | 2010-11-11 / 20100287636 - COMPOSITIONS AND METHODS FOR INHIBITING INDUCIBLE T CELL KINASE (ITK) AND TREATING ASTHMA AND BRONCHIAL INFLAMMATIONS | 1 |
John D. Lambris | US | Philadelphia | 2016-04-07 / 20160096866 - POTENT COMPSTATIN ANALOGS | 14 |
John D. Lambris | US | Bryn Mawr | 2016-03-24 / 20160084820 - METHODS OF TREATING OR PREVENTING PERIODONTITIS AND DISEASES ASSOCIATED WITH PERIODONTITIS | 11 |
William K. Lambrite | US | Naperville | 2015-06-04 / 20150150271 - SYSTEM AND METHOD FOR MANUFACTURING AND PROCESSING A FOOD PRODUCT | 2 |
Timothy R. Lambrix | US | Grand Haven | 2013-05-23 / 20130129107 - VOICE ACQUISITION SYSTEM FOR VEHICLE | 2 |
Sarah Ann Lambrix | US | Grand Haven | 2014-09-18 / 20140263420 - CNG DISPENSER | 3 |
Timothy R. Lambrix | US | West Olive | 2010-03-11 / 20100061282 - AUDIENCE RESPONSE SYSTEM AND METHOD WITH FREQUENCY AGILE TRANSMISSION PROTOCOL | 2 |
Charalampos Lambropoulos | FI | Espoo | 2012-11-08 / 20120280131 - PHOTON/ENERGY IDENTIFYING X-RAY AND GAMMA RAY IMAGING DEVICE ("PID") WITH A TWO DIMENSIONAL ARRAY OF PIXELS AND SYSTEM THEREFROM | 1 |
John C. Lambropoulos | US | Pittsford | 2010-07-08 / 20100171065 - MAGNETORHEOLOGICAL MATERIALS, METHOD FOR MAKING, AND APPLICATIONS THEREOF | 1 |
Aris Lambropoulos | US | Royal Oak | 2011-01-27 / 20110018684 - REMOTE KEYLESS IGNITION SYSTEM AND METHOD | 1 |
George Lambropoulos | US | Grosse Pointe Woods | 2012-08-09 / 20120202403 - Beehive frame and comb foundation for controlling varroa mites | 1 |
Nancy E. Lambros | US | Spicewood | 2016-02-04 / 20160036867 - METHOD AND APPARATUS FOR ENABLING REGISTRATION OF AGGREGATE END POINT DEVICES THROUGH PROVISIONING | 7 |
Gregory R. Lambros | US | Somers | 2015-12-03 / 20150348149 - EFFICIENTLY AUTHORIZING CUSTOMERS FOR PRODUCTS UNDER DIFFERENT AUTHORIZATION CONDITIONS | 1 |
Nancy Ellen Lambros | US | Spicewood | 2013-09-26 / 20130250941 - METHOD AND APPARATUS FOR PROCESSING A CALL TO AN AGGREGATE ENDPOINT DEVICE | 1 |
Maria Polikandritou Lambros | US | Pomona | 2015-06-11 / 20150157571 - TARGETED LIPOSOMES IN CANCER THERAPY | 1 |
Peter A. Lambros | GB | Southampton | 2016-04-07 / 20160098251 - EXTENSIBLE META MODEL FOR CAPTURING SOLUTION PATTERNS | 2 |
Mark L. Lambros | US | Lexington | 2013-09-26 / 20130249191 - Folding drop leg for a trailer jack | 1 |
Sarakis Lambros | GR | Aghia Paraskevi | 2014-01-09 / 20140010202 - MANAGING LINK LAYER RESOURCES FOR MEDIA INDEPENDENT HANDOVER | 1 |
Maria Lambros | US | Upland | 2009-05-07 / 20090117161 - SEMICONDUCTOR-BASED CORE-SHELL PARTICLES FOR BLOCKING ELECTROMAGNETIC RADIATION | 1 |
Nancy Lambros | US | Spicewood | 2013-06-13 / 20130148652 - Method, Computer-Readable Medium, and Apparatus for Providing Different Services to Different Users of an Aggregate Endpoint in an Internet Protocol Multimedia Subsystem (IMS) Network | 3 |
Harry Lambrou | AU | Victoria | 2015-04-02 / 20150091695 - ELECTRONIC ACCESS SYSTEM | 3 |
Harris Lambrou | AU | Blackburn | 2014-11-13 / 20140333073 - TAMPER EVIDENT LOCK ASSEMBLY | 6 |
Harris Lambrou | AU | Victoria | 2014-06-26 / 20140175810 - LOCK ASSEMBLY | 2 |
John G. Lambrou | US | Scottsdale | 2010-07-01 / 20100165904 - METHOD FOR SELECTING A CHANNEL IN A MULTI-CHANNEL COMMUNICATION SYSTEM | 1 |
George N. Lambrou | GR | Athens | 2013-03-14 / 20130065954 - OPHTHALMIC USES OF S1P RECEPTOR MODULATORS | 3 |
George N. Lambrou | FR | Strasbourg | 2012-12-20 / 20120322874 - Pharmaceutical Uses | 2 |
Dagmar Lambrü | DE | Fassberg | 2011-05-05 / 20110105348 - Method for detecting an analyte in a sample | 2 |
Dagmar Lambrü | DE | Fassberg | 2011-05-05 / 20110105348 - Method for detecting an analyte in a sample | 2 |
Oren Lamdan | IL | Kiryat Tivon | 2016-05-19 / 20160140081 - Method, Apparatus, And System For Optimizing Frequency And Performance In A Multidie Microprocessor | 11 |
Gefen Lamdan | US | New York | 2009-02-05 / 20090037210 - SYSTEM AND METHOD FOR REAL TIME MONITORING OF DIGITAL CAMPAIGNS | 1 |
Avidan Lamdan | IL | Tsur Hadassah | 2009-09-17 / 20090231178 - Downloadable remote control | 1 |
Oren Lamdan | IL | Kiryat Tivon | 2016-05-19 / 20160140081 - Method, Apparatus, And System For Optimizing Frequency And Performance In A Multidie Microprocessor | 11 |
Humberto Lamdan Ordas | CU | Artemisa | 2014-03-27 / 20140086829 - Recombinant Antibodies to the Vascular Endothelial Growth Factor (VEGF) which are Obtained by Means of Mutagenesis of Variable Regions | 1 |
Humberto Lamdan Ordás | CU | La Habana | 2010-06-17 / 20100151566 - RECOMBINANT ANTIBODIES AGAINST THE VASCULAR ENDOTHELIAL GROWTH FACTOR (VEGF) | 1 |
Humberto Lamdan Ordás | CU | La Habana | 2010-06-17 / 20100151566 - RECOMBINANT ANTIBODIES AGAINST THE VASCULAR ENDOTHELIAL GROWTH FACTOR (VEGF) | 1 |
Raphaël Lame | FR | Moisy | 2011-12-01 / 20110290341 - CONTAINER FOR TEMPORARILY HOLDING WATER ON THE ROOF OF A BUILDING WITH A CONTROLLED LEAKAGE RATE | 1 |
Raphael Lame | FR | Moisy | 2015-12-31 / 20150373932 - SYSTEM, PARTICULARLY FOR VEGETATION CULTIVATION, INCLUDING A WATER RESERVE WITH CONSTANT OVERFLOW | 2 |
Ryan Andrew Lame | US | Tucson | 2010-04-29 / 20100106868 - Mobile music station | 1 |
Anthony Ryan Lame | US | Streetsboro | 2016-03-03 / 20160060420 - TIRE WITH CARBON BLACK REINFORCED POLYURETHANE | 1 |
Anthony Ryan Lame | US | Stow | 2016-03-03 / 20160060435 - TIRE WITH CARBON BLACK REINFORCED POLYURETHANE | 1 |
Raphaël Lame | FR | Moisy | 2011-12-01 / 20110290341 - CONTAINER FOR TEMPORARILY HOLDING WATER ON THE ROOF OF A BUILDING WITH A CONTROLLED LEAKAGE RATE | 1 |
Danilo Lamedica | IT | Vittoria (rg) | 2010-07-01 / 20100165764 - MEMORY DEVICE WITH REDUCED CURRENT LEAKAGE | 1 |
Ehab Lamee | QA | Doha | 2011-03-03 / 20110048236 - GAS TURBINE INLET FILTER HOUSE CLEANING APPARATUS AND METHOD | 1 |
Marcelo Lamego | US | Cupertino | 2016-03-17 / 20160073967 - PHYSIOLOGICAL PARAMETER CONFIDENCE MEASURE | 5 |
Marcelo M. Lamego | US | Coto De Caza | 2015-09-03 / 20150245773 - HANDHELD PROCESSING DEVICE INCLUDING MEDICAL APPLICATIONS FOR MINIMALLY AND NON INVASIVE GLUCOSE MEASUREMENTS | 16 |
Marcelo Lamego | US | Coto De Caza | 2014-11-06 / 20140330098 - REFLECTANCE CALIBRATION OF FLUORESCENCE-BASED GLUCOSE MEASUREMENTS | 36 |
Andre' Lamego | DK | Copenhagen | 2016-02-04 / 20160034542 - INTEGRATING VARIOUS SEARCH AND RELEVANCE PROVIDERS IN TRANSACTIONAL SEARCH | 1 |
Marcelo Lamego | US | Rasncho Santa Margareta | 2012-02-23 / 20120046530 - MULTIPLE WAVELENGTH SENSOR DRIVERS | 1 |
Marcelo M. Lamego | US | Coto De Caza | 2015-09-03 / 20150245773 - HANDHELD PROCESSING DEVICE INCLUDING MEDICAL APPLICATIONS FOR MINIMALLY AND NON INVASIVE GLUCOSE MEASUREMENTS | 16 |
Andre Filipe Pires De Carvalho D Aquino Lamego | DK | Copenhagen | 2015-12-03 / 20150347098 - EXTENDING A DEVELOPMENT ENVIRONMENT WITH ADD-INS | 1 |
Marcelo M. Lamego | US | Cupertino | 2016-03-03 / 20160061726 - Reflective Surface Treatments for Optical Sensors | 12 |
Marcelo M. Lamego | US | Irvine | 2013-12-19 / 20130338461 - MULTI-WAVELENGTH PHYSIOLOGICAL MONITOR | 1 |
Marcelo M. Lamego | US | Cupertino | 2016-03-03 / 20160061726 - Reflective Surface Treatments for Optical Sensors | 12 |
Marcelo Lamego | US | Rancho Santa Margarita | 2008-10-23 / 20080262325 - TISSUE PROFILE WELLNESS MONITOR | 1 |
Yvan Lameignere | FR | Vic En Bigorre | 2011-09-29 / 20110236203 - RING SEGMENT POSITIONING MEMBER | 1 |
Rebecca Lameire | BE | Mechelen | 2012-01-26 / 20120017741 - SAWING WIRE WITH ABRASIVE PARTICLES PARTLY EMBEDDED IN A METAL WIRE AND PARTLY HELD BY AN ORGANIC BINDER | 1 |
Jose Manuel Lameiro Vilarino | BR | Varginha | 2012-01-26 / 20120018552 - BLENDER ARM AND FOOD PROCESSOR | 2 |
John Lamela | US | Genoa City | 2009-09-24 / 20090239819 - PERITONEAL DIALYSIS SOLUTION TEST METHOD | 1 |
Julie Lamela | US | Huntley | 2014-07-31 / 20140210223 - SPREADER BAR WITH INTEGRAL SCALE | 1 |
Alfredo Alvarez Lamela | US | Seattle | 2012-03-08 / 20120059651 - MOBILE COMMUNICATION DEVICE FOR TRANSCRIBING A MULTI-PARTY CONVERSATION | 1 |
Gerald Lamendola | US | Stafford | 2009-01-01 / 20090001088 - MOUNTABLE RECYCLE CONTAINER | 1 |
Joel E. Lamendola | US | Somerville | 2009-02-19 / 20090046004 - PROCESSING VIRTUAL AND LIVE TRACKS TO FORM A VIRTUAL-OVER-LIVE ENVIRONMENT | 1 |
Ritalba Lamendola | IT | Milano | 2010-09-09 / 20100227983 - Manufacture of medical implants | 1 |
Kursten Lamendola | US | Chittenango | 2013-11-28 / 20130312376 - Liquid Vapor Phase Separation Apparatus | 5 |
Eric Lamendola | US | Clark | 2015-07-09 / 20150194020 - GAME AND GAMING MACHINE HAVING BINGO-TYPE BONUS EVENT | 1 |
Itschak Lamensdorf | IL | Modiin | 2015-06-25 / 20150174406 - SPG STIMULATION | 7 |
David Lamensdorf | US | Concord | 2008-11-20 / 20080283267 - Carbon nanotube-based electronic devices | 1 |
Steven Lamensdorf | US | St. James | 2014-09-11 / 20140251303 - HEATING DEVICE | 1 |
Marc Lamensdorf | US | St. James | 2014-09-11 / 20140251303 - HEATING DEVICE | 1 |
Marc D. Lamensdorf | US | Mount Sinai | 2011-04-28 / 20110094495 - FLAMELESS HEATING BEVERAGE CONTAINER | 1 |
Michael F. Lamenza | US | Belmont | 2015-03-05 / 20150061404 - COMMUNICATION IN WIRELESS ENERGY TRANSFER SYSTEMS | 2 |
Ryan Lamer | US | San Diego | 2015-11-05 / 20150315564 - DEVICES AND KITS FOR COLLECTION, STORAGE AND ANALYSIS OF SAMPLES AND METHODS OF PRODUCTION AND USE THEREOF | 1 |
Bastien Lamer | FR | Lannion | 2009-12-10 / 20090303964 - Switching of Multimedia Sessions from a Mobile Terminal | 2 |
Bastien Lamer | FR | Meudon | 2016-02-11 / 20160043551 - DECENTRALISED SUPPLY OF POWER | 2 |
Ryan B. Lamer | US | Spring Valley | 2016-02-18 / 20160047720 - FILMS FOR BIOLOGIC ANALYTE COLLECTION AND ANALYSIS AND METHODS OF PRODUCTION AND USE THEREOF | 1 |
Stephanie Lamer | DE | Berlin | 2009-10-08 / 20090252721 - Differentially expressed tumour-specific polypeptides for use in the diagnosis and treatment of cancer | 1 |
Jane Elizabeth Lamerdin | US | Livermore | 2012-08-16 / 20120208197 - Monitoring gene silencing and annotating gene function in living cells | 1 |
Jane Lamerdin | US | Livermore | 2012-06-14 / 20120149597 - Protein fragment complementation assays for high-throughput and high-content screening | 2 |
Michael Lamere | US | Rochester | 2010-12-02 / 20100299979 - APPAREL HAVING A MEANS FOR DISPLAYING AN OBJECT | 1 |
Paul B. Lamere | US | Nashua | 2011-05-12 / 20110113042 - METHOD AND SYSTEM FOR ACCESSING A FILE SYSTEM | 5 |
Kenneth W. Lamere | US | Fernandina Beach | 2012-04-19 / 20120091147 - COOLER ADAPTED FOR USE IN MARINE ENVIRONMENT | 2 |
Paul B. Lamere | US | Manchester | 2009-12-31 / 20090327250 - METHOD AND APPARATUS FOR SEARCHING AND RESOURCE DISCOVERY IN A DISTRIBUTED ENTERPRISE SYSTEM | 1 |
Paul Lamere | US | Nashua | 2014-09-18 / 20140280181 - SYSTEMS, METHODS, AND COMPUTER READABLE MEDIUM FOR GENERATING PLAYLISTS | 2 |
Guido Lamerichs | NL | Eindhoven | 2012-07-05 / 20120170232 - ELECTRONIC TEXTILE WITH LOCAL ENERGY SUPPLY DEVICES | 1 |
Rudolf Mathias Johannes Nicolaas Lamerichs | NL | Eindhoven | 2012-05-24 / 20120128589 - Perfluoro Compounds For Use In Imaging | 7 |
Guido Lamerichs | BE | Hoeselt | 2011-10-06 / 20110242771 - FLEXIBLE MODULAR ASSEMBLY | 1 |
Rolf Lamerichs | NL | Sint Oedenrode | 2010-06-24 / 20100156415 - MAGNETIC RESONANCE DEVICE AND METHOD | 1 |
Rudolf Mathias Johannes Nicolaas Lamerichs | NL | Sint Oedenrode | 2012-07-26 / 20120190793 - METHOD FOR THE PRODUCTION OF SCAFFOLDS FOR TISSUE ENGINEERING, COMPRISING THE USEOF AN ANCHORING UNIT, AND SCAFFOLD PRODUCED THEREWITH | 1 |
Guido Theo Maria Lamerichs | BE | Leuven | 2010-10-07 / 20100253216 - FLEXIBLE DISPLAY DEVICE | 4 |
Guido Lamerichs | BE | Leuven | 2011-05-05 / 20110100683 - ELECTRONIC TEXTILE | 1 |
Jeffrey L. Lameris | US | Grand Haven | 2012-06-07 / 20120138875 - ANTI-YELLOWING FOR THERMOCHROMIC SYSTEMS | 1 |
Jeffery L. Lameris | US | Grand Haven | 2016-05-19 / 20160138324 - VACUUM WINDOWS WITH RETICULATED SPACER | 1 |
Stephen Lamers | DE | Altmannstein | 2009-07-16 / 20090178892 - Method and Device for Damping Vibrations | 1 |
Cynthia Lamers | CA | Arrowwood | 2014-07-17 / 20140196670 - Animal Self-Grooming Device | 1 |
Ramon Lamers | DE | Bochum | 2012-02-16 / 20120039057 - ACTUATOR ASSEMBLY AND ELECTRONIC DEVICE INCLUDING SAME | 1 |
John Lamers | CA | Ingersoll | 2009-10-01 / 20090247058 - CORNER SANDER | 2 |
Machteld Willemijn Petronel Elisabeth Lamers | NL | Petten | 2013-05-23 / 20130125976 - Solar cell and method of manufacturing such a solar cell | 1 |
Jennifer Beth Lamers | US | Rochester | 2011-07-28 / 20110179681 - Method and device for marking, identifying and organizing personal clothing | 1 |
Norbert H.w. Lamers | NL | Reuver | 2014-12-18 / 20140368597 - IMAGE FORMING DEVICE COMPRISING A DIRECT IMAGE FORMING ELEMENT | 1 |
Tina Lamers | US | Fort Collins | 2014-05-01 / 20140118090 - BULK ACOUSTIC WAVE RESONATOR HAVING PIEZOELECTRIC LAYER WITH MULTIPLE DOPANTS | 2 |
Casey Lamers | US | Madison | 2014-12-11 / 20140363883 - Device for cell culture and direct imaging | 2 |
Paul Lamers | US | Allison Park | 2012-04-05 / 20120082795 - METHOD FOR USING A PRIMER COMPRISING A SELF-EMULSIFIED POLYESTER MICROGEL | 2 |
Stephan Lamers | DE | Altmannstein | 2013-11-14 / 20130300042 - SPRING AND DAMPER SYSTEM, IN PARTICULAR FOR AN ASSEMBLY MOUNT IN A MOTOR VEHICLE | 2 |
Nathan John Lamers | US | Appleton | 2015-01-15 / 20150019594 - WELDING SYSTEM DATA MANAGEMENT SYSTEM AND METHOD | 4 |
Norbert H. W. Lamers | NL | Reuver | 2015-03-05 / 20150062248 - METHOD FOR BONDING A CHIP TO A SUBSTRATE | 1 |
Paul H. Lamers | US | Allison Park | 2015-09-24 / 20150267077 - COATED METAL SUBSTRATES AND METHODS OF PREPARING THEM | 8 |
Kristina L. Lamers | US | Fort Collins | 2008-08-28 / 20080202239 - Piezoelectric acceleration sensor | 1 |
Sven Lamers | DE | Otterbach | 2009-07-16 / 20090178493 - Force-Measuring Element | 1 |
Sven Lamers | DE | Reutlingen | 2015-05-21 / 20150137391 - ELECTRONIC COMPONENT HAVING A CORROSION-PROTECTED BONDING CONNECTION AND METHOD FOR PRODUCING THE COMPONENT | 1 |
Kristina Lamers | US | Fort Collins | 2014-10-02 / 20140292149 - TEMPERATURE COMPENSATED ACOUSTIC RESONATOR DEVICE | 3 |
Johannes Cornelis Lamers | NL | Duiven | 2009-01-22 / 20090022951 - SINGLE CRYSTAL DIAMOND ELEMENTS HAVING CONVEX SURFACES AND METHODS OF ITS FABRICATION | 1 |
Jean-Paul Lamers | NL | Nijmegen | 2011-05-12 / 20110108293 - Fire Fighting System | 1 |
Ramon Lamers | DE | Duisburg | 2012-09-27 / 20120241299 - KEY ASSEMBLY FOR AN ELECTRONIC DEVICE HAVING A CONNECTED KEYCAP | 5 |
A. Lamers | NL | Asten | 2011-07-14 / 20110168017 - DEVICE AND METHOD FOR DEGASSING A LIQUID | 1 |
Tina L. Lamers | US | Fort Collins | 2015-11-12 / 20150326200 - Bulk Acoustic Wave Devices with Temperature-Compensating Niobium Alloy Electrodes | 5 |
Packo Petrus Lamers | NL | 8934 Cj Leeuwarden | 2014-07-24 / 20140206072 - METHODS AND SYSTEM FOR OBTAINING ALGAL BIOMASS AND CULTIVATION MEDIUM | 1 |
Paul H. Lamers | US | Allison Park | 2015-09-24 / 20150267077 - COATED METAL SUBSTRATES AND METHODS OF PREPARING THEM | 8 |
Kathryn L. Lamerton | GB | Cardiff | 2014-06-05 / 20140154667 - SOLID MATRIX FOR ONE STEP NUCLEIC ACID AMPLIFICATION | 2 |
Laurent Lamesch | LU | Lamadelaine | 2013-10-17 / 20130270874 - SEAT HEATER USABLE AS ANTENNA ELECTRODE | 13 |
Laurent Lamesch | LU | Reichlange | 2016-03-17 / 20160075297 - CAPACITIVE SENSING DEVICE | 16 |
Gunther Lametschwandtner | AT | Vienna | 2015-11-05 / 20150313931 - SIRNA AGAINST CBL-B AND OPTIONALLY IL-2 AND IL-12 FOR USE IN THE TREATMENT OF CANCER | 5 |
Daniel J. Lamey | US | Phoenix | 2011-05-05 / 20110102077 - SEMICONDUCTOR DEVICE WITH FEEDBACK CONTROL | 3 |
Michael Lamey | US | Laguna Nigel | 2013-04-25 / 20130098955 - Resilient Arm or Leg Band with Semi-transparent Pocket and Concealed Fastenings | 1 |
William A. Lamey | US | St. Peters | 2009-04-23 / 20090104822 - CABLE CONNECTOR ASSEMBLY WITH WIRE TERMINATION GUIDE | 1 |
Kimberly Anne Lamey | US | King Of Prussia | 2009-11-19 / 20090285887 - Pharmaceutical Composition Comprising A Plurality of Mini-Tablets Comprising A Factor XA Inhibitor | 1 |
Kristofer Laméy | US | Smyrna | 2014-10-02 / 20140292170 - LAYERED MODULAR CONSTRUCTS AND PROCESSES THEREFOR | 1 |
Tamas Lamfalusi | DE | Regensburg | 2014-01-30 / 20140027805 - Optoelectronic Semiconductor Chip and Method for Producing an Optoelectronic Semiconductor Chip | 1 |
Gregg R. Lamframboise | CA | Windsor | 2009-12-31 / 20090322127 - ACTUATOR FOR CRASH ACTIVATED HEAD RESTRAINT | 1 |
Robin Lamgaday | US | Wadsworth | 2016-04-28 / 20160114635 - AIR MAINTENANCE TIRE AND VALVE ASSEMBLY AND METHOD | 6 |
Robin Lamgaday | US | Norton | 2014-04-24 / 20140110029 - VEIN PUMP ASSEMBLY FOR AIR MAINTENANCE TIRE | 3 |
Alberto Lora Lamia | IT | Trivero | 2010-01-14 / 20100009586 - FLAME AND HEAT RESISTANT STRETCH FABRICS WITH IMPROVED CHEMICAL RESISTANCE AND DURABILITY | 2 |
Katja A. Lamia | US | San Diego | 2012-10-18 / 20120264796 - METHODS FOR MODULATING CIRCADIAN RHYTHMS | 2 |
Nabil Lamia | RU | Porto | 2015-09-24 / 20150266797 - PROCESS FOR SEPARATING PROPYLENE MIXED WITH PROPANE BY ADSORPTION IN A SIMULATED MOVING BED | 1 |
Alberto Lora Lamia | IT | Biella | 2008-12-04 / 20080299857 - OLEFIN BLOCK COMPOSITIONS FOR HEAVY WEIGHT STRETCH FABRICS | 1 |
Nabil Lamia | PT | Porto | 2010-03-18 / 20100069696 - PROCESS FOR SEPARATING PROPYLENE MIXED WITH PROPANE BY ADSORPTION IN A SIMULATED MOVING BED | 1 |
Morgan Lamiable | FR | Heumont | 2015-07-23 / 20150205306 - Pressure-Reducing Valve Having A Residual Pressure Function Built Into The Reducing Valve | 1 |
Morgan Lamiable | FR | Rehon | 2011-08-25 / 20110203683 - Regulator for Corrosive Gas Tapping in Under-Atmospheric Conditions | 1 |
Morgan Lamiable | FR | Metzervisse | 2014-10-09 / 20140299800 - Gas Bottle Valve Provided With A Flywheel Controlling A Residual-Pressure Valve And A Stop Valve | 1 |
Morgan Lamiable | FR | 1 Rue Jean-Marie Pelt | 2012-05-24 / 20120125449 - EXPANSION VALVE WITH A PLUG AND A FLOW SELECTOR | 1 |
Chantal Lamiche | FR | Verrieres Le Buisson | 2010-02-25 / 20100047385 - CULTURE METHOD FAVORISING THE PRODUCTION OF K2 VITAMIN BY LACTIC BACTERIA AND APPLICATIONS THEREOF IN THE PREPARATION OF FOOD PRODUCTS | 1 |
Gyanu Lamichhane | US | Baltimore | 2008-10-02 / 20080241182 - MYCOBACTERIUM TUBERCULOSIS PERSISTANCE GENES | 1 |
Gyanu Lamichhane | US | Towson | 2016-02-18 / 20160047737 - CAPVETTE AND METHOD OF MEASURING OPTICAL DENSITY | 3 |
Tek Narayan Lamichhane | US | Silver Spring | 2011-01-27 / 20110021748 - Non-Natural Peptides as Models for the Development of Antibiotics | 1 |
Sujan Lamichhane | US | Pierre | 2016-05-05 / 20160121027 - Formulations for Tailored Drug Release | 1 |
Olivier Jacques Lamicq | US | Poway | 2013-12-05 / 20130319008 - TURBINE BLADE SUPPORT | 1 |
Olivier Jacques Louis Lamicq | US | San Diego | 2015-07-23 / 20150204245 - TURBINE BLADE PLATFORM SEAL ASSEMBLY VALIDATION | 1 |
Olivier J. Lamicq | US | Poway | 2010-09-16 / 20100231201 - Low Mass Driveshaft Speed Sensor Assembly | 2 |
Olivier Jacques Louis Lamicq | US | Poway | 2013-08-29 / 20130224036 - TURBINE ENGINE ROTOR BLADE GROOVE | 1 |
Cyrille Lamigeon | FR | Saint Priest | 2009-08-13 / 20090203685 - ANTI-PROLIFERATIVE COMPOUNDS FROM A 3-ARYL-COUMARINE OR 3-ARYL-QUINOLIN-2-ONE AND USES THEREOF | 1 |
Sola Lamikanra | US | Frisco | 2013-02-28 / 20130052313 - PROCESSING OF WHOLE OR PORTIONS OF GENUS MUSA AND RELATED SPECIES | 2 |
Abigail Lamikanra | GB | Oxford | 2013-09-12 / 20130236479 - ANTIGEN | 3 |
Bouchra Lamik-Thonhauser | AT | St. Peter-Freienstein | 2013-01-10 / 20130009459 - CONNECTING DEVICE FOR CONNECTING ELECTRICAL POWER SUPPLY LINES OF DRILLING AND PRODUCTION FACILITIES | 4 |
Bouchra Lamik-Thonhauser | AT | Gai | 2016-01-28 / 20160024855 - DRILL PIPE | 3 |
Driss Lamine | FR | Antony | 2015-08-27 / 20150239774 - ANTI-CONDENSATION GLAZING | 1 |
Driss Lamine | FR | Paris | 2013-12-19 / 20130335801 - ELECTROCHEMICAL DEVICE HAVING ELECTRICALLY CONTROLLABLE OPTICAL AND/OR ENERGY TRANSMISSION PROPERTIES | 5 |
Richard Ian Laming | GB | Edinburgh | 2014-11-20 / 20140341402 - MEMS PROCESS AND DEVICE | 13 |
Richard I. Laming | GB | Edinburgh | 2010-06-24 / 20100155864 - MEMS PROCESS AND DEVICE | 1 |
Henri Lamiraux | US | San Carlos | 2012-01-12 / 20120011462 - Swipe Gestures for Touch Screen Keyboards | 7 |
Aharon Lamish | IL | Ramat Gan | 2016-04-21 / 20160108454 - MAGNETIC SEPARATION OF RARE CELLS | 2 |
Craig W. Lamison | US | Houston | 2013-12-12 / 20130327535 - Subsea Overpressure Relief Device | 1 |
Neil Lamka | US | Port Ludlow | 2012-12-27 / 20120331095 - INVENTORY DATA ACCESS LAYER | 1 |
Anthony J. Lamka | US | Phoenix | 2012-12-20 / 20120322033 - HEALTH AND FITNESS SYSTEMS | 1 |
Gregory Lee Lamka | US | Polk City | 2015-07-30 / 20150208654 - SEED COATING METHODS AND COMPOSITIONS WITH A RYANODINE RECEPTOR BINDING AGENT | 1 |
Mimoun Lamkadmi | NL | Rotterdam | 2010-06-10 / 20100144796 - NEW POLYMORPHS OF ETHYL 3-[(2-{[4-(HEXYLOXYCARBONYLAMINO-IMINO- METHYL)-PHENYLAMINO]-METHYL-1-METHYL-1H-BENZIMIDAZOLE-5-CARBONYL) -PYRIDIN-2-YL-AMINO]-PROPIONATE | 3 |
Martin Lamke | DE | Ravensburg | 2012-02-02 / 20120024095 - MANUAL TRANSMISSION | 5 |
Samuel Lamke | US | Washington | 2012-11-01 / 20120273018 - RECREATIONAL STRUCTURE HAVING AN INFLATABLE SUPPORT ASSEMBLY | 2 |
Samuel F. Lamke | US | Washington | 2015-10-08 / 20150282629 - ONE PIECE CAMP COT | 6 |
Andreas Lamkemeyer | DE | Georgsmarienhuette | 2011-12-08 / 20110301007 - DEVICE AND METHOD FOR THE PRODUCTION OF TUBES OR SECTIONS OF TUBES | 4 |
Andreas Lamkemeyer | DE | Osnabrueck | 2010-12-02 / 20100304032 - BACKING RUN FOR NOZZLE PASTE APPLICATION | 1 |
Andreas Lamkemeyer | DE | Georgsmarienhütte | 2015-04-09 / 20150096386 - MEASURING DEVICE FOR DETERMINING THE VOLUMETRIC FLOW RATE OF GLUE IN A GLUING DEVICE | 1 |
Sudarshan Lamkhede | US | Santa Clara | 2015-11-05 / 20150317317 - METHOD AND SYSTEM FOR PROVIDING QUERY SUGGESTIONS INCLUDING ENTITIES | 4 |
Jorge F. Lam-Ki | US | Bay Shore | 2015-11-12 / 20150322914 - HIGH-EFFICIENCY ENERGY GENERATOR FOR HARNESSING MECHANICAL VIBRATION POWER | 2 |
Thomas J. Lamkin | US | Cincinnati | 2016-01-28 / 20160024551 - MULTI-TIERED, HIGH THROUGH-PUT SCREEN FOR COMPOUNDS EFFECTIVE AGAINST BACTERIAL BIOFILM COMPOUNDS EFFECTIVE FOR INHIBITING AND ERADICATING BACTERIAL BIOFILM | 1 |
Allan Lamkin | US | San Diego | 2014-10-16 / 20140310754 - METHOD AND SYSTEM FOR USE IN COORDINATING MULTIMEDIA DEVICES | 7 |
Robert L. Lamkin | US | Pleasanton | 2013-11-28 / 20130314774 - INFLATED TUBULAR SOLAR CONCENTRATORS | 4 |
Robert Lamkin | US | Pleasanton | 2014-12-25 / 20140373900 - INFLATED TUBULAR SOLAR CONCENTATORS | 2 |
Kathleen Marie Lamkin | US | San Diego | 2014-06-12 / 20140162243 - Method for Creating and Displaying Content | 1 |
Robert J. Lamkin | US | San Diego | 2016-02-11 / 20160038800 - GOLF GRIP WITH RAKED GRIPPING FEATURES | 6 |
Russ Lamkin | US | Cincinnati | 2015-10-22 / 20150298006 - HELIX PROFILE SYSTEM AND METHODS | 1 |
Allan B. Lamkin | US | San Diego | 2015-05-14 / 20150135074 - ADAPTIVE INTERVALS IN NAVIGATING CONTENT AND/OR MEDIA | 1 |
Joseph A. Lamkin | US | Westminster | 2008-10-09 / 20080245461 - COVER STOCK CUTTER AND SPLICING APPARATUS FOR WALLBOARD MANUFACTURE AND METHODS OF USING THE SAME | 1 |
Andrew F. Lamkin | US | Albuquerque | 2015-11-19 / 20150329217 - AIRCRAFT STRIKE ZONE DISPLAY | 2 |
Lorianne Lamkin | US | Issaquah | 2015-11-19 / 20150331674 - SOCIAL-BASED INFORMATION RECOMMENDATION SYSTEM | 2 |
Andrew Fannon Lamkin | US | Albuquerque | 2015-12-03 / 20150349882 - WIRELESS DATA COMMUNICATION USING AIRBORNE LIGHTING AND GROUND SUPPORT SYSTEMS | 5 |
John Lamkin | US | Grenada | 2009-02-19 / 20090044408 - Fin-Tube Heat Exchanger Collar, and Method of Making Same | 1 |
Thomas J. Lamkin | US | Fairfield | 2016-03-10 / 20160067323 - Francisella tularensis Live Vaccine Strains and Methods of Use | 2 |
William A. Lamkin | US | Broomfield | 2012-05-10 / 20120117622 - DYNAMIC NETWORK ACCESS CONTROL METHOD AND APPARATUS | 1 |
Lori Lamkin | US | Issaquah | 2008-08-21 / 20080201652 - Techniques for viewing and managing work items and their relationships | 1 |
Zachary C. Lamkin | US | Middleton | 2015-09-24 / 20150271437 - Programmable interactive digital television system to record a primary channel while viewing the primary channel or viewing a secondary channel | 1 |
Robert Deloss Lamkin | US | Clarendon Hills | 2016-03-10 / 20160069791 - GREEN SPEED METER AND GREEN SPEED METER CONTAINER | 1 |
Kathleen Lamkin-Kennard | US | Hamlin | 2014-06-26 / 20140180173 - Method and System for Monitoring Terrain and Gait and Predicting Upcoming Terrain | 1 |
Stewart Lamle | US | New York City | 2010-10-28 / 20100273551 - BETTING SYSTEM FOR GAMES OF CHANCE AND SKILL | 1 |
Adrienne Lamm | US | Morristown | 2009-03-19 / 20090075043 - MULTILAYERED EROSION RESISTANT COATING FOR GAS TURBINES | 1 |
Dwight Lamm | US | Big Fork | 2013-05-02 / 20130104482 - Concrete Form Brace | 3 |
Stephen E. Lamm | US | Palo Alto | 2015-07-16 / 20150200994 - PRELOADING RESOURCES OF A WEB PAGE | 1 |
Brent Watson Lamm | US | Raleigh | 2015-08-20 / 20150235045 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR PROVIDING A SECURE VIRTUAL RESEARCH SPACE | 1 |
Stefan Lamm | DE | Koeln | 2012-07-05 / 20120169085 - AERODYNAMIC PACKAGE FOR AN AUTOMOTIVE VEHICLE | 2 |
Hubert Lamm | DE | Kappelrodeck | 2010-04-29 / 20100102598 - ELECTROMOTIVE DRIVE FOR A MOTOR VEHICLE ACTUATING PART AND DRIVE METHOD | 2 |
Anders Lamm | SE | Molndal | 2015-10-29 / 20150312916 - RADIO BASE STATION AND A METHOD THEREIN FOR SCHEDULING UPLINK RESOURCES | 10 |
Bobby John Lamm | US | Wilson | 2015-07-23 / 20150202513 - SPORTS TRAINING DEVICE | 1 |
Charles R.e. Lamm | AR | Buenos Aires | 2010-06-24 / 20100154272 - PISTOL CARRIER | 1 |
Anders Lamm | SE | Molndal | 2015-10-29 / 20150312916 - RADIO BASE STATION AND A METHOD THEREIN FOR SCHEDULING UPLINK RESOURCES | 10 |
Arnold Lamm | DE | Elchingen | 2013-02-21 / 20130045409 - Battery Having a Plurality of Single Cells | 6 |
Katja Lamm | DE | Mannheim | 2011-10-13 / 20110251119 - MIXTURES OF ITACONIC ACID OR ITACONIC ACID DERIVATIVES AND PRIMARY AMINES FOR PRODUCING 1,3- AND 1,4-ALKYL METHYL PYRROLIDONES | 1 |
Eva-Lotta Lamm | GB | London | 2015-10-08 / 20150286478 - Application Version Release Management | 2 |
Al Lamm | US | Suisun City | 2015-06-04 / 20150155422 - SUBSTRATE CLEAVING UNDER CONTROLLED STRESS CONDITIONS | 2 |
Stephan Lamm | DE | Oftersheim | 2011-06-09 / 20110137077 - PROCESS AND APPARATUS FOR OXIDIZING ORGANIC COMPOUNDS | 2 |
Norma J. Lamm | US | Creve Coeur | 2014-09-18 / 20140279500 - Methods and Systems for Generating a Transaction Lifecycle Output for a Payment Card Transaction | 1 |
Derrick Wayne Lamm | US | Baltimore | 2014-01-09 / 20140010986 - APPARATUS FOR MANUFACTURING A FLANGED COMPOSITE COMPONENT AND METHODS OF MANUFACTURING THE SAME | 1 |
Rolf Lamm | DE | Aachen | 2014-05-22 / 20140140176 - LOCAL POSITIONING SYSTEM FOR REFRACTORY LINING MEASURING | 2 |
Albert Lamm | US | Suisun City | 2016-04-21 / 20160111500 - TECHNIQUES FOR FORMING OPTOELECTRONIC DEVICES | 5 |
Benjamin Edward Lamm | US | Austin | 2016-04-28 / 20160117329 - SYSTEMS AND METHODS FOR SOCIAL RECOMMENDATIONS | 2 |
Foster P. Lamm | US | South Windsor | 2014-02-20 / 20140050589 - HYBRID STRUCTURE AIRFOIL | 8 |
Robert Earl Lamm | US | Brookline | 2015-04-09 / 20150098690 - Method of and System for Automatic Compilation of Crowdsourced Digital Media Productions | 1 |
Ben E. Lamm | US | Austin | 2014-11-27 / 20140348484 - SAFETY ACCESSORY WITH SITUATIONAL AWARENESS AND DATA RETENTION | 1 |
Mark Peter Lamm | CA | Mississauga | 2014-03-13 / 20140072312 - DOCK FOR A PORTABLE ELECTRONIC DEVICE | 2 |
Timothy Lamm | US | Midlothian | 2014-03-13 / 20140068978 - GREETING CARD FLAG | 1 |
Marco Lamm | DE | Modugno (bari) | 2012-05-31 / 20120132177 - Fuel Injection System For an Internal Combustion Engine | 1 |
Jacob Lamm | US | Brooklyn | 2012-09-20 / 20120239977 - System and Method for Self-Supporting Applications | 1 |
Marco Lamm | DE | Leonberg | 2016-05-19 / 20160138489 - HIGH-PRESSURE PUMP AND FUEL INJECTION SYSTEM HAVING A HIGH-PRESSURE PUMP | 3 |
Ashley Lamm | US | Eugene | 2011-01-27 / 20110021735 - SUBSTITUTED 1,2-AZABORINE HETEROCYCLES | 1 |
Kevan Warren Lamm | US | Gainesville | 2011-07-07 / 20110167057 - MODULARIZED SERVICE LEVEL AGREEMENT REPORTING | 3 |
Albert J. Lamm | US | Suisun City | 2010-12-16 / 20100317140 - TECHNIQUES FOR FORMING THIN FILMS BY IMPLANTATION WITH REDUCED CHANNELING | 1 |
Timothy A. Lamm | US | Midlothian | 2015-04-02 / 20150091736 - FLAG THAT PLAYS SOUNDS WITH DETECTED MOTION | 5 |
Jesko Lamm | CH | Berne | 2014-10-30 / 20140321682 - HEARING ASSISTANCE DEVICE WITH A LOW-POWER MODE | 3 |
Foster P. Lamm | US | South Windsor | 2014-02-20 / 20140050589 - HYBRID STRUCTURE AIRFOIL | 8 |
Theron Justin Lamm | US | Indianapolis | 2010-03-11 / 20100061539 - CONFERENCE CALL MANAGEMENT SYSTEM | 1 |
Andrew John Lammas | US | Greenville | 2015-04-09 / 20150098813 - LOCK LINK MECHANISM FOR TURBINE VANES | 4 |
Gerhard Lammel | DE | Tuebingen | 2015-05-07 / 20150123827 - SENSOR TIME | 15 |
Michael Lammel | DE | Aachen | 2014-10-23 / 20140311173 - REFRIGERATION CABINET | 2 |
Andreas Lammel | DE | Munich | 2013-05-02 / 20130109762 - SILK PARTICLES FOR CONTROLLED AND SUSTAINED DELIVERY OF COMPOUNDS | 1 |
Nico Lammel | DE | Paderborn | 2011-07-21 / 20110179305 - PROCESS FOR SECURE BACKSPACING TO A FIRST DATA CENTER AFTER FAILOVER THROUGH A SECOND DATA CENTER AND A NETWORK ARCHITECTURE WORKING ACCORDINGLY | 1 |
Christian Lammel | DE | Ismaning | 2011-11-24 / 20110284691 - Method for connecting a first material to a second material in aircraft construction | 2 |
Michael Lammel | DE | Mainz | 2013-01-03 / 20130006213 - DEVICE FOR SUBSTANTIALLY GERM-FREE PROVISION OF A FLUID MEDIUM | 1 |
Gerhard Lammel | DE | Tuebingen | 2015-05-07 / 20150123827 - SENSOR TIME | 15 |
Ralf Lammel | DE | Koblenz | 2009-10-01 / 20090248624 - FUNCTIONAL UPDATES FOR TREE PROCESSING | 1 |
Johan Lammens | ES | Sant Cugat Barcelona | 2012-01-26 / 20120019881 - COLOR PROFILE SELECTION | 2 |
Koen Lammens | BE | Haverlee | 2010-03-25 / 20100071148 - WIPER BLADE | 1 |
Johan Lammens | ES | Barcelona | 2010-08-26 / 20100214576 - Color Separation into Neugebauer Primary Area Coverage Vectors | 3 |
Henri A. Lammens | BE | Antwerpen | 2011-11-10 / 20110275770 - High Pressure Polymerization Process | 1 |
Katja Lammens | DE | Gilching | 2016-05-19 / 20160137635 - THE (S)-ENANTIOMER OF MEPAZINE | 1 |
Henri Lammens | BE | Antwerpen | 2010-01-07 / 20100004407 - Process for the Production of Polyethylene and Ethylene Copolymers | 1 |
Vicky Lammens | NL | Eindhoven | 2010-12-30 / 20100328926 - ILLUMINATION DEVICE WITH LED AND ONE OR MORE TRANSMISSIVE WINDOWS | 1 |
Henri Aime Lammens | BE | Antwerpen | 2012-09-27 / 20120240960 - Separation Vessels For Use In Polymerization Processes And Methods For Cleaning Same | 2 |
Alfred Lammer | CH | Mels | 2009-10-01 / 20090242278 - Hard material insert | 1 |
Egmont Lammer | AT | Knittelfeld | 2012-05-03 / 20120104831 - Heading Machine | 2 |
Stefan Lammer | DE | Dresden | 2008-09-25 / 20080235398 - Method For Coordination of Concurrent Processes or for Control of the Transport of Mobile Units Within a Network | 1 |
Johannes Lammer | FR | Lausanne | 2009-03-26 / 20090081450 - Mechanical Piece with Improved Deformability | 1 |
Alfred Lammer | AT | Zeltweg | 2016-01-07 / 20160003041 - Variable Angle Cutting Bit Retaining Assembly | 3 |
Marco Lammer | DE | Stuttgart | 2010-01-07 / 20100003790 - METHOD FOR PRODUCING A MICROMECHANICAL COMPONENT HAVING A THIN-LAYER CAPPING | 2 |
Andreas Lammer | AT | Kapfenberg | 2010-10-14 / 20100260883 - Flange And A Screw Housing For A Double Screw Extruder | 1 |
Herfried Lammer | AT | St. Veit | 2015-02-19 / 20150051027 - SPORTING GOODS WITH GRAPHENE MATERIAL | 7 |
Edward J. Lammer | US | Oakland | 2011-09-22 / 20110229885 - Methods and Compositions for Determining Predisposition to Inflammation-Mediated Cardiovascular Disease | 1 |
Herfried Lammer | AT | Stl. Veit | 2012-04-19 / 20120094789 - SYSTEM AND METHOD OF USING SHEAR THICKENING MATERIALS IN SPORTS PRODUCTS | 1 |
Eddy Lammerant | BE | Koksijde | 2012-05-31 / 20120132768 - Harvester with an Attachment for a Support Wheel and a Method for Storing a Support Wheel of a Harvester | 2 |
Wolfram Lammerhirt | DE | Schwarzenbek | 2009-10-01 / 20090243132 - APPARATUS AND METHOD FOR THE MEASUREMENT OF THE VERTICAL POSITION OF UPPER AND LOWER PUNCHES OF A ROTARY TABLET PRESS | 1 |
Guido Lammering | DE | Aachen | 2009-09-17 / 20090234628 - PREDICTION OF COMPLETE RESPONSE GIVEN TREATMENT DATA | 1 |
Brian Lammering | US | Thousand Oaks | 2009-10-01 / 20090248194 - CUT OPTIMIZATION SYSTEM AND METHOD | 1 |
Heinrich Lammering | DE | Stuttgart | 2014-05-01 / 20140122144 - Initiative and Project Management | 1 |
Guido Lammers | DE | Wiesloch | 2010-05-06 / 20100115446 - SEGMENTATION MODEL USER INTERFACE | 1 |
Bryan Gerard Lammers | US | Peoria Heights | 2014-07-24 / 20140203760 - TURBINE ENGINE HYBRID POWER SUPPLY | 2 |
Wouter Lammers | NO | Lysaker | 2009-02-26 / 20090051752 - VIDEO CALL MANAGEMENT APPARATUS AND ASSOCIATED METHODOLOGY OF CONTROLLING VOICE AND VIDEO RESPONSE | 1 |
Nicolaas Arnoldus Lammers | NL | Sittard | 2012-04-12 / 20120086929 - LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 1 |
Alex Lammers | US | Washington | 2012-06-28 / 20120162085 - METHODS AND APPARATUS FOR EFFICIENT ILLUMINATION OF INDIVIDUAL KEYS IN A KEYBOARD | 1 |
Todd Michael Lammers | US | Lafayatte | 2011-05-19 / 20110116193 - MAGNETIC HEAD WITH INTEGRATED WRITE DRIVER | 1 |
Reiner Lammers | DE | Tubingan | 2011-05-19 / 20110117575 - ISOLATION AND/OR IDENTIFICATION OF STEM CELLS HAVING ADIPOCYTIC, CHONDROCYTIC AND PANCREATIC DIFFERENTIATION POTENTIAL | 1 |
Shawn D. Lammers | CA | Delta | 2016-02-11 / 20160039256 - Apparatus and Method for Configuring a Tire Pressure Monitoring System | 5 |
Ralf Lammers | DE | Wermelskirchen | 2016-05-05 / 20160122862 - METHOD FOR PRODUCING PISTON A RING | 11 |
Jeroen Herman Lammers | NL | Eindhoven | 2015-05-21 / 20150136324 - IMPRINT LITHOGRAPHY METHOD AND APPARATUS | 18 |
Eric T. Lammers | US | Cedar Falls | 2015-09-24 / 20150267815 - SEAL INSTALLATION GUIDE SLEEVE | 3 |
Allan H. Lammers | US | Portland | 2009-01-01 / 20090007298 - Taxus Transformation Transformed Cells, and Related Compositions and Methods | 1 |
Tracy Lammers | US | Sioux Falls | 2016-03-24 / 20160083976 - ENTRY DOOR LATCH ACTUATOR SYSTEM | 9 |
Arend Lammers | NL | Tv Hengelo | 2014-11-06 / 20140327502 - ELECTROMAGNETIC ACTUATOR | 4 |
Todd M. Lammers | US | Lafayette | 2015-10-01 / 20150279430 - HAMR DRIVE FAULT DETECTION SYSTEM | 1 |
Heiko Lammers | DE | Merzen | 2015-05-21 / 20150140356 - THERMAL BARRIER COATING WITH CONTROLLED DEFECT ARCHITECTURE | 1 |
Allan Lammers | US | Portland | 2010-09-02 / 20100223688 - GENERATION OF PLANTS WITH IMPROVED PATHOGEN RESISTANCE | 5 |
Bryan G. Lammers | US | Peoria Heights | 2013-06-20 / 20130158827 - Mining Truck And Regenerative Braking Strategy Therefor | 2 |
Richard H. Lammers | US | Madison | 2011-07-14 / 20110169666 - GRAPHICAL DISPLAY FOR MUNITION RELEASE ENVELOPE | 1 |
Christoph Lammers | DE | Recklinghausen | 2014-10-23 / 20140316056 - HEAT-CURING POWDER-LACQUER COMPOSITIONS YIELDING A MATTE SURFACE AFTER CURING OF THE COATING, AS WELL AS A SIMPLE METHOD FOR PRODUCTION OF SAME | 7 |
Daniel A. Lammers | US | Fenton | 2015-01-15 / 20150013776 - Regulating Valve | 2 |
Ralf Lammers | DE | Wermelskirchen | 2016-05-05 / 20160122862 - METHOD FOR PRODUCING PISTON A RING | 11 |
Christian Lammers | DE | Stuttgart | 2015-07-23 / 20150207395 - METHOD FOR CONTROLLING THE CURRENT INTENSITY OF THE ELECTRIC CURRENT FLOWING THROUGH AN INDUCTIVE CONSUMER AND A CORRESPONDING CIRCUIT CONFIGURATION | 5 |
Fin Lammers | DE | Lembruch | 2012-10-11 / 20120258269 - PREPARING POLYESTER POLYOLS | 1 |
Reiner Lammers | DE | Tuebingen | 2015-02-12 / 20150045541 - ANTIBODIES FOR IDENTIFYING AND/OR ISOLATING AT LEAST ONE CELL POPULATION | 5 |
Adri Lammers | NL | Hengelo | 2015-03-05 / 20150064458 - FUNCTIONALIZING INJECTION MOLDED PARTS USING NANOFIBERS | 2 |
Ronald Lammers | NL | Hilversum | 2009-08-27 / 20090213872 - INTEGRATED UNIVERSAL INPUT-OUTPUT INTERFACE CIRCUIT | 1 |
Michael J. Lammers | US | Redwood City | 2016-04-28 / 20160119544 - Camera Non-Touch Switch | 8 |
Matheus J. G. Lammers | NL | Nederweert | 2010-01-28 / 20100020242 - DEVICE AND METHOD FOR PROCESSIGN COLOR IMAGE DATA | 3 |
Gerard Leendert Lammers | NL | Assen | 2010-04-29 / 20100101791 - ENHANCED CRUDE OIL RECOVERY METHOD AND SYSTEM | 1 |
Hans Lammers | NL | Arnhem | 2015-03-19 / 20150075999 - STABILIZATION OF AN AQUEOUS SOLUTION OF AN ORGANIC IRON SALT | 4 |
Tracy Lammers | US | Sioux Falls | 2016-03-24 / 20160083976 - ENTRY DOOR LATCH ACTUATOR SYSTEM | 9 |
Arend Jan Willem Lammers | NL | Hengelo | 2014-12-11 / 20140360847 - DISCONNECTOR FOR SWITCHGEAR | 6 |
Karen Manon Lammers | US | Boston | 2014-12-11 / 20140363818 - EGFR and PAR2 Regulation of Intestinal Permeability | 1 |
Michael Lammers | US | San Jose | 2011-01-20 / 20110012840 - GROUND DETECTION FOR TOUCH SENSITIVE DEVICE | 1 |
Arend Lammers | NL | Hengelo | 2014-11-20 / 20140342586 - BUSBAR CONNECTION | 4 |
John P. Lammers | US | Austin | 2014-05-22 / 20140137974 - ADHESIVE BACKED CABLING SYSTEM | 2 |
Frederik Albert Lammers | NL | Zoetermeer | 2014-12-04 / 20140352538 - Spherical Separation Device and Method for Separation | 4 |
Matheus Johannus Gerardus Lammers | NL | Nederweert | 2015-04-16 / 20150104673 - DAISY-CHAIN COMMUNICATION BUS AND PROTOCOL | 7 |
Karen Marie Lammers | US | North Bend | 2013-02-21 / 20130042482 - Skin Engaging Member Comprising An Anti-Irritation Agent | 2 |
Marco Lammers | NL | Helmond | 2014-11-06 / 20140327475 - POWER ARBITRATION METHOD AND APPARATUS HAVING A CONTROL LOGIC CIRCUIT FOR ASSESSING AND SELECTING POWER SUPPLIES | 1 |
Michael J. Lammers | US | Redwood City | 2016-04-28 / 20160119544 - Camera Non-Touch Switch | 8 |
Duane Lammers | US | Hermosa | 2009-04-02 / 20090087516 - Dried meat product and method for making same | 1 |
Joris Lammers | BE | Poperinge | 2015-11-12 / 20150326632 - METHODS AND SYSTEMS TO FACILITATE SYNCHRONIZATION OF MULTIPLE MEDIA STREAMS | 2 |
Scott Lammers | CA | Waterloo | 2013-09-05 / 20130231875 - APPARATUS, SYSTEMS AND METHODS FOR MONITORING FLUID FLOW IN BEVERAGE DISPENSING SYSTEMS | 1 |
Geertruida Anna Petronella Maria Lammers | PT | Maia | 2015-01-15 / 20150015022 - CABRIOBUS | 2 |
Frederik Albert Lammers | NL | Rijswijk | 2011-06-23 / 20110146216 - CYCLONIC SEPARATOR WITH A VOLUTE OUTLET DUCT | 1 |
Frederik A. Lammers | NL | Zoetermeer | 2015-12-10 / 20150352463 - Contacting A Gas Stream With A Liquid Stream | 1 |
Matheus Johannes Gerardus Lammers | NL | Nederweert | 2012-12-06 / 20120310562 - BATTERY MONITORING CIRCUIT, APPARATUS AND METHOD | 2 |
Jeroen Herman Lammers | NL | Eindhoven | 2015-05-21 / 20150136324 - IMPRINT LITHOGRAPHY METHOD AND APPARATUS | 18 |
Jeroen H. Lammers | NL | Eindhoven | 2009-05-21 / 20090130611 - Lithographic Method | 2 |
Karen Manon Lammers | US | Baltimore | 2012-05-03 / 20120107329 - EGFR and PAR2 Regulation of Intestinal Permeability | 1 |
Scott Gregory Lammers | CA | Waterloo | 2012-04-26 / 20120097255 - APPARATUS, SYSTEMS AND METHODS FOR MONITORING CONSUMABLES IN SYSTEMS FOR THE MASS TRANSFER OF GASES INTO LIQUIDS | 1 |
Scott A. Lammers | US | Knoxville | 2010-01-07 / 20100003416 - Apparatus and Method for Making Preforms in Mold | 1 |
Matheus J. G. Lammers | NL | Eindhoven | 2011-06-09 / 20110134021 - METHOD AND APPARATUS FOR LED DRIVER COLOR-SEQUENTIAL SCAN | 1 |
Raymond H. Lammers | US | Colorado Springs | 2015-09-17 / 20150259948 - Lock Re-Pinning Assembly | 3 |
Todd Michael Lammers | US | Lafayette | 2015-07-30 / 20150213813 - PREAMPLIFIER FOR ADJUSTABLE WRITE CURRENT | 5 |
Mark Anthony Lammers | US | Delaware | 2011-05-05 / 20110099868 - Losok Valkyr Rifle | 2 |
Olaf Lammerschop | DE | Krefeld | 2014-08-21 / 20140232041 - INTERNAL MOLD RELEASE AGENTS FOR POLYURETHANE MATERIALS | 5 |
Olaf Lammerschop | US | Danville | 2011-12-08 / 20110301255 - EPOXIDE-BASED STRUCTURAL FOAM COMPRISING THERMOPLASTIC POLYURETHANES | 5 |
David F. Lammers-Meis | US | Olathe | 2012-09-13 / 20120231312 - METHOD, APPARATUS, AND SYSTEM FOR MOUNTING AN ELECTRONIC DEVICE | 3 |
Brent Lammert | US | Wilmington | 2012-12-13 / 20120314084 - CAMERAS WITH ON-BOARD REPORTING CAPABILITIES | 1 |
Gregory J. Lammert | US | Columbia | 2013-12-12 / 20130327762 - ADAPTABLE LAYERED HEATER SYSTEM | 1 |
Stephen A. Lammert | US | Glenburn | 2012-10-25 / 20120267523 - Miniature toroidal radio frequency ion trap mass analyzer | 1 |
Eckhard Lammert | DE | Dresden | 2010-01-28 / 20100021950 - SCREENING METHOD FOR ANTI-DIABETIC COMPOUNDS | 1 |
Eckhard Lammert | DE | Dusseldorf | 2015-03-26 / 20150087669 - Morphinan-derivatives for treating diabetes and related disorders | 1 |
Matthias Lammert | MX | Atizapan De Zaragoza | 2010-03-04 / 20100056422 - FRAGRANCE DISPENSER | 1 |
Erwin Lammertink | NL | Heemstede | 2015-07-09 / 20150192222 - Apparatus and Method for Laying Pipes | 1 |
Rob Gerhardus Hendrikus Lammertink | NL | Hengelo Ov | 2014-03-06 / 20140066855 - INTEGRATED MICRONEEDLE ARRAY AND A METHOD FOR MANUFACTURING THEREOF | 2 |
Marinus Christiaan Lammertink | NL | Heemstede | 2012-09-20 / 20120234549 - METHOD FOR REMOVING A HYDROCARBON PRODUCTION PLATFORM FROM SEA | 1 |
Piet Lammertse | NL | Amstelveen | 2015-11-19 / 20150328078 - REHABILITATION APPARATUS | 2 |
Pieter Lammertse | NL | Amstelveen | 2012-03-22 / 20120067354 - SKEWED-AXIS THREE DEGREE-OF-FREEDOM REMOTE-CENTER GIMBAL | 1 |
Mikko Lammi | IN | Bangalore | 2015-04-02 / 20150091487 - METHOD AND ARRANGEMENT FOR OPERATING A PUMP | 1 |
Esa Ensio Lammi | FI | Tampere | 2014-11-27 / 20140345331 - GLASS TEMPERING FURNACE | 2 |
Petri Juhani Lammi | FI | Kyroskoski | 2014-11-27 / 20140345331 - GLASS TEMPERING FURNACE | 2 |
Linda Lammi | US | North Reading | 2011-07-21 / 20110179154 - WEB HOSTING SERVICE BASED ON A COMMON SERVICE ARCHITECTURE AND THIRD PARTY SERVICES | 1 |
Jani Mikael Lammi | FI | Espoo | 2011-12-15 / 20110305138 - METHOD AND DEVICE FOR CLASSIFYING TRAFFIC FLOWS IN A PACKET-BASED WIRELESS COMMUNICATION SYSTEM | 1 |
Titta Lammi | FI | Imatra | 2013-03-07 / 20130056383 - CONTAINER FROM FIBRE BASED BOARD AND A METHOD FOR PRODUCING SUCH A CONTAINER | 1 |
Lari Lammi | FI | Pori | 2016-05-12 / 20160130753 - METHOD FOR RECOVERING HYDROLYSATE | 2 |
Esa Lammi | FI | Kangasala | 2010-02-11 / 20100031703 - CONVECTION HEATING FURNACE FOR HEATING A GLASS SHEET | 1 |
Mikko Lammi | FI | Kerava | 2015-04-02 / 20150093255 - METHOD AND ARRANGEMENT FOR CONTROLLING A SOLAR POWERED PUMP | 1 |
Matti Lammi | FI | Vanha-Ulvila | 2010-01-21 / 20100014955 - GRIPPING FOR LIFTING MATERIAL WEB ROLLS, PARTICULARLY PAPER- AND PAPERBOARD ROLLS | 1 |
Petri Lammi | FI | Kyroskoski | 2010-02-11 / 20100031703 - CONVECTION HEATING FURNACE FOR HEATING A GLASS SHEET | 1 |
Michael George Lamming | US | Los Altos | 2010-08-12 / 20100201573 - Ranging transceiver based activity monitoring system | 1 |
Frederick T. Lamming | US | Denver | 2015-12-10 / 20150356492 - MULTIPLE SIMULTANEOUS SESSION SUPPORT BY A REMOTE TECHNICIAN USING PRELIMINARY QUEUES | 6 |
Egbert Lamminger | DE | Soest | 2015-11-19 / 20150330441 - OPERATING DEVICE, IN PARTICULAR FOR A VEHICLE COMPONENT, AND METHOD FOR THE PRODUCTION THEREOF | 2 |
Urpo Lamminmaki | FI | Vanhalinna | 2013-02-21 / 20130045507 - Mutagenesis Method | 3 |
Urpo Lamminmäki | FI | Vanhalinna | 2013-02-21 / 20130045507 - Mutagenesis Method | 1 |
Urpo Lamminmäki | FI | Turku | 2011-12-08 / 20110300558 - NANOPARTICLE FOR BIOAFFINITY ASSAYS | 1 |
Jari Lamminparras | FI | Turku | 2010-11-18 / 20100290198 - Sliding Module With Electrical Connection Paths | 1 |
Risto Lammintausta | FI | Turku | / - | 3 |
Sascha Lammle | DE | Oberndorf | 2009-03-05 / 20090056570 - DEVICE FOR PRINTING FLAT WORK PIECES | 1 |
David H. Lammlein | US | Houston | 2012-01-26 / 20120022683 - LATERAL POSITION DETECTION AND CONTROL FOR FRICTION STIR SYSTEMS | 1 |
Robert Albert Lammlein, Jr. | US | Akron | 2010-06-24 / 20100154611 - TIRE COMPONENT CUTTER APPARATUS AND METHOD OF CUTTING | 2 |
Timothy R. Lammonds | US | Mineral | 2010-08-05 / 20100192930 - Scent Distributing Airgun | 1 |
Mouna Lamnaouer | US | Orlando | 2014-08-28 / 20140238029 - FLOW CONDITIONER IN A COMBUSTOR OF A GAS TURBINE ENGINE | 1 |
Angelo A. Lamola | US | Vallejo | 2015-11-12 / 20150323460 - Bilirubin Hematofluorometer and Reagent Kit | 2 |
Douglas Lamon | CA | Burlington | 2012-05-10 / 20120111414 - METHOD AND APPARATUS FOR RESERVOIR MIXING | 1 |
Keith Lamon | US | Ixonia | 2011-06-23 / 20110150126 - SYSTEMS AND METHODS FOR DIRECT CURRENT SYSTEM DIGITAL CARRIED MESSAGE CONVEYANCE | 2 |
Pierre Lamon | CH | Epalinges | 2014-07-10 / 20140190514 - FLOOR TREATMENT MACHINE AND METHOD FOR TREATING FLOOR SURFACES | 1 |
Maria Lamon | US | West Islip | 2010-01-14 / 20100005952 - Method and apparatus for teaching keyboard persons with developmental disabilities | 1 |
Raphael Lamon | CH | Feldmeilen | 2015-09-03 / 20150249892 - METHOD FOR OPERATING A BINAURAL HEARING SYSTEM AND BINAURAL HEARING SYSTEM | 1 |
Alain H. Lamon | FR | Angers | 2011-05-05 / 20110102739 - METHODS AND MACHINES FOR LENS DEBLOCKING | 1 |
Alain H. Lamon | FR | Maisons-Laffitte | 2010-12-16 / 20100316875 - FLEXIBLE EPOXY-BASED COMPOSITIONS | 2 |
Marco Lamonato | IT | Crocetta Del Montello/treviso | 2014-04-17 / 20140104856 - LIGHTING DEVICE | 2 |
Marco Lamonato | IT | Crocetta Del Montello (treviso) | 2013-04-18 / 20130094227 - Mounting device for lighting sources | 1 |
Marco Lamonato | IT | Crocetta Del Montello | 2015-02-12 / 20150043207 - LIGHTING DEVICE | 1 |
Angus Iain Lamond | GB | Dundee | 2014-09-18 / 20140275221 - TARGETING OF MIRNA PRECURSORS | 3 |
Darcie Lamond | US | Healdsburg | 2015-10-22 / 20150302761 - MANAGING HEALTH AND FITNESS USING SOCIAL NETWORKING APPLICATIONS | 1 |
Bruce John Lamond | US | Los Angeles | 2009-10-01 / 20090245691 - ESTIMATING POSE OF PHOTOGRAPHIC IMAGES IN 3D EARTH MODEL USING HUMAN ASSISTANCE | 1 |
Donald R. Lamond | US | Haworth | 2016-04-07 / 20160096717 - WIRELESS CONTROL SYSTEM FOR DISPENSING BEVERAGES FROM A BOTTLE | 6 |
Jacques Lamond | CA | Vaudreuil-Dorion | 2008-10-09 / 20080246974 - Portable Optical Measurement Assembly | 1 |
Robert Garwood Lamond | CA | Azilda | 2011-11-10 / 20110272402 - CONTAINER FOR FORMING A BARRIER IN AN ENCLOSED ENVIRONMENT | 2 |
Pierre R. Lamond | US | Atherton | 2013-05-23 / 20130125455 - FUEL BLOCK FOR HIGH TEMPERATURE ELECTROCHEMICAL DEVICE | 1 |
Daniel E. Lamone | US | Dublin | 2010-10-21 / 20100267855 - Method and Apparatus for Continuous Production of Partially Polymerized Compositions and Polymers Therefrom | 3 |
Nicola La Monica | IT | Promezia | 2008-12-18 / 20080311137 - Carcinoembryonic Antigen Fusions and Uses Thereof | 1 |
Nicola La Monica | US | Natick | 2012-07-12 / 20120177679 - MATRIX METALLOPROTEINASE 11 VACCINE | 2 |
Nicola La Monica | IT | Roma | 2009-06-18 / 20090155298 - Matrix Metalloproteinase 11 Vaccine | 1 |
Carole Lamonier | FR | Armentieres | 2011-09-22 / 20110230691 - LACUNARY KEGGIN TYPE HETEROPOLYANION BASED ON TUNGSTEN FOR HYDROCRACKING | 1 |
Matthew Lamons | US | Millstadt | 2016-04-07 / 20160098687 - SYSTEMS AND METHODS FOR PRIVATE SCHEDULE COORDINATION AND EVENT PLANNING | 1 |
Jason Lamons | US | Conifer | 2010-11-18 / 20100291513 - METHODS AND APPARATUS FOR TRAINING IN THE USE OF OPTICALLY-AIMED PROJECTILE-FIRING FIREARMS | 1 |
Mark Lamons | US | Lakeland | 2016-04-07 / 20160098687 - SYSTEMS AND METHODS FOR PRIVATE SCHEDULE COORDINATION AND EVENT PLANNING | 1 |
Carl Lamont | US | St. George | 2014-11-20 / 20140344062 - METHODS, DEVICES AND SYSTEMS FOR PROVIDING MOBILE ADVERTISING AND ON-DEMAND INFORMATION TO USER COMMUNICATION DEVICES | 1 |
Edward J. Lamont | US | Holland | 2011-11-17 / 20110278900 - SEAT STRUCTURES AND PROCESSES TO CREATE SEAT STRUCTURES | 1 |
Ivan J. Lamont | US | San Francisco | 2010-05-13 / 20100121878 - ARCHITECTURE FOR CREATING, ORGANIZING, EDITING, MANAGEMENT AND DELIVERY OF LOCATIONALLY-SPECIFIC INFORMATION TO A USER | 1 |
David C. Lamont | US | Calgary | 2011-10-27 / 20110259586 - WATER TREATMENT USING A DIRECT STEAM GENERATOR | 1 |
David C. Lamont | US | Houston | 2013-03-21 / 20130068458 - HEAT RECOVERY METHOD FOR WELLPAD SAGD STEAM GENERATION | 1 |
Lance Lamont | US | Brookfield | 2014-08-21 / 20140235216 - USING BODYCOM TO MODIFY THE CONFIGURATION OF A DEVICE BASED UPON CONTEXT | 3 |
Micheal Lamont | US | Hilliard | 2009-01-01 / 20090004074 - Hydrogen peroxide production in microchannel reactors | 1 |
Jason Bentley Lamont | CA | Ottawa | 2010-12-16 / 20100315232 - FIBER BRAGG GRATING PERIMETER SECURITY SYSTEM | 1 |
Gordon Lamont | CA | New Westminster | 2015-09-17 / 20150263260 - INPUT/OUTPUT SYSTEMS AND DEVICES FOR USE WITH SUPERCONDUCTING DEVICES | 3 |
David Lamont | CA | Calgary | 2015-12-24 / 20150369025 - DIRECT STEAM GENERATOR DEGASSING | 1 |
Robert G. Lamont | US | Van Nuys | 2016-05-12 / 20160129268 - Circuit Board for an Implantable Medical Device, and Method of Fabricating and Testing | 8 |
Lawrence W. Lamont | US | Indialantic | 2010-07-01 / 20100165910 - PHYSICAL LAYER REPEATER WITH ROAMING SUPPORT BASED ON MULTIPLE IDENTIFIERS | 1 |
Robert Lamont | US | Van Nuys | 2010-09-09 / 20100228324 - Electronic Identification of External Cables for External Medical Devices | 1 |
David C. Lamont | CA | Calgary | 2012-09-13 / 20120227964 - CARBON DIOXIDE GAS MIXTURE PROCESSING WITH STEAM ASSISTED OIL RECOVERY | 6 |
David C. Lamont | US | Bartlesville | 2011-03-24 / 20110067610 - WATER RECOVERY FROM FLUE GAS IN STEAM-ASSISTED PRODUCTION | 1 |
John Lamont | US | Mebane | 2015-12-31 / 20150381941 - Modular Connected Headrest | 1 |
Edward J. Lamont | US | Livonia | 2015-07-30 / 20150211085 - HEAT TREATMENT FOR VEHICLE SEAT STRUCTURES AND COMPONENTS | 2 |
Kristi Lamont | US | Denver | 2013-12-26 / 20130346119 - TECHNIQUES FOR BOOKING TRAVEL RESERVATIONS WHILE LEVERAGING TRAVEL WEBSITES | 1 |
Lafras Lamont | AU | West Australia | 2013-07-11 / 20130176648 - POLE-SLIP PROTECTION SYSTEM AND METHOD FOR SYNCHRONOUS MACHINES | 1 |
Luke Lamont | AU | North Lake, Western Australia | 2014-09-25 / 20140283400 - Levelling Device | 1 |
Micheal J. Lamont | US | Plain City | 2009-02-12 / 20090043141 - Oxidative coupling of methane | 1 |
Micheal Jay Lamont | US | Hilliard | 2010-03-18 / 20100068366 - MULTIPHASE MIXING PROCESS USING MICROCHANNEL PROCESS TECHNOLOGY | 1 |
John Victor Lamont | GB | Northern Ireland | 2010-02-25 / 20100047770 - Detection of Breast Cancer | 1 |
John Victor Lamont | GB | Co. Antrim | 2009-12-17 / 20090311671 - Diagnosis of risk of breast cancer | 1 |
John V. Lamont | GB | Crumlin | 2015-09-24 / 20150269311 - DIAGNOSIS AND RISK STRATIFICATION OF BLADDER CANCER | 3 |
John Lamont | GB | Northern Ireland | 2015-08-06 / 20150219670 - KIDNEY DISEASE BIOMARKER | 2 |
Matthew Gordon Lamont | AU | Subiaco | 2016-02-25 / 20160054465 - SEISMIC DATA SPECTRUM RESTORING AND BROADENING | 1 |
John Lamont | GB | Co Antrim | 2016-05-19 / 20160139147 - Method for Aiding Differential Diagnosis of Stroke | 1 |
John Lamont | GB | Crumlin | 2014-11-13 / 20140336283 - GENETIC FACTORS IN BLOOD PRESSURE | 3 |
John Victor Lamont | GB | Crumlin | / - | 1 |
John Victor Lamont | IE | Co.antrim | 2009-07-30 / 20090192107 - Breast Cancer Markers | 1 |
William Lamont | US | Tinton Falls | 2010-02-11 / 20100037287 - METHOD AND APPARATUS FOR PROVIDING ROUTING AND ACCESS CONTROL FILTERS | 1 |
William D. Lamont | US | Shelby Township | 2013-05-09 / 20130112086 - COOKING APPLIANCE HAVING INTEGRATED BATTER IMPRINTING SURFACES | 1 |
John V. Lamont | GB | Co Antrim | 2012-05-31 / 20120135886 - METHOD FOR DETECTION OF, OR THE RISK OF, BLADDER CANCER | 1 |
John Lamont | IE | Co. Antrim | 2008-08-28 / 20080206780 - Antibody Libraries | 1 |
Micheal Jay Lamont | US | Plain City | 2010-02-04 / 20100024645 - METHODS FOR APPLYING MICROCHANNELS TO SEPARATE GASES USING LIQUID ABSORBENTS, ESPECIALLY IONIC LIQUID (IL) ABSORBENTS | 2 |
Lawrence Wayne Lamont | US | San Diego | 2009-11-26 / 20090290526 - METHOD AND APPARATUS FOR MITIGATING OSCILLATION BETWEEN REPEATERS | 1 |
John V. Lamont | GB | Antrim | 2012-06-07 / 20120142548 - METHODS AND COMPOSITIONS FOR THE DIAGNOSIS AND PROGNOSIS OF ALZHEIMER'S DISEASE | 1 |
Luke Lamont | AU | North Lake | 2014-09-25 / 20140283400 - Levelling Device | 1 |
John Lamont | GB | Antrim | 2016-04-07 / 20160097780 - METHODS AND COMPOSITIONS FOR THE DIAGNOSIS OF ALZHEIMER'S DISEASE | 2 |
Robert Graham Lamont | US | Van Nuys | 2015-10-29 / 20150306399 - SYSTEM AND METHOD FOR ELECTRICAL PULSE CHARGE COMPENSATION FOR IMPLANTABLE MEDICAL DEVICE CAPACITANCE LOADING EFFECTS | 2 |
Robert G. Lamont | US | Van Nuys | 2016-05-12 / 20160129268 - Circuit Board for an Implantable Medical Device, and Method of Fabricating and Testing | 8 |
Marc Lamontagne | CA | Repentigny | 2013-04-04 / 20130081615 - REPLACEMENT LENS CARTRIDGE | 3 |
Joseph Lamontagne | US | Cheshire | 2010-03-25 / 20100072354 - TRUE COINCIDENCE SUMMING CORRECTION AND TOTAL EFFICIENCY COMPUTATION FOR RADIONUCLIDE SPECTROSCOPY ANALYSIS | 1 |
Bradley Allan Lamontagne | CA | Wawota | 2013-03-07 / 20130056281 - WELL BORE REAMER | 1 |
David Lamontagne | CA | Sherbrooks | 2010-07-01 / 20100162642 - INTERLOCKING MODULAR FLOORING ASSEMBLY WITH BEVELLED CONNECTORS | 1 |
Jérôme Lamontagne | FR | Manosque | 2014-11-06 / 20140326880 - DEVICE FOR ANALISING A RADIATING MATERIAL USING A MICROPROBE | 1 |
Donald R. Lamontagne | US | Avondale | 2009-02-12 / 20090043538 - Method and System for Transformer Dissolved Gas Harmonic Regression Analysis | 2 |
Marc Lamontagne | SA | Dhahran | 2013-07-04 / 20130173168 - Real-Time Dynamic Data Validation Apparatus and Computer Readable Media For Intelligent Fields | 2 |
Rick M. Lamontagne | US | Warrenton | 2014-09-18 / 20140265756 - DOOR FOR A REFRIGERATED MERCHANDISER | 4 |
Elizabeth Lamontagne | US | East Windsor | 2013-06-27 / 20130165830 - ORTHOSIS AND METHOD OF USE FOR TREATMENT AND REHABILITATION OF DROPFOOT | 1 |
Matthew Lamontagne | US | St. Clair | 2011-09-22 / 20110226190 - PET CRATE READILY CONVERTIBLE TO VARIOUS THEMED APPEARANCES | 1 |
Michael L. Lamontagne | US | Johnton | 2014-11-06 / 20140327927 - MOBILE PRINTER NETWORKING AND INTERFACING | 1 |
Jason Lamontagne | CA | Burnaby | 2015-12-17 / 20150361032 - BENZIMIDAZOLE INHIBITORS OF THE SODIUM CHANNEL | 2 |
Louis R. Lamontagne | CA | Orleans | 2008-10-09 / 20080249184 - Methods for modulating neuronal cell death | 1 |
Joel David Lamontagne | US | Huntington Beach | 2014-04-24 / 20140114742 - SYSTEM AND METHOD FOR QUALIFYING EVENTS BASED ON BEHAVIORAL PATTERNS AND TRAITS IN DIGITAL ENVIRONMENTS | 1 |
Gilles Lamontagne | CA | St-Jacques Le Majeur | 2012-09-13 / 20120227734 - METHOD AND SYSTEM FOR CONTROLLING LIQUID LEVELS | 1 |
Michael L. Lamontagne | US | Johnston | 2016-03-17 / 20160075156 - Printer Mobility and Scalability | 9 |
Louis Lamontagne | CA | Thetford Mines | 2013-01-31 / 20130025250 - FRONT MOUNT ROTARY CUTTER WITH DISCHARGE CHUTES | 3 |
Marc-Antoine Lamontagne | CA | Quebec | 2015-07-16 / 20150201169 - SYSTEM AND METHOD FOR SELECTING PORTIONS OF VIDEO DATA FOR HIGH QUALITY FEED WHILE CONTINUING A LOW QUALITY FEED | 1 |
Michael Lamontagne | US | Denton | 2013-12-19 / 20130334131 - IDENTIFICATION OF AND COMPOSITIONS CONTAINING POLYPHOSPHATE ACCUMULATING BACTERIA | 2 |
Donald R. Lamontagne | US | Surprise | 2013-01-24 / 20130024131 - METHOD AND SYSTEM FOR ESTIMATING TRANSFORMER REMAINING LIFE | 1 |
Joel David Lamontagne | US | Cocoa | 2009-05-28 / 20090138813 - SYSTEM AND METHOD FOR PROVIDING AN OBJECTIVE TO A USER | 2 |
Louis Lamontagne | US | 2013-09-05 / 20130230352 - POWER TAKE-OFF COUPLER COUNTERACTING AXIAL LOAD AND EQUIPMENT EQUIPPED THEREWITH | 1 | |
Elizabeth Lamontagne | US | Philadelphia | 2010-10-14 / 20100262044 - ORTHOSIS AND METHOD OF USE FOR TREATMENT AND REHABILITATION OF DROPFOOT | 1 |
Michael L. Lamontagne | US | Johnston | 2016-03-17 / 20160075156 - Printer Mobility and Scalability | 9 |
Mathieu Lamontagne | CA | Saint-Amable | 2015-07-16 / 20150200026 - SEALING OF A CRACK IN A POOL OF A NUCLEAR FACILITY, USING A ROBOT | 1 |
Frédéric Lamontagne | CA | Quebec | 2016-04-28 / 20160113212 - V-SHAPED LIGHT DISTRIBUTOR SYSTEM | 4 |
Kenneth Richard La Montagne | US | Morristown | 2014-10-09 / 20140303257 - Use of EDG Receptor Binding Agents in Cancer | 2 |
Joel David Lamontange | US | Huntington Beach | 2014-08-07 / 20140221102 - METHOD AND SYSTEM FOR RANDOMLY ALTERING INFORMATION AND CONTENT WITHIN WEB PAGES TO CREATE A NEW AND UNIQUE WEBSITE AND ONLINE GAME | 1 |
Larry R. Lamonte | US | Baton Rouge | 2014-12-25 / 20140373399 - EXCAVATION SYSTEM | 3 |
Mark Allan Lamontia | US | Landenberg | 2015-05-21 / 20150136294 - TIRE CONTAINING NOISE REDUCING FIBROUS LAYERS | 22 |
Mark A. Lamontia | US | Landenberg | 2009-01-01 / 20090004460 - Nanoparticle-Containing Thermoplastic Composites and Methods of Preparing Same | 1 |
Mark Allan Lamontia | US | Landenberg | 2015-05-21 / 20150136294 - TIRE CONTAINING NOISE REDUCING FIBROUS LAYERS | 22 |
Karen L. Lamora | US | Marion | 2015-08-13 / 20150227072 - LOW ENERGY CONSUMPTION MONOCHROME TONER FOR SINGLE COMPONENT DEVELOPMENT SYSTEM | 2 |
Delphine Lamoral-Theys | BE | Bruxelles | 2011-11-17 / 20110280940 - Di-Vanilloyl And Tri-Vanilloyl Derivatives For Use In Anti-Cancer Therapy | 1 |
Eileen M. Lamore | US | Grand Haven | 2016-04-28 / 20160115732 - SCREEN ASSEMBLY | 4 |
Michael John Lamore | US | 2009-08-13 / 20090202296 - Pivot Swivel Cable Barrier | 1 | |
George Lamore | US | San Jose | 2009-04-30 / 20090112137 - Exercise Device | 1 |
Michael John Lamore | US | Greensboro | 2012-04-19 / 20120093581 - Pivot Swivel Cable Barrier | 3 |
Michael Lamoreaux | US | Crossville | 2011-01-06 / 20110002878 - SCENTED SOIL FOR HUNTING AND TRAPPING | 1 |
Zachariah P. Lamoreaux | US | Burlington | 2011-09-29 / 20110238597 - Value builder method | 1 |
Tyson J. Lamoreaux | US | Seattle | 2016-03-24 / 20160087915 - CLUSTERED DISPERSION OF RESOURCE USE IN SHARED COMPUTING ENVIRONMENTS | 5 |
Travis Lamoreaux | CA | Burlington | 2014-12-18 / 20140367513 - WEIGHT REDUCING LANDING GEAR FEATURES | 1 |
Bret L. Lamoree | US | Snohomish | 2013-10-17 / 20130271299 - SECURING EMERGENCY AND SAFETY EQUIPMENT ON AIRCRAFT | 7 |
Bret L. Lamoree | US | Woodinville | 2013-02-21 / 20130043347 - Control System for Dimmable Windows | 3 |
Zachary Tate Lamoreux | US | Lanark | 2015-01-29 / 20150028616 - INDUSTRIAL CART WITH INTERCHANGEABLE ACCESSORIES | 2 |
Zachary Lamoreux | US | Lanark | 2014-02-06 / 20140035557 - NON-CONTACT, HAND-HELD VOLTAGE DETECTOR | 1 |
Joe Lamoreux | US | Austin | 2014-10-30 / 20140322567 - Battery Assemblies | 1 |
John E. Lamoreux | US | Plainfield | 2008-11-27 / 20080289873 - Network enclosure with removable and interchangeable sides | 1 |
Joseph Lamoreux | US | Austin | 2011-10-20 / 20110254560 - Electrical Systems and Battery Assemblies | 1 |
Mark C.h. Lamorey | US | South Burlington | 2015-02-12 / 20150044787 - SEMICONDUCTOR TEST AND MONITORING STRUCTURE TO DETECT BOUNDARIES OF SAFE EFFECTIVE MODULUS | 12 |
Mark C. Lamorey | US | Williston | 2016-03-10 / 20160071653 - PHASE CHANGE MATERIAL VARIABLE CAPACITOR | 8 |
Mark Lamorey | US | South Burlington | 2013-11-07 / 20130292817 - STRUCTURE AND METHOD FOR MONITORING STRESS INDUCED FAILURES IN INTERLEVEL DIELECTRIC LAYERS OF SOLDER BUMP INTEGRATED CIRCUITS | 5 |
Mark Curtis Hayes Lamorey | US | South Burlington | 2015-02-05 / 20150033554 - ORGANIC MODULE EMI SHIELDING STRUCTURES AND METHODS | 2 |
Mark C.h. Lamorey | US | South Burlington | 2015-02-12 / 20150044787 - SEMICONDUCTOR TEST AND MONITORING STRUCTURE TO DETECT BOUNDARIES OF SAFE EFFECTIVE MODULUS | 12 |
Mark C. H. Lamorey | US | Williston | 2015-09-03 / 20150247896 - CIRCUIT FOR DETECTING STRUCTURAL DEFECTS IN AN INTEGRATED CIRCUIT CHIP, METHODS OF USE AND MANUFACTURE AND DESIGN STRUCTURES | 3 |
Mark C. H. Lamorey | US | South Burlington | 2015-07-23 / 20150206835 - METHOD, STRUCTURES AND METHOD OF DESIGNING REDUCED DELAMINATION INTEGRATED CIRCUITS | 10 |
Mark C. Lamorey | US | South Burlington | 2015-09-10 / 20150255405 - CHAMFERED CORNER CRACKSTOP FOR AN INTEGRATED CIRCUIT CHIP | 8 |
Mark C. Lamorey | US | South Burlington | 2015-09-10 / 20150255405 - CHAMFERED CORNER CRACKSTOP FOR AN INTEGRATED CIRCUIT CHIP | 8 |
Mark C. Lamorey | US | Williston | 2016-03-10 / 20160071653 - PHASE CHANGE MATERIAL VARIABLE CAPACITOR | 8 |
Mark C. H. Lamorey | US | Essex Junction | 2010-04-01 / 20100080042 - INTEGRATING NONVOLATILE MEMORY CAPABILITY WITHIN SRAM DEVICES | 1 |
Mark C. H. Lamorey | US | South Burlington | 2015-07-23 / 20150206835 - METHOD, STRUCTURES AND METHOD OF DESIGNING REDUCED DELAMINATION INTEGRATED CIRCUITS | 10 |
Vito Lamorte | US | Pawling | 2016-05-05 / 20160124247 - Head Alignment Eyewear Devices for Sports Applications and Methods of Using the Same | 1 |
Vincent Lamorte | US | Pawling | 2016-05-05 / 20160124247 - Head Alignment Eyewear Devices for Sports Applications and Methods of Using the Same | 1 |
Joseph Lamorte | US | Brooklyn | 2008-09-25 / 20080229673 - RAINWATER "REAL TIME" FLOW INDICATOR AND DEBRIS COLLECTION DEVICE FOR GUTTER DOWNSPOUT | 1 |
Michael D. Lamorte | US | Frankfort | 2012-08-30 / 20120219926 - Cleaning Device for Teeth and Mouth, and Cleaning Methods | 2 |
Damaso Lamos | US | Reading | 2010-09-30 / 20100244408 - Foldable Stroller and Fold Interlock Mechanism | 1 |
Shane M. Lamos | US | Winooski | 2012-01-26 / 20120022230 - IONIZABLE ISOTOPIC LABELING REAGENTS FOR RELATIVE QUANTIFICATION BY MASS SPECTROMETRY | 1 |
Andre' Joseph Lamothe | US | Austin | 2014-05-08 / 20140123989 - DEVICE AND METHOD FOR VAPORIZING A FLUID | 2 |
Martin Lamothe | CA | Laprairie | 2010-09-30 / 20100246788 - Multi-Point to Multi-Point Intercom System | 1 |
Eric J. Lamothe | US | Goodrich | 2014-06-26 / 20140179449 - CRIMPLESS BOOT | 1 |
André Lamothe | US | Austin | 2015-02-19 / 20150047661 - VAPOR DELIVERY DEVICE | 1 |
Jean Lamothe | CA | Drummondville | 2015-11-12 / 20150320264 - TOOTHBRUSH HOLDER APPARATUS | 1 |
Marc W. Lamothe | CA | Ontario | 2011-11-03 / 20110268526 - Injection Molded Torque Nut with Internal Recession | 1 |
Serge Lamothe | CA | Boisbriand | 2014-06-19 / 20140171507 - AMINO ACID DERIVATIVES FOR THE TREATMENT OF NEUROPATHIC PAIN | 3 |
Robert Lamothe | US | Cambridge | 2011-05-12 / 20110110965 - COMPOSITIONS THAT INDUCE T CELL HELP | 1 |
Marie Lamothe | FR | Castres | 2016-03-24 / 20160083420 - DERIVATIVES OF DOLASTATIN 10 AND AURISTATINS | 7 |
Eric James Lamothe | US | Goodrich | 2014-01-23 / 20140024466 - Over-Molded Vent Valve | 2 |
Denis Lamothe | CA | Drummondville | 2015-11-12 / 20150320264 - TOOTHBRUSH HOLDER APPARATUS | 1 |
Marc W. Lamothe | CA | Sudbury | 2012-06-21 / 20120155970 - Mine Roof Bolt With End Fitting | 2 |
Ricardo Panero Lamothe | ES | Malaga | 2011-10-13 / 20110252019 - PROJECT MANAGEMENT SYSTEM | 2 |
Eric Lamothe | US | Goodrich | 2011-01-27 / 20110021277 - Articulation Stop | 2 |
Richard P. Lamothe | US | Burlington | 2015-01-29 / 20150028546 - PROJECTILE CONTAINMENT SYSTEM | 4 |
Eric James Lamothe | US | Clinton Township | 2010-11-11 / 20100285891 - DIRECT TORQUE FLOW CONSTANT VELOCITY JOINT FACE SPLINE CONNECTOR | 1 |
Philippe Lamothe | CA | Gatineau | 2014-11-13 / 20140337538 - METHOD AND SYSTEM FOR SYNCHRONIZATION BETWEEN NETWORK ELEMENTS | 3 |
Christian Lamothe | CH | Travers | 2008-08-28 / 20080204333 - Device for checking energy received by an antenna for preventing data corruption during a write operation in a non volatile transponder memory | 1 |
Michelle Lamothe | US | Maple Grove | 2012-08-16 / 20120209688 - SYSTEMS AND METHODS FOR MULTI-PLATFORM TRANSACTION CARD ACCESS AND MANAGEMENT | 1 |
Ghislain Lamothe | CA | Saint-Lazare | 2010-03-25 / 20100074586 - DEFORMABLE THERMAL PADS FOR OPTICAL FIBERS | 1 |
Richard Lamotte | GB | Perthshire | 2008-12-18 / 20080310998 - Liquid Flow Assays Utilising a Combined Detection and Control Zone | 1 |
Sandrine Lamotte | FR | Saint Michel En Greve | 2013-07-04 / 20130173799 - ENRICHMENT, MANAGEMENT OF MULTIMEDIA CONTENT AND SETTING UP OF A COMMUNICATION ACCORDING TO ENRICHED MULTIMEDIA CONTENT | 1 |
Thomas S. Lamotte | US | Brookfield | 2010-01-07 / 20100000602 - Photovoltaic Cell with Efficient Finger and Tab Layout | 1 |
Yann Lamotte | FR | Les Ulis | 2015-08-27 / 20150238480 - QUINOLINONE DERIVATIVES | 5 |
Johann Lamotte | FR | Beaumont Sur Oise | 2012-10-18 / 20120261326 - FILTER DEVICE | 2 |
Dennis Lamotte | US | Ashland City | 2013-12-19 / 20130333307 - AWNING BRACKETS AND PANEL | 2 |
Francis Lamotte | FR | Bernin | 2015-11-19 / 20150334221 - USER INTERFACE DEVICE | 1 |
Lucille Lamotte | FR | Lachassagne | 2012-08-09 / 20120201391 - DEVICE FOR LOCALIZING ACOUSTIC SOURCES AND/OR MEASURING THEIR INTENSITIES | 1 |
Jean-Louis Lamotte | FR | Plaisance Du Touch | 2008-09-18 / 20080228454 - Method and Device for Simulating Bending of a Tube | 1 |
Ronald A. La Motte | US | Mountain View | 2009-01-08 / 20090013279 - Form-field mask for sensitive data | 1 |
Ross La Motte-Mohs | CA | Toronto | 2011-11-10 / 20110274671 - HUMAN PROGENITOR T-CELLS | 1 |
Said Lamou | NL | Breda | 2013-11-07 / 20130295361 - BINDER FOR MAT OF FIBERS, ESPECIALLY MINERAL FIBERS, AND PRODUCTS OBTAINED | 1 |
Damien Lamouche | FR | Crosne | 2015-10-01 / 20150282351 - HOUSING INCLUDING A SEALED HEADING | 1 |
Guy Lamouche | CA | Montreal | 2015-02-26 / 20150055130 - Multilayered Tissue Phantoms, Fabrication Methods, and Use | 2 |
Stephane Lamouche | FR | Meyzieu | 2008-12-18 / 20080309027 - Static Seal | 1 |
Stephane Lamouche | FR | Meyzien | 2013-06-27 / 20130161250 - STATIC SEAL WITH INTEGRATED SCREEN OR FILTER ELEMENT | 1 |
Frédéric Lamour | FR | Colombes | 2013-06-13 / 20130147571 - Balanced Feed Bushing Device for Antennas | 1 |
Francois Lamour | FR | Brest | 2008-11-20 / 20080286294 - Nodavirus-Vlp Immunization Composition | 1 |
Frédéric Lamour | FR | Colombes | 2013-06-13 / 20130147571 - Balanced Feed Bushing Device for Antennas | 1 |
Sabastien Lamour | FR | Levallois Perret | 2008-12-04 / 20080299338 - Package for Confectionary Product | 1 |
Fabrice Lamour | FR | Passy | 2009-11-19 / 20090286198 - HAND HELD DENTAL INSTRUMENT WITH FILTRATION DEVICE | 1 |
Benoit Andre Lamour | GB | London | 2014-11-13 / 20140337203 - EXCHANGE FOR PHYSICALS | 1 |
Jean-Marie Lamour | FR | Paris | 2010-07-22 / 20100183110 - PACKAGING FOR THE TRANSPORTATION AND/OR STORAGE OF NUCLEAR MATERIALS WHICH INCLUDES RADIOLOGICAL PROTECTION MADE OF LEAD CAST OVER A METALLIC FRAMEWORK | 1 |
Tobias Paul Lamour | DE | Ostrach-Waldeuren | 2010-12-30 / 20100328761 - OPTICAL APPARATUS | 1 |
Annie Lamour | US | Seattle | 2011-01-27 / 20110022412 - DISTILLATION AND USE OF HETEROGENEOUS HEALTH DATA | 1 |
Irene Lamour | FR | Vannes Cedex | 2014-10-23 / 20140315864 - ASSOCIATION OF MILIACIN AND POLAR LIPIDS, PARTICULARLY SPHINGOLIPIDS AND/OR PHOSPHOLIPIDS, FOR HAIR AND SCALP CARE | 1 |
Gary A. Lamoureaux | US | Woodbury | 2010-08-19 / 20100210892 - IMPLANTS INCLUDING SPACERS FOR USE IN BRACHYTHERAPY AND OTHER RADIATION THERAPY THAT RESIST MIGRATION AND ROTATION | 1 |
Benjamin Pierre Lamoureux | FR | Moissy-Cramayel Cedex | 2013-06-06 / 20130139520 - METHOD FOR MONITORING A CONTROL DEVICE OF A FUEL METERING VALVE OF A TURBOJET ENGINE | 1 |
M. Serge Lamoureux | CA | Magog | 2010-12-02 / 20100300345 - FLOATING DOCK AND DOCK UNIT FOR MAKING SUCH | 1 |
Louis-Philippe Lamoureux | BE | Brussels | 2014-08-07 / 20140219443 - GENERATION OF CRYPTOGRAPHIC KEYS | 2 |
M. Alexandre Lamoureux | CA | Magog | 2010-12-02 / 20100300345 - FLOATING DOCK AND DOCK UNIT FOR MAKING SUCH | 1 |
Martin Lamoureux | CA | Saint-Basile-Le-Grand | 2015-04-02 / 20150091261 - Dual Inline Hydraulic Device | 1 |
Gaël Lamoureux | FR | Le Boullay Thierry | 2010-10-21 / 20100266702 - Particles containing an active agent in the form of a co-precipitate | 2 |
Alexandre Lamoureux | CA | Montreal | 2015-03-05 / 20150061201 - FLUIDIC CONTROL BURNER FOR PULVEROUS FEED | 1 |
Louis-Philippe Lamoureux | CA | Ottawa | 2015-03-26 / 20150089230 - RANDOM NUMBER DISTRIBUTION | 1 |
Bob Lamoureux | US | Wellesley Hills | 2014-08-07 / 20140222707 - DISTRIBUTED COMMERCE SYSTEM | 4 |
Philip Lamoureux | US | Succasunna | 2012-10-11 / 20120257597 - Method Of Providing Hand-Off Hysteresis For Subnet Borders | 6 |
Gregory Micheal Lamoureux | CA | New Liskeard | 2010-12-02 / 20100303301 - Inter-Frame Motion Detection | 1 |
Marc A. Lamoureux | US | Leicester | 2015-11-26 / 20150336240 - BONDED ABRASIVE ARTICLE AND METHOD OF GRINDING | 4 |
Kenneth E. Lamoureux | US | Bulverde | 2010-01-14 / 20100006128 - Apparatus for Walking Assistance | 1 |
Gaël Lamoureux | FR | Le Boullay Thierry | 2010-10-21 / 20100266702 - Particles containing an active agent in the form of a co-precipitate | 2 |
John Lamoureux | US | Franklin | 2015-09-03 / 20150246296 - METHOD OF FRACTION COLLECTION FOR A LIQUID CHROMATOGRAPHY SYSTEM | 6 |
Laurent Lamoureux | FR | Ribecourt Dreslincourt | 2015-05-28 / 20150147110 - BASE FOR VEHICLE GLAZING UNIT, GLAZING UNIT, METHOD FOR MANUFACTURING THE GLAZING UNIT, AND USE OF THE BASE | 3 |
Steven H. Lamoureux | US | Boston | 2014-11-20 / 20140344013 - METHOD AND APPARATUS FOR INTERACTIVE EVOLUTIONARY OPTIMIZATION OF CONCEPTS | 2 |
Richard Lamoureux | CA | Rawdon | 2015-03-05 / 20150060388 - PLUG FOR CLOSING THE NECK OF A CONTAINER | 3 |
Benjamin Pierre Lamoureux | FR | Paris | 2015-10-08 / 20150285093 - METHOD FOR MONITORING AN IGNITION SEQUENCE OF A TURBOMACHINE ENGINE | 2 |
François Lamoureux | FR | Breze | 2014-03-20 / 20140080895 - COMBINATION OF ANTI-CLUSTERIN OLIGONUCLEOTIDE WITH HSP90 INHIBITOR FOR THE TREATMENT OF PROSTATE CANCER | 1 |
Michael G. Lamoureux | US | 2014-09-18 / 20140279622 - SYSTEM AND METHOD FOR SEMANTIC PROCESSING OF PERSONALIZED SOCIAL DATA AND GENERATING PROBABILITY MODELS OF PERSONAL CONTEXT TO GENERATE RECOMMENDATIONS IN SEARCHING APPLICATIONS | 1 | |
Michael G. Lamoureux | CA | Williamswood | 2014-09-18 / 20140279622 - SYSTEM AND METHOD FOR SEMANTIC PROCESSING OF PERSONALIZED SOCIAL DATA AND GENERATING PROBABILITY MODELS OF PERSONAL CONTEXT TO GENERATE RECOMMENDATIONS IN SEARCHING APPLICATIONS | 1 |
Gael Lamoureux | FR | Paris | 2013-07-18 / 20130183382 - Method for preparing pharmaceutical compositions intended for oral administration comprising one or more active ingredients and the compositions comprising same | 1 |
Louis Lamoureux | US | Hinsdale | 2014-09-18 / 20140278874 - SYSTEMS AND METHODS FOR PROMOTING DAILY DEALS | 1 |
Steven Howard Lamoureux | US | Boston | 2012-09-13 / 20120233037 - SYSTEM AND METHOD FOR CONCEPT DEVELOPMENT | 5 |
Yann Lamoureux | FR | Biot | 2016-05-05 / 20160125327 - DYNAMIC PACKAGING FOR RE-ACCOMMODATION | 1 |
Gary A. Lamoureux | US | Woodbury | 2015-10-22 / 20150297872 - APPARATUS AND METHOD FOR AIDING ORGAN TREATMENT | 14 |
Richard Lamoureux | US | 2012-05-03 / 20120103927 - Threadless Cap with Non Integral Seal | 1 | |
Jules Pierre Lamoureux | CA | St-Lazare | 2011-07-14 / 20110170580 - SIGNAL PROCESSING UNIT AND METHOD, AND CORRESPONDING TRANSCEIVER | 1 |
Alfred A. Lamoureux, Jr. | US | Hoover | 2015-02-12 / 20150045381 - COMPOSITIONS AND METHODS FOR SUSTAINED ENERGY AND ENHANCED WEIGHT LOSS | 1 |
Violaine Lamoureux-Var | FR | Chatou | 2015-12-03 / 20150346179 - METHOD OF ASSESSING AT LEAST ONE PETROLEUM CHARACTERISTIC OF A ROCK SAMPLE | 4 |
Abbas Lamouri | US | Aurora | 2010-12-30 / 20100327729 - INFRARED HALOGEN LAMP WITH IMPROVED EFFICIENCY | 2 |
Paul C. Lamourie | US | Naperville | 2014-09-11 / 20140250742 - DRAGHEAD SYSTEM FOR USE IN DREDGING OR THE LIKE | 1 |
Christopher E. Lamourine | US | Columbia | 2012-12-13 / 20120313752 - SMART LOCK SYSTEM | 3 |
Timmie Wayne Lamouroux | US | Cobb Island | 2011-11-24 / 20110284602 - LIFTING TRUCK MOUNTED TOOLBOXES | 1 |
Franck Lamouroux | FR | Le Taillan | 2015-03-19 / 20150075428 - Device for Loading Porous Substrates of Three-Dimensional Shape in Order to be Densified by Directed Flow Chemical Vapor Infiltration | 1 |
Franck Lamouroux | FR | Le Taillan Medoc | 2015-08-06 / 20150218693 - Chemical Vapour Infiltration Apparatus Having a High Loading Capacity | 3 |
Dzianis Lamouski | DE | Jena | 2015-12-03 / 20150346471 - METHOD FOR THE IMAGE-BASED CALIBRATION OF MULTI-CAMERA SYSTEMS WITH ADJUSTABLE FOCUS AND/OR ZOOM | 1 |
Peter Lamp | DE | Kaufering | 2014-05-29 / 20140147767 - Sealing assembly for a fuel cell stack and method for manufacturing a fuel cell stack | 2 |
Mary Amanda Lamp | US | Waunakee | 2010-05-20 / 20100124591 - Food Package for Segregating Ingredients of a Multi-Component Food Product | 3 |
Jürgen Lamp | EE | Harju | 2011-12-08 / 20110301492 - MULTI-CHANNEL IMPEDANCE CARDIOGRAPHY AND METHOD OF MULTI-CHANNEL IMPEDANCE CARDIOGRAPHY | 1 |
Greg Lamp | US | New York | 2015-01-22 / 20150026082 - Process for Automating Compliance with Know Your Customer Requirements | 3 |
Torsten Lamp | DE | Dusseldorf | 2009-03-19 / 20090074028 - Converter with a Container for Receiving Molten Metal and with a Measurement Device for the Optical Temperature Determination of the Molten Metal and Method for the Temperature Determination in such a Converter | 1 |
Mark L. Lamp | US | Houston | 2009-11-19 / 20090285466 - Method, Computer Software, And System For Tracking, Stabilizing, And Reporting Motion Between | 1 |
Tami Deanna Lamp | US | Bellevue | 2015-12-17 / 20150361384 - METHOD AND APPARATUS FOR MAKING SOAP | 2 |
Peter Lamp | DE | Unterfoehring | 2013-09-26 / 20130252035 - Energy Storage Device for a Motor Vehicle | 1 |
Benjamin Lamp | AT | Vienna | 2015-10-15 / 20150290314 - MARKER VACCINE | 1 |
Joachim Lamp | DE | Halsbrucke | 2009-01-29 / 20090025294 - Simultaneous gasification of coals of widely differing degrees of coalification in entrained flow gasification | 2 |
Shauna L. Lamp | US | Alliance | 2012-08-09 / 20120199283 - RFID TAG ASSEMBLY AND METHOD OF MANAGING A RACE | 3 |
Peter Lampacher | AT | Innsbruck | 2014-01-09 / 20140012071 - Symmetric Magnet Arrangement for Medical Implants | 8 |
Koen Lampaert | US | Tustin | 2010-06-24 / 20100162187 - Mixed-Height High Speed Reduced Area Cell Library | 1 |
Ralf Lampalzer | DE | Erlangen | 2008-09-18 / 20080225303 - Method and Apparatus for the Three-Dimensional Measurement of the Shape and the Local Surface Normal of Preferably Specular Objects | 1 |
Ralf Lampalzer | DE | Witten | 2014-05-08 / 20140124682 - Method for Optical 3D Measurement of Teeth With Reduced Point Spread Function | 1 |
Markus Lampalzer | DE | Feucht | 2013-08-22 / 20130213183 - ARRANGEMENT FOR AN INTERNAL COMBUSTION ENGINE | 2 |
Ralf Lampalzer | DE | Bochum | 2012-08-30 / 20120221309 - DEVICE AND METHOD FOR REGISTERING 3D MEASUREMENT DATA OF JAW MODELS IN A BASAL SKULL-REFERENCED COORDINATE SYSTEM WITH THE AID OF A COMPUTER-SUPPORTED REGISTRATION SYSTEM | 1 |
Chris Lampard | GB | Slough | 2011-01-13 / 20110009523 - Triglyceride Macromonomers | 2 |
George J. Lampard | US | Oceanside | 2016-01-21 / 20160015147 - Storage and lubrication device for safety razors | 1 |
David G. Lampard | US | Greensboro | 2016-01-21 / 20160015147 - Storage and lubrication device for safety razors | 1 |
Monika Lamparska-Przyhysz | PL | Warszawa | 2009-10-22 / 20090264510 - Double helical oligonucleotides interfering with mRNA used as effective anticancer agents | 1 |
Christof Lamparski | DE | Mittelbiberach | 2012-08-30 / 20120219448 - ADJUSTABLE ROTARY PUMP WITH REDUCED WEAR | 3 |
Friedrich Lampart | CH | Heiden | 2012-11-01 / 20120272683 - METHOD AND APPARATUS FOR SHAPING THE FLOOR OF A GLASS VESSEL | 2 |
Ronald C. Lamparter | MI | Grosse Pointe Shores | 2015-10-08 / 20150283925 - BLAST ATTENUATION SEAT | 1 |
Ronald C. Lamparter | US | Grosse Pointe Shores | 2015-10-22 / 20150300785 - ENERGY ABSORBING DEVICE FOR A SEAT OF A VEHICLE | 9 |
Bernd Lamparter | DE | Kirchheim/teck-Nabern | 2014-02-20 / 20140048036 - INTERNAL COMBUSTION ENGINE | 1 |
Steffen Lamparter | DE | Munchen | 2012-03-29 / 20120078769 - METHOD, AGENT AND COMPUTER PROGRAM PRODUCT FOR STRATEGY SELECTION IN AUTONOMOUS TRADING AGENTS | 2 |
Ronald C. Lamparter | US | Grosse Pointe Shores | 2015-10-22 / 20150300785 - ENERGY ABSORBING DEVICE FOR A SEAT OF A VEHICLE | 9 |
Bernd Lamparter | DE | Heidelberg | 2011-09-01 / 20110212723 - METHOD FOR SUPPORTING NETWORK BASED MOBILITY FOR A MOBILE TERMINAL IN AN IMS (IP MULTIMEDIA SUBSYSTEM) ARCHITECTURE | 1 |
Oliver Lamparter | CH | Zurich | 2015-05-21 / 20150138943 - Digital Subscriber Line Access Multiplexer for Sending Communication Signals to Customer Devices | 1 |
Steffen Lamparter | DE | Feldkirchen | 2016-02-25 / 20160054720 - INTELLIGENT PROGRAMMABLE LOGIC CONTROLLER | 8 |
Matthias Lamparter | DE | Metzingen | 2012-11-01 / 20120273112 - PRODUCTION OF TAPE GOODS HAVING DIAGNOSTIC AID | 1 |
Peter Lamparter | DE | Jena | 2012-12-13 / 20120314219 - DEVICE FOR REFERENCED MEASUREMENTS OF REFLECTED LIGHT AND A METHOD FOR CALIBRATING SUCH A DEVICE | 2 |
Steffen Lamparter | DE | Muenchen | 2013-07-25 / 20130190913 - PRODUCTION MANAGEMENT FOR MANUFACTURING EXECUTION SYSTEMS | 2 |
Steffen Lamparter | DE | Feldkirchen | 2016-02-25 / 20160054720 - INTELLIGENT PROGRAMMABLE LOGIC CONTROLLER | 8 |
Iris Lamparth | CH | Grabs | 2014-11-06 / 20140329929 - DENTAL MATERIALS BASED ON MONOMERS HAVING DEBONDING-ON-DEMAND PROPERTIES | 6 |
Iris Lamparth | DE | Grabs | 2011-03-03 / 20110054066 - USE OF POLYMERIZABLE MACROCYCLIC POLYETHERS AND MACROCYCLIC HETEROANALOGOUS POLYETHERS IN DENTAL MATERIALS | 1 |
Marios Lampaskis | CY | Nicosia | 2010-11-25 / 20100298710 - THERAPY ASSESSMENT WITH ULTRASOUND CONTRAST AGENTS | 2 |
Margaret M. Lampazzi | US | Woodbury | 2011-10-20 / 20110254706 - Methods and Systems Involving Viewing Angles in Aircraft Displays | 1 |
Aaron Lampe | CA | Toronto | 2014-05-08 / 20140124172 - COOLED FLYWHEEL APPARATUS | 1 |
Christopher A. Lampe | US | Cedar Falls | 2010-04-01 / 20100077959 - AIRLESS SPRAY GUN VIRTUAL COATINGS APPLICATION SYSTEM | 1 |
Matthew Lampe | US | Winston-Salem | 2015-08-20 / 20150230515 - TOBACCO-CONTAINING GEL COMPOSITION | 1 |
Johannes Lampe | DE | Berlin | 2011-08-11 / 20110196620 - OPPORTUNITY SECTOR ANALYSIS TOOL | 1 |
John W. Lampe | US | Cary | 2013-10-24 / 20130281485 - BRIDGED BICYCLIC RHO KINASE INHIBITOR COMPOUNDS, COMPOSITIONS AND USE | 13 |
Rainer Lampe | DE | Dinklage | 2014-05-22 / 20140140782 - DRILL BIT | 1 |
John W. Lampe | US | Dedham | 2013-07-04 / 20130172324 - METHOD FOR TREATING CARDIOVASCULAR DISEASES USING RHO KINASE INHIBITOR COMPOUNDS | 4 |
Jason P. Lampe | US | Breese | 2011-12-01 / 20110295627 - System and Method for Calculating an Order Flowthrough | 1 |
Johanna Lampe | FI | Helsinki | / - | 2 |
Carolus Benediktus Wilhelmus Lampe | NL | Den Haag | 2011-04-14 / 20110083257 - DISPENSING UNIT | 1 |
Frank Lampe | DE | Guxhagen | / - | 1 |
Karl Lampe | DE | Ennigerioh | 2009-12-10 / 20090305180 - PLANT AND METHOD FOR THE PRODUCTION OF CEMENT CLINKER | 1 |
Siegmar Lampe | DE | Bremen | 2010-03-04 / 20100052199 - Method for the operation of a production plant to produce an extrudate | 2 |
Mattias Lampe | CN | Beijing | 2015-10-29 / 20150312685 - A DYNAMIC HEARING AID SYSTEM AND A METHOD FOR CONFIGURING THE HEARING AID SYSTEM | 7 |
Joshua Lampe | US | Jenkintown | 2016-03-24 / 20160083637 - METHOD FOR FREEZING AND THAWING ICE PARTICULATE FLUIDS | 2 |
Holger Lampe | DE | Langweid | 2013-03-07 / 20130056589 - EXHAUST PIPE ASSEMBLY AND METHOD OF FASTENING A SHEET-METAL TAB TO AN EXHAUST PIPE | 1 |
Thomas Lampe | DE | Dusseldorf | 2015-06-04 / 20150152050 - N-[3-(2-CARBOXYETHYL)PHENYL]PIPERIDIN-1-YLACETAMIDE DERIVATIVES AND USE THEREOF AS ACTIVATORS OF SOLUBLE GUANYLATE CYCLASE | 19 |
Mattias Lampe | CN | Wolfenbuettel | 2011-07-21 / 20110176467 - Communication Method, System and Network Nodes in a Low Power Communication Network | 1 |
Ulrich Lampe | DE | Darmstadt | 2015-10-29 / 20150312305 - METHOD, SYSTEM AND APPARATUS FOR THE TRANSMISSION AND ADAPTION OF DATA | 1 |
Rachel Lampe | US | Bellevue | 2016-03-17 / 20160073614 - System and Method for Detection of Lameness in Sport Horses and other Quadrupeds | 1 |
Urs Andrin Lampe | CH | Zollikon | 2009-12-24 / 20090314831 - AUTHENTICATION SYSTEM | 1 |
Alexander Lampe | GB | Redhill Surrey | 2009-06-04 / 20090144461 - METHOD AND SYSTEM FOR CONFIGURATION OF A HARDWARE PERIPHERAL | 1 |
Charles Lampe | US | Silver Springs | 2015-04-23 / 20150109448 - METHOD AND APPARATUS TO TRANSMIT VIDEO DATA | 1 |
John William Lampe | US | Norfolk | 2014-11-06 / 20140329799 - AMINOPYRIMIDINONES AS INTERLEUKIN RECEPTOR-ASSOCIATED KINASE INHIBITORS | 1 |
Lorraine Lampe | US | West Chester | 2015-10-01 / 20150272846 - Sprayable Sunscreen Compositions and Methods | 1 |
Alexander Lampe | DE | Leipzip | 2009-01-15 / 20090015344 - Avoidance of Discontinuities When Switching Between Modulation Schemes | 1 |
Ranier Lampe | DE | Dinklage | 2011-07-14 / 20110168453 - ROCK DRILL BIT | 1 |
Kyle Lampe | GB | London | 2015-05-21 / 20150141140 - User-Defined Channel | 1 |
Joshua Lampe | US | Philadelphia | 2009-09-03 / 20090221894 - MINIMALLY INVASIVE VESSEL LOCATION | 2 |
Steven W. Lampe | US | Westlake Village | 2010-12-23 / 20100320224 - System for Avoiding Excessive Pressure while Discharging Compressed Gas Cylinders | 2 |
Jochen Lampe | DE | Hurtgenwald | 2014-10-23 / 20140316994 - ELECTRONIC TRANSACTION METHOD | 1 |
Thomas Lampe | DE | Leverkusen | 2013-11-28 / 20130316999 - SUBSTITUTED OXAZOLIDINONES AND THEIR USE IN THE FIELD OF BLOOD COAGULATION | 1 |
Robert Lampe | DE | Dietenhofen | 2016-02-04 / 20160033579 - DYNAMO-ELECTRIC MACHINE WITH INSULATION MONITORING | 1 |
Kyle Lampe | GB | Potters Bar | 2015-05-21 / 20150139613 - AUDIO-VISUAL PROJECT GENERATOR | 1 |
Lutz Hans-Joachim Lampe | CA | Vancouver | 2015-09-17 / 20150264665 - ABBREVIATED BLIND DETECTION IN WIRELESS COMMUNICATION SYSTEMS INCLUDING LTE | 5 |
Dietrich Lampe | DE | Hamburg | 2014-09-25 / 20140287672 - MIXER ASSEMBLY AND METHOD FOR OPERATING A MIXER ASSEMBLY | 1 |
Charles Lampe | US | Silver Spring | 2011-08-25 / 20110205364 - METHOD AND APPARATUS TO TRANSMIT VIDEO DATA | 1 |
Hansjörg Lampe | DE | Nurnberg | 2010-12-02 / 20100300501 - DISHWASHER WITH CONDUCTIVITY MEASUREMENT | 1 |
Alexander Lampe | CH | Domat/ems | 2015-12-10 / 20150352765 - METHOD FOR LOW-STRESS INJECTION MOULDING OF AMORPHOUS OR MICROCRYSTALLINE POLYAMIDES AND ALSO CORRESPONDINGLY PRODUCED LOW-STRESS POLYAMIDE MOULDED ARTICLES | 1 |
Jana B. Lampe | US | Denton | 2015-10-22 / 20150299238 - SYNTHESIS AND USE OF PRODRUG COMPLEXES OF COBALT IN POLYMER THERAPEUTICS | 1 |
Lutz Lampe | CA | Vancouver | 2013-09-12 / 20130234834 - APPARATUS AND METHOD FOR PROCESSING RFID SIGNALS | 1 |
Tempe Lampe | US | Raleigh | 2011-03-24 / 20110068947 - MOBILE METER READING FOR LOCATING STOLEN UTILITY METERS | 1 |
Chris Lampe | US | Cedar Falls | 2009-08-13 / 20090202975 - VIRTUAL BLASTING SYSTEM FOR REMOVAL OF COATING AND/OR RUST FROM A VIRTUAL SURFACE | 1 |
Kristopher O. Lampe | US | South Milwaukee | 2011-11-17 / 20110280700 - TRANSFER DEVICE | 1 |
Kyle Douglas Lampe | US | Bellevue | 2016-03-17 / 20160073614 - System and Method for Detection of Lameness in Sport Horses and other Quadrupeds | 2 |
John K. Lampe | US | Saint Paul | 2009-04-16 / 20090099496 - PRESSURE BANDAGE WITH MEDICATION DELIVERY SYSTEM | 1 |
Hansjörg Lampe | DE | Nurnberg | 2013-01-10 / 20130008477 - METHOD FOR FILLING A WASH TUB OF A DISHWASHER WITH WATER | 5 |
Mark Lampe | US | Scottsdale | 2014-04-10 / 20140101249 - Systems and Methods for Managing and Presenting Information | 1 |
Joshua W. Lampe | US | Philadelphia | 2011-04-21 / 20110088413 - SYSTEM AND METHOD FOR PRODUCING AND DETERMINING COOLING CAPACITY OF TWO-PHASE COOLANTS | 2 |
Matthias Lampe | DE | Wolfenbuettel | 2011-02-17 / 20110037575 - Method and Apparatus for Providing Energy to Passive Tags in a Radio-frequency Identification System | 2 |
John Lampe | US | Norfolk | 2014-07-10 / 20140194404 - AMIDOPYRAZOLE INHIBITORS OF INTERLEUKIN RECEPTOR-ASSOCIATED KINASES | 1 |
Hansjoerg Lampe | DE | Nuernberg | 2014-06-05 / 20140150831 - DISHWASHER | 1 |
Steve Lampe | US | Westlake Village | 2013-09-12 / 20130236845 - GRADUAL OXIDATION WITH HEAT CONTROL | 7 |
Mattias Lampe | DE | Wolfenbuettel | 2012-11-15 / 20120287783 - LOAD BALANCING METHOD FOR A WIRELESS COMMUNICATION SYSTEM | 7 |
Thomas Lampe | DE | Wuppertal | 2013-01-03 / 20130005701 - SUBSTITUTED OXAZOLIDINONES AND THEIR USE IN THE FIELD OF BLOOD COAGULATION | 3 |
Jochen Lampe | DE | Hurtgemwald | 2015-04-30 / 20150120568 - ELECTRONIC TRANSACTION METHOD | 1 |
Frederick G. Lampe | US | Granite Falls | 2010-10-21 / 20100264137 - Smart hybrid intermodal recyclable shipping container, and method and apparatus therefor | 1 |
Jeffrey L. Lampe | US | Rocheport | 2015-02-19 / 20150047094 - Camouflage For Day And Night Use | 3 |
Uwe Lampe | DE | Buxtehude | 2010-08-12 / 20100200104 - Fuel System for a Floating Unit, and Method for the Operation Thereof | 4 |
Alexander Lampe | DE | Leipzig | 2010-12-02 / 20100302083 - TRANSMITTER WITH DELAY MISMATCH COMPENSATION | 4 |
John Lampe | US | Hamilton | 2011-10-06 / 20110240938 - ADJUSTABLE COLUMN SYSTEM AND METHOD | 1 |
John Karl Lampe | US | St. Paul | 2016-02-18 / 20160044909 - Dispenser for Dispensing Foam such as Foam Herbicides | 3 |
Caspar Bernard Lampe | NL | Luchthaven Schiphol | 2014-12-25 / 20140374192 - PORTABLE LADDER WITH A STAND OFF DEVICE | 3 |
Matt Lampe | US | Kansas City | 2015-11-26 / 20150336886 - METHOD FOR PHASE TRANSFER SYNTHESIS OF ORGANIC PEROXIDES | 1 |
Karl Lampe | DE | Ennigerloh | 2015-10-29 / 20150307782 - Multi-Level Furnace and Method for Thermal Treatment of a Material Flow | 2 |
Dietrich Lampe | DE | Dresden | 2014-10-09 / 20140302765 - MIXING DEVICE WITH REDUCED RISK OF ICING | 2 |
Lukas Lampe | US | Clifton Park | 2016-01-28 / 20160029195 - PERSONAL SECURITY ALERT AND MONITORING APPARATUS | 1 |
Karl Lampe | US | Ennigerloh | 2015-11-26 / 20150336845 - METHOD AND SYSTEM FOR INCREASING THE CALORIFIC VALUE OF A MATERIAL FLOW CONTAINING CARBON | 1 |
Frederick Lampe | US | Conover | 2013-09-12 / 20130233755 - TRANSPORTATION SHIPPING CONTAINER | 1 |
Georges Lampel | FR | Paris | 2008-09-04 / 20080210864 - Local Injector of Spin-Polarized Electrons with Semiconductor Tip Under Light | 2 |
Kari Juhani Lampela | US | Duluth | 2008-10-02 / 20080236771 - SYSTEM AND METHOD FOR CONTROLLING A PROCESSOR INCLUDING A DIGESTER UTILIZING TIME-BASED ASSESSMENTS | 1 |
Juha Lampela | FI | Oulu | 2011-09-22 / 20110230269 - METHOD AND DEVICE FOR SUPPORTING WIRELESS MULTI-PLAYER GAMING WITH A MULTI-PLAYER GAME HUB | 1 |
Michael D. Lampell | US | Novato | 2013-05-23 / 20130130803 - ALLOWING MEDIA AND GAMING ENVIRONMENTS TO EFFECTIVELY INTERACT AND/OR AFFECT EACH OTHER | 5 |
Michael Dale Lampell | US | Woodside | 2016-03-24 / 20160086387 - Avatar Editing Environment | 3 |
Mike Lampell | US | Woodside | 2013-09-19 / 20130246601 - APPLICATION PROGRAMMING INTERFACE, SYSTEM, AND METHOD FOR COLLABORATIVE ONLINE APPLICATIONS | 7 |
Patrik Lampén | FI | Helsinki | 2010-10-21 / 20100265845 - Wireless Local Area Network, Adapter Unit and Equipment | 1 |
Patrik Lampén | FI | Helsinki | 2010-10-21 / 20100265845 - Wireless Local Area Network, Adapter Unit and Equipment | 1 |
Stefan Lampenscherf | DE | Poing | 2015-12-03 / 20150345313 - TILE FOR FABRICATION AND REPAIR OF THERMAL BARRIERS | 15 |
Maria Christina Lampe-Onnerud | US | Wilton | 2015-10-01 / 20150280185 - Lithium Ion Battery | 1 |
Christina M. Lampe-Onnerud | US | Framingham | 2011-05-19 / 20110115434 - ELECTRONICS WITH MULTIPLE CHARGE RATE | 6 |
Christina Lampe-Onnerud | US | Framingham | 2008-11-20 / 20080286460 - Lithium metal oxide materials and methods of synthesis and use | 1 |
Daniel Leonard Lamper | US | Richmond | 2014-05-15 / 20140136477 - CONDITIONING PROCESS MONITOR AND ASSESMENT SYSTEM AND METHOD | 1 |
Gerald Lampert | US | Hopkinton | 2016-05-19 / 20160140014 - APPARATUS AND METHOD FOR DISTRIBUTED INSTRUCTION TRACE IN A PROCESSOR SYSTEM | 2 |
Shalom Lampert | IL | Maalot | 2014-05-08 / 20140127653 - LANGUAGE-LEARNING SYSTEM | 9 |
David L. Lampert | US | Monticello | 2015-11-05 / 20150314157 - RANGE OF MOTION MACHINE AND METHOD AND ADJUSTABLE CRANK | 2 |
Jon Kenneth Lampert | US | Garland | 2011-03-31 / 20110076886 - ELECTRICAL CONNECTOR ASSEMBLY | 5 |
Bernhard Lampert | US | Rougemont | 2015-07-23 / 20150203519 - NUCLEOSIDE PHOSPHONATE SALTS | 2 |
Keith L. Lampert | US | Hollywood | 2015-08-20 / 20150235286 - On-Line System and Method for Leveraging Purchasing Power | 5 |
Russ Lampert | US | Sunnyvale | 2014-09-18 / 20140272439 - LOW EMBODIED ENERGY WALLBOARD | 1 |
Jordan Keith Lampert | DE | Ludwigshafen | 2015-04-30 / 20150118560 - PROCESS FOR PRODUCING ELECTRODE MATERIALS | 25 |
Rico Lampert | US | Plymouth | 2010-09-09 / 20100224019 - DRIVER UNIT WITH A THRUST WASHER AND METHOD FOR MANUFACTURING THE SAME | 2 |
Johannes Lampert | DE | Woerthsee | 2013-12-26 / 20130340399 - Filter Device Having an Annular Filter Element | 1 |
Daniel Scott Lampert | US | Anna | 2010-08-12 / 20100203219 - FRYING SHORTENING COMPOSITIONS HAVING IMPROVED FRYING PERFORMANCE | 1 |
Christopher J. Lampert | US | Lake Oswego | 2010-05-13 / 20100119990 - ENDODONTIC INSTRUMENT AND INSTRUMENT SYSTEM | 1 |
Alexander Lampert | DE | Olbronn-Duerrn | 2011-12-29 / 20110317274 - COUPLING DEVICE FOR DETACHABLY CONNECTING AN EYEPIECE OF AN ENDOSCOPE OPTICAL SYSTEM WITH A CAMERA LENS | 1 |
Patrick Lampert | LI | Mauren | 2015-12-24 / 20150369639 - DEVICE HOUSING FOR A MEASURING DEVICE | 2 |
Chet A. Lampert | US | Sunrise | 2015-10-29 / 20150310729 - METHOD AND SYSTEM TO INCREASE PROTECTION OF PERSONNEL DURING K9 DEPLOYMENTS | 3 |
Chris J. Lampert | US | / - | 1 | |
Rico Lampert | US | Farmington Hills | 2009-06-11 / 20090145714 - Load-Torque Lock | 1 |
Christian Lampert | DE | Bruchsal | 2015-10-15 / 20150295412 - ENERGY PRODUCTION SYSTEM WITH ENERGY STORE AND METHOD FOR OPERATING AN ENERGY PRODUCTION SYSTEM | 2 |
Hugo Lampert | CH | Diessenhofen | 2015-09-24 / 20150265784 - Insufflation System And Method For Operating The Insufflation System | 1 |
Johannes Lampert | DE | Remseck | 2010-02-11 / 20100032359 - Oil Filter, Oil Separator and Filter Insert Thereof | 4 |
Erez Lampert | IL | Nes Ziona | 2014-12-25 / 20140375997 - CALCULATING THE OPTICAL DENSITY OF A FLUID TRAVELING THROUGH A GAP | 1 |
Bernhard M. Lampert | US | Rougemont | 2015-11-19 / 20150329575 - PHOSPHONATE ESTER DERIVATIVES AND METHODS OF SYNTHESIS THEREOF | 3 |
Jordan Keith Lampert | DE | Ludwigshafen | 2015-04-30 / 20150118560 - PROCESS FOR PRODUCING ELECTRODE MATERIALS | 25 |
Zoltan Lampert | DE | Troisdorf | 2012-01-05 / 20120003884 - CONTACT ELEMENT WITH AN ELECTRONICALLY CONDUCTIVE SPRING ELEMENT, PLUG CONNECTOR AND SPRING ELEMENT | 3 |
Christopher James Lampert | US | Portland | 2011-10-20 / 20110256501 - Delivery syringe for flowable dental compound | 1 |
Christoph Lampert | CH | Zurich Zh | 2012-07-19 / 20120184718 - BISPECIFIC DEATH RECEPTOR AGONISTIC ANTIBODIES | 1 |
Johannes Lampert | DE | Landshut | 2016-05-05 / 20160121242 - Filter Element and Method for Producing the Same | 1 |
William V. Lampert | US | Raleigh | 2012-05-17 / 20120122736 - SENSORS INCORPORATING ANTIBODIES AND METHODS OF MAKING AND USING THE SAME | 1 |
Ernest Paul Lampert | US | Carlsbad | 2011-07-14 / 20110171534 - Long lasting high current density charging & discharging, temperature-resistant batteries and related methods | 1 |
Edward S. Lampert | US | Bay Harbor | 2015-10-22 / 20150302474 - SYSTEM AND METHOD FOR PROVIDING DYNAMIC PRODUCT OFFERINGS | 3 |
Keith Lampert | US | Hollywood | 2012-12-13 / 20120316988 - METHOD AND SYSTEM FOR ONLINE SEARCHING AND PURCHASING OF MULTIPLE PRODUCTS SIMULTANEOUSLY | 1 |
Jordan K. Lampert | DE | Ludwigshafen | 2011-10-13 / 20110250508 - MIXED LITHIUM NICKEL COBALT OXIDE AND LITHIUM NICKEL MANGANESE COBALT OXIDE CATHODES | 1 |
Andrew Lampert | AU | Marsfield | 2012-09-27 / 20120245925 - METHODS AND DEVICES FOR ANALYZING TEXT | 1 |
Eddie Lampert | US | Greenwich | 2013-09-05 / 20130231990 - METHODS AND SYSTEMS FOR PROVIDING PERSONAL SHOPPING SERVICES | 1 |
Jon Kenneth Lampert | US | Allen | 2016-02-11 / 20160043506 - ELECTRICAL CONNECTOR ASSEMBLY | 2 |
Erez Lampert | IL | Rehovot | 2016-04-28 / 20160113742 - CONFOCAL SURFACE TOPOGRAPHY MEASUREMENT WITH FIXED FOCAL POSITIONS | 3 |
Jordan Lampert | CA | Richmond Hill | 2012-06-28 / 20120166241 - SYSTEMS AND METHODS FOR RFID SUPPLY CHAIN MANAGEMENT | 1 |
William V. Lampert | US | Durham | 2011-08-18 / 20110199102 - CHEMICAL SENSORS AND METHODS FOR MAKING AND USING THE SAME | 1 |
Kathrin Lampert | DE | Ballstadt | 2012-09-06 / 20120225507 - SUSPENSIONS FOR PROTECTING SEMICONDUCTOR MATERIALS AND METHODS FOR PRODUCING SEMICONDUCTOR BODIES | 1 |
Len Lampert | CA | Calgary | 2011-08-25 / 20110206563 - CIRCULATING FLUID BED REACTOR WITH IMPROVED CIRCULATION | 1 |
Shalom Lampert | IL | Maalot | 2014-05-08 / 20140127653 - LANGUAGE-LEARNING SYSTEM | 9 |
Ran Lampert | IL | Rishon Le Zion | 2011-02-03 / 20110029950 - COMPUTER-READABLE MEDIA, METHODS AND COMPUTER SYSTEMS FOR DESIGNING A SOFTWARE APPLICATION GRAPHICALLY | 1 |
Len F. Lampert | CA | Thornhill | 2008-12-25 / 20080314413 - Cyclone cleaning device and method | 1 |
Peter Lampert | AT | Feldkirch | 2015-06-25 / 20150181675 - POWER FACTOR CORRECTION CIRCUIT, OPERATING DEVICE FOR A LIGHT-EMITTING MEANS AND METHOD FOR CONTROLLING A POWER FACTOR CORRECTION CIRCUIT | 2 |
Michael Lamperth | GB | Woking | 2014-05-29 / 20140145528 - AXIAL FLUX ELECTRICAL MACHINES | 1 |
Michael Ulrich Lamperth | GB | Surrey | 2010-08-05 / 20100198051 - ACTUATORS | 2 |
Michael Ulrich Lamperth | GB | Woking | 2011-01-13 / 20110006631 - ROTOR FOR AN ELECTRICAL MACHINE | 4 |
Elias Lampes | US | Lynnfield | 2011-08-04 / 20110189009 - MOUNTING APPARATUS FOR LOW-DUCTILITY TURBINE SHROUD | 1 |
Matthew P. Lampey | US | Frederick | 2013-03-07 / 20130057878 - PRINT CHANNEL IDENTIFICATION MECHANISM | 1 |
William W. Lamph | US | La Jolla | 2009-04-09 / 20090093546 - Method and Pharmaceutical Compositions for Treatment of Anti-Estrogen Resistant Breast Cancer Using RXR Modulators | 1 |
Nicolaas Lamphen | NL | Vianen | 2009-07-09 / 20090173922 - APPARATUS FOR LIFTING OR PULLING A LOAD | 1 |
Lacee L. Lamphere | US | El Paso | 2013-03-21 / 20130068105 - MODIFIED WET SCRUBBER FOR REMOVAL OF AIRBORNE TONER | 2 |
David G. Lamphere | US | Framingham | 2015-01-29 / 20150032145 - METHOD AND APPARATUS FOR RESTRICTING FLOW THROUGH AN OPENING IN THE SIDE WALL OF A BODY LUMEN, AND/OR FOR REINFORCING A WEAKNESS IN THE SIDE WALL OF A BODY LUMEN, WHILE STILL MAINTAINING SUBSTANTIALLY NORMAL FLOW THROUGH THE BODY LUMEN | 6 |
Michael Scott Lamphere | US | Hooksett | 2013-02-28 / 20130048612 - METHODS AND SYSTEMS FOR MONITORING AND CONTROLLING ELECTROEROSION | 6 |
Lacee Lee Lamphere | US | El Paso | 2009-04-23 / 20090103942 - FLUID BASED DECOMMISSIONING AND RECYCLING OF PRINTER TONER CARTRIDGES | 2 |
Craig F. Lamphere | US | Woodbury | 2009-01-15 / 20090017727 - STRUCTURED ABRASIVE WITH OVERLAYER, AND METHOD OF MAKING AND USING THE SAME | 1 |
Daniel E. Lamphere | US | Hibbing | 2012-02-23 / 20120043314 - SYSTEM AND METHOD FOR RECOVERING MINERALS | 5 |
Alan Lamphier | US | Elk Rapids | 2009-10-15 / 20090256674 - Programmable Selective Wake-Up for Radio Frequency Transponder | 1 |
Marc Lamphier | US | North Andover | 2010-12-02 / 20100305098 - METHODS OF USE | 1 |
Steven H. Lamphier | US | Colchester | 2013-06-13 / 20130148455 - FINE GRANULARITY POWER GATING | 4 |
Keith A. Lampi | US | Corvallis | 2015-12-17 / 20150360983 - WATER REUSE SYSTEM AND METHOD | 4 |
Gorazd Lampic | SI | Ljubljana | 2015-05-21 / 20150137669 - MECHANICAL SYSTEM FOR A SIMPLE AND ACCURATE TO ASSEMBLE IN-WHEEL ELECTRIC MOTOR | 2 |
Theodore Lampidis | US | Miami | 2013-07-18 / 20130184330 - COMPOSITIONS AND METHODS FOR INDUCING CANCER CELL DEATH | 3 |
Theodore J. Lampidis | US | Miami | 2011-07-28 / 20110183926 - TREATMENT USING CONTINUOUS LOW DOSE APPLICATION OF SUGAR ANALOGS | 2 |
Erkki Lampila | FI | Nakkila | 2008-10-23 / 20080256768 - Method and Apparatus for Manufacturing Nonwoven Fabric | 1 |
Maxime Lampilas | FR | Saint Cloud | 2011-10-06 / 20110245254 - HETEROCYCLIC COMPOUNDS AS INHIBITORS OF BETA-LACTAMASES | 3 |
Maxime Lampilas | FR | Paris | 2012-08-23 / 20120215007 - METHOD FOR PREPARING ENANTIOMERICALLY ENRICHED N-CARBOXYANHYDRIDE | 5 |
Maxime Lampilas | FR | Romainville | 2011-09-01 / 20110213147 - New heterocyclic compounds, their preparation and their use as medicaments, in particular as anti-bacterial agents | 4 |
Maxime Lampilas | FR | St. Cloud | 2009-01-15 / 20090018329 - NOVEL HETEROCYCLIC COMPOUNDS, THEIR PREPARATION AND THEIR USE AS MEDICAMENTS, IN PARTICULAR AS ANTIBACTERIALS AND BETA-LACTAMASE INHIBITORS | 1 |
Quentin Lampin | FR | Grenoble | 2015-09-17 / 20150264711 - COMMUNICATIONS METHOD, A COMMUNICATIONS MANAGEMENT METHOD, AND ASSOCIATED DEVICES AND NODES | 2 |
Patrick Lampin | FR | Fontainebleau | 2008-10-16 / 20080253404 - DYNAMIC TIME DIVISION MULTIPLEXING CIRCUIT WITHOUT A SHADOW TABLE | 1 |
Jean-Francois Fernand Lampin | FR | Templeuve | 2010-02-11 / 20100033709 - INTEGRATED TERAHERTZ ANTENNA AND TRANSMITTER AND/OR RECEIVER, AND A METHOD OF FABRICATING THEM | 1 |
Jean-François Lampin | FR | Templeuve | 2012-10-18 / 20120261577 - Light Mixer for Generating Terahertz Radiation | 1 |
Ari Pekka Lampinen | AU | North Rocks | 2015-03-05 / 20150063706 - ALIGNMENT OF START AND END OF DASHED CURVES | 1 |
Marko Lampinen | FI | Oulu | 2016-02-11 / 20160044523 - DEVICES AND METHODS RELATED TO CONTROLLING UE ASSUMPTION OF INTERFACE | 7 |
Riku Lampinen | FI | Helsinki | 2016-02-18 / 20160046463 - ELEVATOR | 11 |
Harri Lampinen | FI | Tampere | 2015-12-24 / 20150372529 - POWER LOSS DETECTION FOR WIRELESS CHARGING | 1 |
Mikko Lampinen | FI | Tampere | 2015-11-19 / 20150333724 - ORIENTATION AGNOSTIC MILLIMETER-WAVE RADIO LINK | 1 |
Johanna Lampinen | FI | Tampere | 2010-12-16 / 20100317770 - NATURAL FIBRE BASED COMPOSITE MATERIAL | 1 |
Lasse Lampinen | FI | Tampere | 2015-05-28 / 20150146979 - TECHNIQUES TO REDUCE COLOR ARTIFACTS IN A DIGITAL IMAGE | 1 |
Henna Lampinen | FI | Jyvaskyla | 2015-10-08 / 20150284911 - MOLDABLE FIBROUS PRODUCT AND METHOD OF PRODUCING THE SAME | 1 |
Anders Lampinen | SE | Sodertalje | 2011-06-16 / 20110139402 - COOLING SYSTEM FOR A VEHICLE DRIVEN BY A COMBUSTION ENGINE | 1 |
Riku Lampinen | FI | Helsinki | 2016-02-18 / 20160046463 - ELEVATOR | 11 |
Harri Armas Lampinen | FI | Tampere | 2014-06-26 / 20140177197 - Multi-Layered Magnetic Shields | 2 |
Rami Lampinen | FI | Tampere | 2009-04-02 / 20090084511 - Method and Apparatus for Processing Wood Chips | 1 |
Marko Karl Juhani Lampinen | FI | Oulu | 2011-04-14 / 20110085519 - Spreading Code Allocation | 1 |
Henna Lampinen | FI | Espoo | 2010-08-19 / 20100209725 - METHOD OF MODIFYING PAPER AND CARDBOARD | 1 |
Karl Marko Juhani Lampinen | FI | Oulu | 2015-12-17 / 20150365178 - SYSTEM, METHOD, AND APPARATUS FOR SIGNALING INTEFERING CELL INFORMATION | 14 |
Karl Marko Juhani Lampinen | FI | Oulu | 2015-12-17 / 20150365178 - SYSTEM, METHOD, AND APPARATUS FOR SIGNALING INTEFERING CELL INFORMATION | 14 |
John Lampinen | US | Des Plaines | 2016-01-28 / 20160024561 - COMPOSITIONS AND METHODS FOR THE DETECTION AND ANALYSIS OF MYCOBACTERIUM TUBERCULOSIS | 1 |
Kenneth Ellis Nichol Lampinen | FI | Espoo | 2013-06-13 / 20130151518 - Graphical objects bonding society system and method of operation for a game | 4 |
Michael R. Lamping | US | Cincinnati | 2016-01-28 / 20160022305 - ULTRASONIC BLADE OVERMOLD | 14 |
Erwin Lamping | NZ | Dunedin | 2009-06-04 / 20090143308 - Yeast membrane protein expression system and its application in drug screening | 1 |
Jeff Lamping | US | Snohomish | 2014-11-13 / 20140333456 - AIRCRAFT TIRE PRESSURE LOOP LINK | 4 |
Matthias Lamping | DE | Aachen | 2010-12-02 / 20100300069 - CONTROL OF A MOTOR VEHICLE INTERNAL COMBUSTION ENGINE | 2 |
Matthias Lamping | DE | Friesoythe | 2011-05-26 / 20110120416 - MULTI-FUEL DIESEL INTERNAL COMBUSTION ENGINE | 1 |
Michael R. Lamping | US | Cincinnati | 2016-01-28 / 20160022305 - ULTRASONIC BLADE OVERMOLD | 14 |
John Lamping | US | Los Altos | 2013-03-07 / 20130060768 - ORDERING OF SEARCH RESULTS BASED ON LANGUAGE AND/OR COUNTRY OF THE SEARCH RESULTS | 3 |
Michael Joseph Lamping | US | Cincinnati | 2015-08-27 / 20150239696 - Process for Initiating a Web Winding Process | 2 |
John Ogden Lamping | US | Los Altos | 2016-04-07 / 20160098488 - Deriving and Using Interaction Profiles | 4 |
Norbert Lamping | DE | Hannover | 2010-04-22 / 20100098635 - MODULAR ANTIGEN TRANSPORTER MOLECULES (MAT MOLECULES) FOR MODULATING IMMUNE REACTIONS, ASSOCIATED CONSTRUCTS, METHODS AND USES | 1 |
Giulia Lampis | IT | Guspini (cagliari) | 2009-03-05 / 20090057660 - METHOD OF FABRICATING SUBSTRATELESS THIN FILM FIELD-EFFECT DEVICES AND AN ORGANIC THIN FILM TRANSISTOR OBTAINABLE BY THE METHOD | 1 |
Stephan Lampl | CH | Luechingen | 2010-02-11 / 20100035213 - Dental Kit and Method for Retracting Sulcus | 1 |
Stephan Lampl | CH | Altstatten | 2008-11-20 / 20080286719 - Retraction Thread With Improved Absorbency | 1 |
Stephan Lampl | CH | Luchingen | 2014-05-15 / 20140134572 - Polymeric Material for Taking a Dental Impression and Method Thereof | 2 |
Ian A. Lampl | US | Washington | 2013-10-31 / 20130290143 - LOAN SYNDICATION MARKETPLACE | 1 |
Clint W. Lampley | US | High Point | 2014-11-13 / 20140331630 - END OF CUT SPEED CONTROL SYSTEM | 1 |
Leonard A. Lampley | US | Fairview | 2009-04-16 / 20090097919 - DIAMOND TROWEL BLADE | 1 |
Justin Lampley | US | Sylmar | 2014-12-18 / 20140366390 - Organizational Device | 1 |
Sidney J. Lampley | US | Monroe | 2012-06-28 / 20120159852 - DOOR OPERATOR | 3 |
Glenn Lampley | US | Raleigh | 2014-11-06 / 20140330441 - TECHNIQUES TO DETERMINE SETTINGS FOR AN ELECTRICAL DISTRIBUTION NETWORK | 1 |
Richard Lampman | CA | Ontario | 2013-08-29 / 20130220233 - WILDLIFE EXCLUSION SYSTEMS AND METHODS FOR RAILWAY TRACKS | 1 |
Brian Lampman | US | Aptos | 2015-06-25 / 20150175237 - BICYCLE FORK HAVING LOCK-OUT, BLOW-OFF, AND ADJUSTABLE BLOW-OFF THRESHOLD | 4 |
Richard Lampman | CA | Aylmer | 2015-10-08 / 20150282471 - WILDLIFE EXCLUSION COMPOSITION AND ASSEMBLY | 1 |
Brian Emery Lampman | US | Aptos | 2012-04-19 / 20120091683 - BICYCLE ASSEMBLY WITH FORK AND METHOD OF MANUFACTURING | 2 |
Linda Lampman | US | San Diego | 2011-02-03 / 20110024371 - COMPUTER RETAIL DISPLAY STAND | 2 |
Brian Lampman | US | Santa Cruz | 2013-09-26 / 20130249181 - BICYCLE FORK HAVING LOCK-OUT, BLOW-OFF, AND ADJUSTABLE BLOW-OFF THRESHOLD | 3 |
Tracy Eugene Lampman | US | Torrington | 2010-02-04 / 20100028100 - TIE-DOWN DISPENSER | 1 |
Steven Michael Lampo | US | Lincoln University | 2014-08-07 / 20140216652 - Ballistic Panels and Method of Making the Same | 4 |
Pierre-Yves Lampo | CH | Morrens | 2015-06-04 / 20150151124 - ELECTRICAL STIMULATION DEVICE AND METHOD FOR THERAPEUTIC TREATMENT AND PAIN MANAGEMENT | 4 |
Matthew Michael Lampo | US | Ballston Lake | 2014-05-01 / 20140117670 - SYSTEM AND METHOD FOR GENERATING ELECTRIC POWER | 1 |
Pierre-Yves Lampo | CH | Bretigny-Sur-Morrens | 2014-09-18 / 20140267662 - PERSONALIZED IMAGE-BASED GUIDANCE FOR ENERGY-BASED THERAPEUTIC DEVICES | 1 |
Pierre-Yves Lampo | CH | Florrens | / - | 1 |
Aki Lamponen | FI | Kempele | 2011-12-08 / 20110299703 - MINIATURE TRANSDUCER ASSEMBLY WITH INTEGRATED DE-COUPLING COILS | 1 |
Leslie B. Lamport | US | Palo Alto | 2010-01-21 / 20100017495 - Fast Byzantine Paxos | 2 |
Ronald B. Lamport | US | Pelham | 2015-12-10 / 20150352014 - Jejunal Feeding Tube And Delivery System | 9 |
Ronald B. Lamport | US | Pelham | 2015-12-10 / 20150352014 - Jejunal Feeding Tube And Delivery System | 9 |
Tobias P. Lamprecht | CH | Berneck | 2015-12-03 / 20150346446 - METHOD AND SPACER FOR ASSEMBLING FLEXIBLE OPTICAL WAVEGUIDE RIBBONS, AND ASSEMBLED STACK OF SUCH RIBBONS | 9 |
Ben Lamprecht | US | Mountain View | 2011-03-03 / 20110050852 - STEREO TELESTRATION FOR ROBOTIC SURGERY | 1 |
Terry Ray Lamprecht | US | Bartonville | 2015-01-29 / 20150027009 - TOOL RETENTION SYSTEM HAVING CAM-DRIVEN KEYS | 1 |
Juergen Lamprecht | DE | Erlangen | 2015-05-21 / 20150138540 - SYSTEMS AND METHODS FOR THE INSPECTION OF CONTACT LENSES | 1 |
Thorsten Lamprecht | DE | Konstanz | 2009-04-30 / 20090110284 - System and Method for Sorting Objects Using OCR and Speech Recognition Techniques | 1 |
Ines Lamprecht | DE | Seesen | 2012-05-31 / 20120132033 - METAL POWDER | 2 |
Justus Lamprecht | DE | Dusslingen | 2011-05-05 / 20110100708 - CABLE SLEEVE FOR A HAND-HELD POWER TOOL | 5 |
Sabine Lamprecht | DE | Nussloch | 2014-05-01 / 20140122144 - Initiative and Project Management | 1 |
Frank Lamprecht | DE | Berlin | 2012-07-05 / 20120172074 - Method of controlling noise rise in a cell | 3 |
Sabine Lamprecht | DE | Walldorf | 2010-06-17 / 20100153158 - PROVIDING PROJECT MANAGEMENT SOFTWARE APPLICATION AS ENTERPRISE SERVICES | 2 |
Michael Lamprecht | AT | Maeder | 2012-08-16 / 20120205570 - VALVE FOR INTERRUPTING A FLOW PATH IN A SUBSTANTIALLY GAS-TIGHT MANNER | 1 |
Sybille Lamprecht | DE | Leverkusen | 2013-10-31 / 20130287749 - FORMULATION FOR SNAIL BAITS COMPRISING ORGANOPHOSPHONIC ACIDS, METAL SALTS AND METHIOCARB | 1 |
Sven Lamprecht | DE | Oberkramer/eichstadt | 2010-07-29 / 20100187121 - PROCESS FOR THE PREPARATION OF ELECTRODES FOR USE IN A FUEL CELL | 1 |
Sven Lamprecht | DE | Berlin | 2015-12-03 / 20150349152 - METHOD FOR METALLIZATION OF SOLAR CELL SUBSTRATES | 5 |
Sven Lamprecht | DE | Oberkramer/eichstdt | 2011-08-04 / 20110189848 - METHOD TO FORM SOLDER DEPOSITS ON SUBSTRATES | 1 |
Andreas Lamprecht | DE | Ingolstadt | 2015-06-04 / 20150154864 - METHOD FOR OPERATING A MOTOR VEHICLE, IN WHICH A USER IS WARNED OF HAZARDOUS SITUATIONS BASED ON DATA OBTAINED FROM OUTSIDE AND INSIDE THE VEHICLE, AND MOTOR VEHICLE OPERATED ACCORDINGLY | 2 |
Tobias Lamprecht | CH | Berneck | 2015-05-28 / 20150146195 - Test Apparatus For Electro-Optical Printed Circuit Boards | 1 |
Delanie Lamprecht | ZA | Vanderbijilpark | 2014-02-27 / 20140053459 - COLD FLOW RESPONSE OF DIESEL FUELS | 1 |
Volker Lamprecht | DE | Malsfeld | 2015-12-10 / 20150352012 - OVERCAP INTENDED FOR A PHARMACEUTICAL CONTAINER | 1 |
Björn Lamprecht | DE | Berlin-Buch | 2012-11-01 / 20120277281 - POLYNUCLEOTIDES FOR MEDICAL USE | 1 |
Alf Lamprecht | DE | Wesseling | 2016-02-04 / 20160030349 - NANOPARTICLES, METHODS OF PREPARATION, AND USES THEREOF | 1 |
Stefan Lamprecht | AT | St. Anna/aigen | 2011-05-26 / 20110123373 - REFRIGERANT COMPRESSOR | 1 |
Gerhard Lamprecht | ZA | Cape Town | 2011-08-25 / 20110205086 - Traffic Control System and Method | 1 |
Tizian Lamprecht | AT | Bludesch | 2015-07-02 / 20150184357 - WORK MACHINE FOR DRAGLINE BUCKET OPERATION | 1 |
Michael Lamprecht | AT | Mader | 2013-01-31 / 20130025704 - VALVE FOR THE SUBSTANTIALLY GAS-TIGHT INTERRUPTION OF A FLOW PATH | 1 |
Alf Lamprecht | DE | Bonn | 2013-11-14 / 20130302888 - CELL CULTURE METHOD AND SYSTEM FOR ESTABLISHING AN IN VITRO MODEL OF THE INTESTINAL BARRIER | 1 |
Andreas Lamprecht | DE | Neuburg | 2015-12-31 / 20150375699 - SYSTEM FOR OBTAINING RULE SETS FOR MOTOR VEHICLE AUTOMATION | 1 |
Hendrikoostenwald Johannes Lamprecht | ZA | Kwa Zulu Natal | 2010-08-19 / 20100206873 - ANTI-THEFT DEVICE FOR MOTOR VEHICLE FUEL TANK | 1 |
Delanie Lamprecht | ZA | Vanderbijlpark | 2010-07-15 / 20100175314 - COLD FLOW RESPONSE OF DIESEL FUELS | 3 |
Dalanie Lamprecht | ZA | Vanderbijlpark | 2010-07-15 / 20100179357 - COLD FLOW RESPONSE OF DIESEL FUELS BY FRACTION REPLACEMENT | 1 |
Dirk Lamprecht | DE | Stuttgart-Rohr | 2012-04-12 / 20120086372 - ELECTRONICALLY COMMUTATED ELECTRIC MOTOR HAVING EMERGENCY RUNNING PROPERTIES | 2 |
Tobias P. Lamprecht | CH | Berneck | 2015-12-03 / 20150346446 - METHOD AND SPACER FOR ASSEMBLING FLEXIBLE OPTICAL WAVEGUIDE RIBBONS, AND ASSEMBLED STACK OF SUCH RIBBONS | 9 |
Leslie Johann Lamprecht | US | Seattle | 2014-07-24 / 20140203965 - Culled Satellite Ephemeris Information for Quick, Accurate Assisted Locating Satellite Location Determination for Cell Site Antennas | 6 |
Thorsten Lamprecht | CH | Winterthur | 2009-04-02 / 20090089236 - Method and System for Identifying Information Related to a Good | 2 |
Charles W. Lamprey, Jr. | US | San Jose | 2015-02-19 / 20150050861 - Noise-Making Assembly | 4 |
Bradley Keith Lamprich | US | Oklahoma City | 2011-05-12 / 20110112645 - Spinal Disc Prosthesis Apparatus and Placement Method | 2 |
Keith P. Lampron | US | Lebanon | 2016-03-03 / 20160066164 - ENTERPRISE MESSAGING CLIENT AND MESSAGING ARCHIVE | 4 |
François Lampron | CA | Montreal | 2011-04-28 / 20110094167 - MOBILE SERVICE STATION AND METHOD OF CONFIGURING THE SAME | 1 |
Yannlck Lampron | CA | Delson | 2010-03-04 / 20100052278 - APPARATUS FOR TRANSPORTING GENERATOR COILS | 1 |
François Lampron | CA | Montreal | 2011-04-28 / 20110094167 - MOBILE SERVICE STATION AND METHOD OF CONFIGURING THE SAME | 1 |
François Lampron | CA | Montreal | 2011-04-28 / 20110094167 - MOBILE SERVICE STATION AND METHOD OF CONFIGURING THE SAME | 1 |
Fred P. Lampropolous | US | Salt Lake City | 2013-02-28 / 20130047395 - SYSTEM AND METHOD FOR INFLATION SYRINGE WITH IMPROVED DISPLAY AND MODULARIZED COMPONENT ASSEMBLY | 1 |
Fred P. Lampropoulos | US | Sandy | 2010-01-07 / 20100004636 - DRAINAGE CATHETER HUB WITH ROTATABLE LEVER HANDLE | 1 |
Fred P. Lampropoulos | US | Salt Lake City | 2013-05-16 / 20130123836 - RADIAL ARTERY COMPRESSION DEVICE | 13 |
Fred Lampropoulos | US | Salt Lake City | 2015-10-08 / 20150283357 - CATHETER WITH CATHETER TIP AND RELATED METHODS | 15 |
George P. Lampropoulos | GR | Samos | 2014-01-09 / 20140010202 - MANAGING LINK LAYER RESOURCES FOR MEDIA INDEPENDENT HANDOVER | 1 |
Thomas Michael Lampros | US | Cambridge | 2014-11-13 / 20140331931 - METHOD AND SYSTEM FOR INLINE CHEMICAL VAPOR DEPOSITION | 7 |
Alexandros Lamprou | CH | Zurich | 2015-10-15 / 20150291760 - METHOD FOR THE PREPARATION OF MACROPOROUS PARTICLES AND MACROPOROUS | 1 |
Demetrio Lampru | US | North Miami | 2010-10-21 / 20100265453 - EYEGLASS TEMPLE ASSEMBLY AND COVER | 1 |
Gregory Lamps | US | Smyrna | 2013-03-21 / 20130072818 - LANCING DEVICE AND LANCET | 5 |
Greg Lamps | US | Smyrna | 2013-06-20 / 20130158586 - LATCH MECHANISM FOR PREVENTING LANCET OSCILLATION IN A LANCING DEVICE | 2 |
Michael J. Lamps | US | Madison | 2009-10-22 / 20090260351 - Exhaust Assembly with Universal Multi-Position Water Trap | 1 |
Michael Lamps | US | Mcfarland | 2013-12-12 / 20130330237 - AFTERTREATMENT MANIFOLD DEVICE | 1 |
Gregory Lamps | US | Smyma | 2008-09-04 / 20080213433 - Fluid compositions comprising polyphenols and methods for making and packaging the same | 1 |
Bert C. Lampson | US | Johnson City | 2011-01-27 / 20110020897 - RNA-DEPENDENT DNA POLYMERASE FROM GEOBACILLUS STEAROTHERMOPHILUS | 2 |
David Patrick Lampson | US | Grass Valley | 2013-11-28 / 20130317314 - Vibrating Comedo Extractor with Light | 2 |
Butler W. Lampson | US | Cambridge | 2013-10-24 / 20130283342 - Transformation of Sequential Access Control Lists Utilizing Certificates | 2 |
Butler Wright Lampson | US | Cambridge | 2008-12-18 / 20080313712 - TRANSFORMATION OF SEQUENTIAL ACCESS CONTROL LISTS UTILIZING CERTIFICATES | 3 |
Clark E. Lampson | US | Milton-Freewater | 2015-05-21 / 20150140153 - Inductively Heated Extruder Heater | 1 |
Robin Lampson | US | Ukiah | 2015-04-16 / 20150101776 - SYSTEM AND METHOD OF FORMING A SOLID CASTING | 1 |
Butler Lampson | US | Cambridge | 2013-12-26 / 20130346982 - GENERATING A PROGRAM | 2 |
Robin A. Lampson | US | Ukiah | 2014-07-03 / 20140182416 - SYSTEM AND METHOD OF MELTING RAW MATERIALS | 1 |
Barbara J. Lampugnale | US | West Hartford | 2013-04-11 / 20130087163 - Combination Nail Care System | 4 |
Marianne Lampure | JP | Tokyo | 2010-01-28 / 20100020714 - MOBILE RADIO COMMUNICATIONS DEVICE MEASUREMENT | 1 |
Marianne Lampure | GB | Berkshire | 2012-06-28 / 20120165019 - METHOD FOR MANAGING CS IRAT HANDOVER FROM 2G/3G NETWORK TO LTE NETWORK | 1 |
Donald L. Lampus | US | Fox Hall | 2013-12-05 / 20130318902 - Structural Unit Insulation Arrangement, System, and Process | 1 |
Donald L. Lampus | US | Pittsburgh | 2009-12-17 / 20090311451 - Insert Apparatus for a Mold, Method of Manufacturing a Structural Unit, Method of Retrofitting an Existing Mold, and a Structural Unit | 1 |
Otmane Lamrabet | FR | Marseille | 2015-06-11 / 20150157701 - METHOD FOR ATTENUATING A BACTERIUM OF THE MYCOBACTERIUM TUBERCULOSIS COMPLEX FOR PRODUCING A TUBERCULOSIS VACCINE | 1 |
Younes Lamrani | FR | Bourg-En-Bresse | 2010-02-18 / 20100041205 - METHOD FOR SIMULTANEOUSLY TENSILE AND COMPRESSIVE STRAINING THE CHANNELS OF NMOS AND PMOS TRANSISTORS RESPECTIVELY | 1 |
Samia Lamrani-Kern | FR | Le Perreux Sur Marne | 2012-11-08 / 20120283151 - BIODEGRADABLE LUBRICATING COMPOSITION AND USE THEREOF IN A DRILLING FLUID, IN PARTICULAR FOR VERY DEEP RESERVOIRS | 3 |
Lam Research Corporation | US | Fremont | 2013-07-04 / 20130171820 - METHODS FOR THREE-DIMENSIONAL INTEGRATED CIRCUIT THROUGH HOLE VIA GAPFILL AND OVERBURDEN REMOVAL | 20 |
Jon M. Lamrouex | US | New Bern | 2015-12-17 / 20150360914 - MODULAR CONTAINER LIFT SPREADER | 1 |
Michael Lamsa | US | Davis | 2015-07-30 / 20150209414 - Lipase Variants for Pharmaceutical Use | 13 |
Justin C. Lamsa | US | Westminster | 2015-10-29 / 20150306187 - SUBCUTANEOUS ADMINISTRATION OF ALPHA-GALACTOSIDASE A | 3 |
Arttu Lamsa | FI | Oulu | 2013-09-05 / 20130231894 - METHOD AND APPARATUS FOR PROVIDING BATTERY INFORMATION | 2 |
Dennis G. Lamser | US | Marlboro | 2014-05-15 / 20140135805 - FORCEPS WITH A ROTATION ASSEMBLY | 1 |
Dennis G. Lamser | US | Marlborough | 2015-11-19 / 20150331443 - ENDOSCOPIC CUTTING FORCEPS WITH JAW CLAMP LEVER LATCHING MECHANISM | 3 |
Kyle Lamson | US | Chelmsford | 2014-04-10 / 20140097052 - SHOCK ABSORBERS FOR PROTECTIVE BODY GEAR | 5 |
Theodore Lamson | US | Pleasanton | 2014-09-25 / 20140288414 - DEVICES, SYSTEMS AND METHODS FOR ACUTE OR CHRONIC DELIVERY OF SUBSTANCES OR APPARATUS TO EXTRAVASCULAR TREATMENT SITES | 3 |
Kyle Lamson | US | San Francisco | 2016-02-04 / 20160029850 - CATERING BOX WITH ACTIVE CLIMATE CONTROL FOR TRANSPORTING DELICATE FOOD ITEMS | 7 |
Kyle Larry Lamson | US | Chelmsford | 2010-07-15 / 20100179007 - LACROSSE HEAD HAVING A BALL STOP PORTION WITH LOWERED BOTTOM SURFACE | 6 |
Theodore Charles Lamson | US | Pleasanton | 2015-05-07 / 20150127050 - DEVICES, SYSTEMS AND METHODS FOR TREATING BENIGN PROSTATIC HYPERPLASIA AND OTHER CONDITIONS | 8 |
Kyle L. Lamson | US | Chelmsford | 2011-03-03 / 20110047679 - ADJUSTABLE HELMET AND RELATED METHOD OF USE | 4 |
Theodore Charles Lamson | US | Pleasanton | 2015-05-07 / 20150127050 - DEVICES, SYSTEMS AND METHODS FOR TREATING BENIGN PROSTATIC HYPERPLASIA AND OTHER CONDITIONS | 8 |
Michael Anthony Lamson | US | Anna | 2012-08-02 / 20120194208 - Coaxial Four-Point Probe for Low Resistance Measurements | 2 |
Theodore C. Lamson | US | Pleasanton | 2015-07-02 / 20150182214 - DEVICES, SYSTEMS AND METHODS FOR RETRACTING, LIFTING, COMPRESSING, SUPPORTING OR REPOSITIONING TISSUES OR ANATOMICAL STRUCTURES | 41 |
George Lamson | US | Emeryville | 2012-12-06 / 20120308569 - GENE PRODUCTS DIFFERENTIALLY EXPRESSED IN CANCEROUS CELLS | 2 |
Thedoore C. Lamson | US | Pleasanton | / - | 1 |
Daryl Lamson | US | Slingerlands | 2009-11-05 / 20090275636 - PICORNAVIRUS AND USES THEREOF | 1 |
Ira Lamster | US | Wyckoff | 2008-09-04 / 20080214453 - Methods for treating inflammation | 1 |
Worawan Lamtaptimthong | TH | Rayong | 2012-11-29 / 20120302702 - Compositions including polytetrafluoroethylene and processes for the preparation thereof | 1 |
Worawan Lamtaptimthong | TH | Muang Rayong | 2015-10-29 / 20150307694 - Polymer Compositions or Blends Including Natural Rubber-based Acrylonitrile Butadiene Styrene | 1 |
Worawan Lamtatimthong | TH | Rayong | 2012-11-29 / 20120302684 - System and method for producing, preparing, or manufacturing natural rubber-based ABS compositions, powders, substances, and/or additives | 1 |
Jose Manuel Lamuela Rosano | DE | Giengen | 2013-08-22 / 20130214070 - REFRIGERATION DEVICE | 3 |
James B. Lamunyon | US | Richmond | 2012-02-16 / 20120041193 - SUBSTITUTED INTERNAL VINYL-BORONIC ACIDS AND BORONIC ACID DERIVATIVES | 1 |
Mickaël Lamure | FR | Lyon | 2015-04-02 / 20150090212 - ELECTRIC STARTER WITH INTEGRATED ELECTRONIC FILTER FOR INTERNAL COMBUSTION ENGINE | 1 |
Gary R. Lamusga | US | Prior Lake | 2016-04-21 / 20160106036 - REEL MOWER | 3 |
Michael K. Lamvik | US | Durham | 2014-05-01 / 20140119026 - REFLECTIVE NANOFIBER LIGHTING DEVICES | 1 |
Michael Lamvik | US | Durham | 2014-05-01 / 20140116298 - Radiation-Induced Triggering for Set-on-Command Sealant Compositions | 4 |
Michael Kasper Lamvik | US | Durham | 2013-09-19 / 20130241435 - LIGHTING DEVICES UTILIZING OPTICAL WAVEGUIDES AND REMOTE LIGHT CONVERTERS, AND RELATED METHODS | 4 |
Mike Lamvik | US | Durham | 2013-01-03 / 20130000891 - Radiation-Induced Triggering for Set-On-Command Compositions and Methods of Use | 3 |
Mathieu Lamy | CA | Montreal | 2010-03-04 / 20100050464 - Clothes dryer apparatus and method for de-wrinkling clothes with reduced condensation | 1 |
Bernard-Victor Lamy | FR | Triel Sur Seine | 2009-10-29 / 20090270294 - MULTIFUNCTIONAL LUBRICATING FLUID | 1 |
Marie-Cecile Lamy | FR | Marseille | 2014-10-09 / 20140302498 - RAPID DETECTION OF THE "HIGH VIRULENT" ST-17 CLONE OF GROUP B STREPTOCOCCUS | 2 |
Jerome Lamy | FI | Espoo | 2012-11-01 / 20120275489 - METHOD FOR TEMPERATURE MEASUREMENT | 1 |
Yann Lamy | FR | Crolles | 2011-06-23 / 20110148529 - RADIO FREQUENCY AMPLIFIER WITH EFFECTIVE DECOUPLING | 1 |
Igor Lamy | FR | Guipavas | 2011-02-10 / 20110030572 - GAS GENERATOR FOR A SAFETY DEVICE FOR A MOTOR VEHICLE | 1 |
Dave Lamy | US | Owens X Rds | 2012-05-24 / 20120131461 - DYNAMIC SYNCHRONIZATION TOOL | 1 |
Didier Lamy | FR | Poisy | 2013-10-17 / 20130274656 - DEVICE FOR MIXING AT LEAST TWO CONSTITUENTS | 1 |
Jean-Baptiste Lamy | FR | Paris | 2009-10-22 / 20090265188 - Graphical Representation of Medical Knowledge | 1 |
Valérie Lamy | FR | Lyon | 2012-10-25 / 20120269910 - Method for Testing a Substance Which is Potentially Active in the Field of Lipolysis and its Mainly Cosmetic Use | 1 |
Arnaud Lamy | FR | Saint Laurent D'Agny | 2014-05-22 / 20140137790 - INFLATING DEVICE | 1 |
Philippe Lamy | DK | Aarhus C | / - | 1 |
Bernard Lamy | FR | Saint-Julien-En-Genevois | 2016-03-17 / 20160074539 - TARGETING CONSTRUCTS | 11 |
Laurent Lamy | FR | Nice | 2010-09-30 / 20100247583 - NOVEL N-PHENYLACETAMIDE INHIBITORS OF THE ENZYME SOAT-1 AND PHARMACEUTICAL/COSMETIC COMPOSITIONS COMPRISED THEREOF | 2 |
Louis Lamy | FR | Orlienas | 2015-05-14 / 20150132235 - COSMETIC COMPOSITION COMPRISING A MUCONOPEPTIDE | 2 |
Yann Lamy | FR | Grenoble | 2013-06-27 / 20130161828 - TSV VIA PROVIDED WITH A STRESS RELEASE STRUCTURE AND ITS FABRICATION METHOD | 1 |
Philippe Lamy | US | Mountain View | 2008-10-30 / 20080270198 - Systems and Methods for Providing Remediation Recommendations | 1 |
Guillaume Lamy | US | Chicago | 2015-05-07 / 20150127331 - SPEECH PROBABILITY PRESENCE MODIFIER IMPROVING LOG-MMSE BASED NOISE SUPPRESSION PERFORMANCE | 4 |
Yann Lamy | FR | Saint Etienne De Crossey | 2015-07-23 / 20150206662 - METHOD FOR PRODUCING A CAPACITOR | 2 |
Sylvie Lamy | CA | Montreal | 2008-08-21 / 20080200393 - Method and Composition For Treating Angiogenesis and For Preventing Cancer Progression and Metastasis Comprising a Prostate Secretory Protein (Psp94) Family Member | 1 |
Frédéric Lamy | FR | Grenade Sur Garonne | 2015-04-09 / 20150100774 - RECONFIGURABLE AVIONICS EQUIPMENT AND METHOD OF RECONFIGURING SUCH EQUIPMENT | 1 |
Avner Lamy | IL | Holon | 2015-06-25 / 20150180658 - FAST RECOVERY FROM CIPHERING KEY MISMATCH | 1 |
Bernard Lamy | FR | Saint-Julien-En-Genevois | 2016-03-17 / 20160074539 - TARGETING CONSTRUCTS | 11 |
Thierry Lamy | FR | Grenoble | 2014-04-03 / 20140090973 - DEVICE AND METHOD FOR ION BEAM SPUTTERING | 2 |
Xavier Lamy | FR | Fontenay Aux Roses | 2011-12-15 / 20110307097 - PROTECTIVE SKIN FOR ROBOTS | 1 |
Cecile Lamy | FR | Orleans | 2009-11-05 / 20090274779 - Use in the cosmetics field of an extract of an exsudate of the plant daniellia oliveri, in particular as an antiwrinkle agent | 1 |
Louis Francois Lamy | FR | Orlienas | 2009-01-15 / 20090017147 - Cosmetic or Dermopharmaceutical Composition Comprising an Euglena Extract | 1 |
Xavier Lamy | FR | Issy-Les-Moulineaux | 2014-10-30 / 20140324219 - CONTROL METHOD FOR CONTROLLING A ROBOT AND CONTROL SYSTEM EMPLOYING SUCH A METHOD | 2 |
Denis Lamy | FR | Chateauroux | 2016-04-21 / 20160107172 - PROTECTION TILES FOR SCROLL CONVEYOR FLIGHTS | 1 |
Francis Lamy | CH | Wollerau | 2015-01-29 / 20150032430 - Visualization Method | 2 |
Carole Lamy | FR | Montigny Le Bretonneux | 2013-10-31 / 20130289030 - NOVEL PHENAZINE DERIVATIVES AND THEIR USES | 1 |
Michael Lamy | FR | Valbonne | 2015-10-15 / 20150294234 - AGGREGATION RECORD FOR MANAGING ANCILLARY TRAVEL SERVICES | 1 |
Yann Pierre Roger Lamy | NL | Eindhoven | 2012-05-31 / 20120133047 - Method of Plating Through Wafer Vias in a Wafer for 3D Packaging | 2 |
Catherine Lamy-Bergot | FR | Paris | 2012-12-06 / 20120309330 - Method and System of Adaptive Communication in the HF Band | 8 |
Catherine Lamy-Bergot | FR | Colombes | 2013-05-30 / 20130135482 - METHOD OF ESTIMATING VIDEO QUALITY AT ANY RESOLUTION | 1 |
Catherine Lamy-Bergot | FR | Gennevilliers | 2015-05-07 / 20150124721 - SYSTEM AND METHOD FOR TRANSMITTING A PLURALITY OF MULTI-SERVICE STREAMS OVER AN HF BAND | 8 |
Catherine Lamy-Bergot | FR | Ville | 2013-02-21 / 20130044807 - Method and System for Determining Coding Parameters on Variable-Resolution Streams | 1 |
Catherine Lamy-Bergot | FR | Gennevilliers | 2015-05-07 / 20150124721 - SYSTEM AND METHOD FOR TRANSMITTING A PLURALITY OF MULTI-SERVICE STREAMS OVER AN HF BAND | 8 |
Sylvie Lamy-Perbal | FR | Chatenay-Malabry | 2010-12-02 / 20100305845 - Device for Assisting in the Navigation of a Person | 1 |
Fang Lan | CN | Shenzhen | 2014-04-10 / 20140101581 - Touchscreen Apparatus User Interface Processing Method and Touchscreen Apparatus | 1 |
Chung-Wen Lan | TW | New Taipei City | 2015-06-11 / 20150162482 - METHOD FOR FORMING SOLAR CELL WITH SELECTIVE EMITTERS | 3 |
Yi-Meng Lan | TW | Hsinchu | 2010-05-27 / 20100128503 - SWITCH-MODE POWER SUPPLY | 1 |
Hsiang-Chou Lan | TW | Taipei Hsiang | 2011-07-28 / 20110180066 - SNORKEL BREATHING TUBE REGULATOR | 1 |
Chun Lan | US | Maple Grove | 2015-03-05 / 20150059662 - PORTABLE GENERATOR | 1 |
Chun Lan | US | Katy | 2016-03-17 / 20160076348 - METHODS OF INCREASING A THERMAL CONDUCTIVITY AND TRANSFERRING HEAT WITHIN A SUBTERRANEAN FORMATION, AND METHODS OF EXTRACTING HYDROCARBONS FROM THE SUBTERRANEAN FORMATION | 1 |
Zi-Jian Lan | US | Lexington | 2016-04-28 / 20160113977 - COMPOSITIONS COMPRISING SELENIUM AND USE OF SAME FOR THE TREATMENT AND PREVENTION OF DISEASE OR CONDITIONS ASSOCIATED WITH MITOCHONDRIAL DYSFUNCTION | 3 |
Zehua Lan | CN | Beijing | 2013-04-18 / 20130093592 - Internet of Things Based Farm Greenhouse Monitor and Alarm Management System | 1 |
Rong-Qin Lan | TW | New Taipei | 2013-07-25 / 20130189878 - CABLE-TO-BOARD CONNECTOR | 3 |
Hui Yao Lan | CN | Hong Kong Sar | 2014-05-01 / 20140120116 - TREATMENT OF CANCER USING SMAD3 INHIBITOR | 1 |
Wen-Chieh Lan | TW | Hsinchu | 2015-10-22 / 20150299895 - STIRRING APPARATUS OF INGOT CASTING FURNACE | 6 |
Chung-Wen Lan | TW | Hsinchu | 2014-07-03 / 20140186631 - SEED USED FOR CRYSTALLINE SILICON INGOT CASTING | 5 |
Dong J. Lan | CN | Beijing | 2009-01-22 / 20090024982 - APPARATUS, SYSTEM, AND METHOD FOR ARCHIVING SMALL OBJECTS TO IMPROVE THE LOADING TIME OF A WEB PAGE | 1 |
Gengxin Lan | CN | Beijing | 2009-06-18 / 20090156419 - HYBRIDIZATION METHODS USING NATURAL BASE MISMATCHES | 2 |
Li Lan | CN | Beijing | 2009-06-25 / 20090159333 - DETERMINING DRILLSTRING NEUTRAL POINT BASED ON HYDRAULIC FACTOR | 1 |
Shih-Cheng Lan | TW | Taipei City | 2016-01-07 / 20160004512 - METHOD OF PROJECTING A WORKSPACE AND SYSTEM USING THE SAME | 1 |
Sizhong Lan | CN | Beijing | 2010-06-17 / 20100151856 - Distributed HLR System and Method For Obtaining Roaming Number of Callee | 1 |
Wen-Ji Lan | TW | Sinjhuang City | 2012-04-12 / 20120086322 - LED BULB HEAT DISSIPATION STRUCTURE | 4 |
Yuanrong Lan | CN | Beijing | 2016-03-17 / 20160081066 - METHOD FOR TRANSMITTING UPLINK RESPONSE SIGNALS, BASE STATION, MOBILE STATION AND COMMUNICATION SYSTEM | 14 |
Justin Lan | US | Bothell | 2012-05-17 / 20120119023 - METHOD AND APPARATUS FOR REDUCING AIRCRAFT NOISE | 2 |
Tien-Cheng Lan | TW | New Taipei City | 2013-08-22 / 20130217234 - CLEANING SOLUTION AND DAMASCENE PROCESS USING THE SAME | 1 |
Dong Jun Lan | CN | Beijing | 2012-11-29 / 20120303660 - SYSTEM AND METHOD FOR OBJECT SEARCHING IN VIRTUAL WORLDS | 10 |
Jvlong Lan | CN | Zhengzhou | 2015-01-22 / 20150023207 - METHOD AND DEVICE FOR ESTABLISHING STRUCTURE OF A COMMUNICATION NETWORK SYSTEM | 2 |
Ying-Chung Lan | TW | Taoyuan County | 2009-07-23 / 20090183450 - ASSEMBLY TYPE WALL STRUCTURE | 1 |
Chin Kun Lan | TW | Hsin Chu City | 2011-10-06 / 20110241179 - DIFFUSION REGION ROUTING FOR NARROW SCRIBE-LINE DEVICES | 2 |
James (hsu-Hsuan) Lan | US | Dallas | 2012-03-15 / 20120063202 - 3T DRAM CELL WITH ADDED CAPACITANCE ON STORAGE NODE | 1 |
Chuanjie Lan | CN | Beijing | 2014-09-11 / 20140255175 - CENTRIFUGAL COMPRESSOR AND MANUFACTURING METHOD THEREFOR | 1 |
Ke Lan | CN | Suzhou | 2013-11-28 / 20130314006 - ELECTRICAL SYSTEM ASSEMBLY OF ELECTRIC AUTOMOBILE AND ENERGY SYSTEM AND ACTUATING DEVICE THEREOF | 2 |
Wen-How Lan | TW | Chiayi City | 2011-08-04 / 20110188634 - X-RAY GENERATION DEVICE AND CATHODE THEREOF | 1 |
Mars Lan | US | Los Angeles | 2015-09-17 / 20150257712 - METHODS AND SYSTEMS FOR CALCULATING AND USING STATISTICAL MODELS TO PREDICT MEDICAL EVENTS | 5 |
Chun-Kun Lan | TW | Taipei | 2011-04-28 / 20110099315 - MULTIMEDIA SYSTEM | 1 |
Chih-Chin Lan | TW | Hsinchu | 2009-10-15 / 20090257598 - Audio processing system of projector | 1 |
Je-Hsiung Jeffrey Lan | US | San Diego | 2016-03-31 / 20160095208 - DEVICES AND METHODS TO REDUCE STRESS IN AN ELECTRONIC DEVICE | 11 |
Kejia Lan | CN | Shenzhen | 2014-01-09 / 20140013182 - DATA PROCESSING METHOD, APPARATUS AND SYSTEM | 1 |
Shin-Min Lan | TW | Taipei | 2008-10-09 / 20080250180 - USB peripheral device with dynamic modification class and operation method of the same | 1 |
Wei-Chou Lan | TW | Hsinchu City | 2013-07-11 / 20130175520 - THIN FILM TRANSISTOR | 6 |
Wen-Chin Lan | TW | Tainan County | 2011-05-05 / 20110102709 - DIRECT TYPE BACKLIGHT MODULE AND APPLICATION THEREOF | 1 |
Rongpei Lan | US | San Antonio | 2011-08-11 / 20110195489 - System and Method of an In-vitro Wound Healing Model on Cultured Cell Monolayer Employing Stamp Device | 1 |
Yuanrong Lan | CN | Beijing | 2016-03-17 / 20160081066 - METHOD FOR TRANSMITTING UPLINK RESPONSE SIGNALS, BASE STATION, MOBILE STATION AND COMMUNICATION SYSTEM | 14 |
Yu-Chin Lan | TW | Hsin-Chu | 2012-03-29 / 20120074862 - LAMP AND ILLUMINATION SYSTEM AND DRIVING METHOD THEREOF | 4 |
Xingying Lan | CN | Beijing | 2015-07-30 / 20150210941 - PROCESS FOR DEEPLY DESULFURIZING CATALYTIC CRACKING GASOLINE | 1 |
Michael S. Lan | US | Metairie | 2012-12-13 / 20120316225 - Modified INSM1-Promoter for Neuroendocrine Tumor Therapy and Diagnostics | 1 |
Lei Lan | CN | Shenzhen | 2014-04-10 / 20140099119 - Transport Functions Virtualization for Wavelength Division Multiplexing (WDM)-based Optical Networks | 1 |
Shouzhen Lan | CN | Shenzhen | 2013-10-17 / 20130271672 - TELEVISION MANIPULATION METHOD, HANDHELD DEVICE, TELEVISION, AND TELEVISION MANIPULATION SYSTEM | 1 |
Weilong Lan | CN | Shenzhen City | 2013-05-02 / 20130106254 - Broad-Pole Type Square-Wave Three-Phase Brushless Permanent Magnet Direct Current Motor and Assembling Method Thereof | 1 |
Hsiao-Chin Lan | TW | New Taipei City | 2015-01-22 / 20150023632 - OPTICAL ELECTRICAL MODULE USED FOR OPTICAL COMMUNICATION USED FOR OPTICAL COMMUNICATION | 1 |
Jeng-Feng Lan | TW | Hsinchu | 2008-11-27 / 20080291167 - Pointing device and displacement estimation method | 3 |
Anjian Lan | US | Piscataway | 2012-07-12 / 20120178173 - COMPOSITIONS AND METHODS FOR DETECTION OF EXPLOSIVES | 1 |
Zhou Lan | JP | Tokyo | 2012-10-25 / 20120269130 - WIRELESS COMMUNICATION SYSTEM, AND INTERFERENCE PREVENTING METHOD | 1 |
Rong Qin Lan | CN | Dong-Guan City | 2016-04-21 / 20160111821 - RECEPTACLE CONNECTOR | 6 |
Kejia Lan | CN | Chengdu | 2015-12-17 / 20150363315 - METHOD FOR TEMPORARILY STORING DATA AND STORAGE DEVICE | 4 |
Hui Lan | CN | Shenzhen | 2014-06-12 / 20140165013 - ELECTRONIC DEVICE AND PAGE ZOOMING METHOD THEREOF | 1 |
Yuan-Chih Lan | TW | Jen-Wu Hsiang | 2009-02-26 / 20090051081 - METHOD FOR PRODUCING MICROFINE FIBER AND FRIENDLY ARTIFICIAL LEATHER MADE THEREFROM | 1 |
Hai Lan | TW | Tu-Cheng | 2011-12-08 / 20110301519 - ORTHOPEDIC ADJUSTMENT DEVICE | 13 |
Andrew S. Lan | US | Houston | 2014-09-18 / 20140279727 - Sparse Factor Analysis for Analysis of User Content Preferences | 2 |
Ruoxi Lan | US | Waltham | 2016-03-03 / 20160058763 - NOVEL HETEROCYCLIC CARBOXAMIDES AS MODULATORS OF KINASE ACTIVITY | 16 |
I-Ching Lan | TW | Hsinchu | 2015-07-02 / 20150188219 - Waterproof Part | 3 |
Chun Lan | US | Spring | 2012-04-26 / 20120101732 - Integrated Source-Free Method and Apparatus for Porosity Determination: NMR Calibrated Acoustic Porosity | 1 |
Mingzhang Lan | CN | Beijing | 2014-04-24 / 20140114030 - PREPARATION METHOD OF HIGH-PERFORMANCE STAR-SHAPED POLYCARBOXYLATE SUPERPLASTICIZER | 1 |
Cuiling Lan | CN | Xi'An | 2014-02-27 / 20140056347 - Non-Transform Coding | 1 |
Hongxiang Lan | US | Portland | 2012-02-23 / 20120045764 - METHOD OF EXPANDING HUMAN HEPATOCYTES IN VIVO | 1 |
Shih-Chi Lan | TW | Taichung City | 2009-06-04 / 20090141506 - Illumination Device for Kitchen Hood | 1 |
Dong Jun Lan | CN | Beijing | 2012-11-29 / 20120303660 - SYSTEM AND METHOD FOR OBJECT SEARCHING IN VIRTUAL WORLDS | 10 |
Tian Lan | US | Pittsburgh | 2014-05-22 / 20140143183 - HIERARCHICAL MODEL FOR HUMAN ACTIVITY RECOGNITION | 1 |
Peng Lan | CN | Shanghai | 2016-03-31 / 20160094447 - Data Transmission Method, Apparatus, Device, and Base Station | 3 |
Yu-Bing Lan | TW | Banqiao City | 2012-01-19 / 20120013243 - Phosphor composition for AC LEDS and AC LED manufactured with the same | 1 |
Zhida Lan | US | San Jose | 2016-05-12 / 20160133836 - HIGH ENDURANCE NON-VOLATILE STORAGE | 12 |
Shih-Min Lan | TW | Taipei | 2010-04-22 / 20100096446 - ELECTRONIC STORAGE CARD READER AND CONTROL CHIP THEREOF | 6 |
Yi-Fen Lan | TW | Taipei | 2013-01-03 / 20130005920 - METHOD FOR PRODUCING CNT-PI COMPLEX HAVING EMI SHIELDING EFFECTIVENESS | 3 |
Li Lan | US | Hinsdale | 2016-03-17 / 20160078624 - METHOD, SYSTEM, SOFTWARE AND MEDIUM FOR ADVANCED INTELLIGENT IMAGE ANALYSIS AND DISPLAY OF MEDICAL IMAGES AND INFORMATION | 3 |
Hongyan Lan | CN | Shanghai | 2015-05-14 / 20150128555 - Combined frictional false twisting device for ring spinning frame | 1 |
Chao-Ho Lan | TW | Hsinchu | 2010-01-07 / 20100003912 - MEDICAL MINI-ENVIRONMENT DEVICE | 1 |
Tsuo-Hung Lan | TW | Taipei | 2011-07-28 / 20110184898 - Weight-Prediction System and Method Thereof | 1 |
Peiqiang Lan | CN | Hangzhou | 2013-10-31 / 20130288887 - METHOD FOR PREPARING A NANO-CALCIUM CARBONATE SLURRY FROM WASTE GYPSUM AS CALCIUM SOURCE, THE PRODUCT AND USE THEREOF | 1 |
Chi-Ming Lan | TW | Taoyuan | 2010-05-20 / 20100125136 - PORPHYRIN-BASED PHOTOSENSITIZER DYES FOR DYE-SENSITIZED SOLAR CELLS | 1 |
Jiong Lan | CN | Lianyungang, Jiangsu | 2015-10-29 / 20150307449 - PYRROLE SULFONAMIDE DERIVATIVE, PREPARATION METHOD FOR SAME, AND MEDICAL APPLICATION THEREOF | 1 |
Ying-Cherng Lan | TW | Taipei | 2011-03-17 / 20110064087 - Method for Dynamical Adjusting Channel Direction and Network-on-Chip Architecture thereof | 1 |
Jun Lan | CN | Zigong | 2015-12-03 / 20150344604 - Method of Preparing Fluoroelastomer Capable of Being Vulcanized by Using Peroxide | 2 |
Tian Lan | CA | Burnaby | 2015-10-15 / 20150294192 - MULTI-LEVEL FRAMEWORK FOR OBJECT DETECTION | 1 |
Chung-Jen Lan | TW | Tu-Cheng | 2010-10-28 / 20100271552 - INTELLIGENT DIGITAL PHOTO FRAME | 9 |
Ya-Ke Lan | TW | Tu-Cheng | 2010-04-29 / 20100104119 - AUDIO OUTPUT DEVICE | 2 |
Minhuan Lan | CN | Beijing | 2015-08-06 / 20150218001 - PREPARATION METHOD OF HETEROATOM DOPED MULTIFUNCTIONAL CARBON QUANTUM DOT AND APPLICATION THEREOF | 1 |
Cheng-Huang Lan | TW | Taoyuan City | 2016-02-04 / 20160030779 - MASK | 1 |
Wen-Chun Lan | TW | Hsinchu | 2012-07-26 / 20120188464 - RADIO FREQUENCY FRONT END CIRCUIT MODULE FOR RECEIVER | 1 |
Kuo-Jung Lan | TW | Taipei County | 2010-03-25 / 20100074066 - SERVO SYSTEM OF OPTICAL DISC AND RELATED SERVO METHOD | 5 |
Chung-Wen Lan | TW | Taipei County | 2011-11-17 / 20110277839 - ED STRUCTURE AND SOLAR CELL INCLUDING THE SAME | 4 |
Tian Lan | US | Princeton | 2011-07-28 / 20110183678 - SYSTEM AND METHOD FOR RESOURCE ALLOCATION OF A LTE NETWORK INTEGRATED WITH FEMTOCELLS | 1 |
Jiong Lan | US | Morago | 2013-07-04 / 20130172352 - 5-MEMBERED HETEROCYCLE-BASED P38 KINASE INHIBITORS | 1 |
Rongfeng Lan | HK | Hk | 2016-05-12 / 20160130284 - SELECTIVE CANCER TRACKING ERADICATOR AND THE USES THEREOF | 1 |
Jinbao Lan | CN | Chongqing | 2015-10-08 / 20150288374 - DYNAMIC ELEMENT MATCHING METHODS AND APPARATUSES | 1 |
Hsiang-Chou Lan | TW | Hsi Chih City | 2010-04-01 / 20100077537 - Multicolor frameless diving masks and method for making the same | 1 |
Weihua Lan | GB | Newcastle | 2010-03-25 / 20100075886 - CLEANING COMPOSITION | 1 |
Yung-Sung Lan | TW | Kaohsiung City | 2013-07-04 / 20130172700 - OPTICAL DETECTION METHOD | 1 |
Jingbo Lan | CN | Jilin | 2015-03-05 / 20150059528 - METHOD FOR EXTRACTING AND SEPARATING RARE-EARTH ELEMENT | 1 |
Fusheng Lan | CN | Fuzhou | 2011-12-01 / 20110290879 - QR BARCODE DECODING CHIP AND DECODING METHOD THEREOF | 1 |
Gang ("tiger") Lan | US | San Jose | 2012-12-20 / 20120324217 - SYSTEM AND METHODS FOR FACILITATING SECURE COMMUNICATIONS ON A WEBSITE | 3 |
Shih Min Lan | TW | Taipei City | 2014-04-10 / 20140099237 - BIOMEDICAL MONITORING SYSTEM COMBINING A MOBILE DEVICE | 1 |
Yu-Chin Lan | TW | Hsinchu | 2009-04-16 / 20090096739 - LIGHT SOURCE DRIVING CIRCUIT FOR BACKLIGHT MODULE | 1 |
Xia Lan | CN | Beijing | 2015-05-14 / 20150131283 - Manufacturing Method for Support Substrate, and LED Display Device | 1 |
Yung-Sung Lan | TW | Hsinchu | 2008-10-16 / 20080252953 - Holographic Storage And Regeneration System Having Servo Mechanism | 1 |
Zhiyuan Lan | US | Lowell | 2015-04-16 / 20150105484 - FLAME RETARDANT THERMOPLASTIC ELASTOMERS FOR EXTRUSION OR INJECTION MOLDING | 1 |
Chung-Wen Lan | TW | Taipei City | 2015-10-29 / 20150307361 - MULTICRYSTALLINE SILICON BRICK AND SILICON WAFER THEREFROM | 1 |
Wenjie Lan | US | Somerville | 2016-02-04 / 20160033438 - Paper-Based Reference Electrode And Potentiometric Ion Sensing | 2 |
Yi-Yao Lan | TW | Taipei City | 2015-07-23 / 20150208369 - METHOD AND APPARATUS FOR CELL SEARCH AND SYNCHRONIZATION IN MOBILE COMMUNICATION | 1 |
Peng-Ju Lan | TW | Taipei County | 2014-06-26 / 20140176002 - STATE CYCLING APPARATUS AND METHOD, AND CONTROL CIRCUIT FOR A LAMP | 4 |
Tien-Cheng Lan | TW | Taipei County | 2012-01-12 / 20120009788 - CLEANING SOLUTION, CLEANING METHOD AND DAMASCENE PROCESS USING THE SAME | 4 |
Bo-Wei Lan | TW | Taipei City | 2013-08-22 / 20130215104 - DISPLAY FOR DISPLAYING THREE-DIMENSIONAL IMAGES AND METHOD FOR DISPLAYING THREE-DIMENSIONAL IMAGES | 4 |
Bin Lan | CA | Burnaby | 2015-04-02 / 20150090586 - SURFACE MODIFIED STAINLESS STEEL CATHODE FOR ELECTROLYSER | 1 |
Shun-Chien Lan | TW | Tu Cheng | 2012-03-08 / 20120058821 - PROJECTING HANDHELD GAME CONSOLE AND OPERATING METHOD THEREOF | 2 |
Ting-Cheng Lan | TW | Pingtung City | 2012-02-09 / 20120034065 - Auto Power-Off And Actuation Circuit for a Fan | 1 |
Chao Long Lan | HK | Kwon Tong | 2014-06-12 / 20140157509 - POOL SYSTEMS AND METHODS FOR MAKING AND USING SAME | 1 |
Yao Lan | CN | Shenzhen | 2015-07-30 / 20150214601 - Terminal Antenna Structure and Terminal | 13 |
Chun Kun Lan | TW | New Taipei City | 2014-05-22 / 20140143574 - POWER CONTROL SYSTEM AND POWER CONTROL METHOD | 1 |
Jiong Lan | US | Morage | 2010-03-04 / 20100056576 - Kinase inhibitors and methods of their use | 1 |
Junqiang Lan | CN | Shanghai | 2015-09-17 / 20150263005 - Dynamic Random Access Memory (DRAM) and Production Method, Semiconductor Packaging Component and Packaging Method | 1 |
Weibin Lan | CN | Lishui | 2014-10-16 / 20140304942 - CONCEALED DOOR CLOSER | 1 |
Chao-Wen Lan | TW | Taichung City | 2015-06-25 / 20150175227 - MODULAR MOBILE VEHICLE WITH AN ADJUSTABLE WHEELBASE | 1 |
Feng Lan | CN | Yunnan Province | 2011-06-30 / 20110160153 - Methods and Compositions for Treating Blood Circulation Disorders | 1 |
Je-Hsiung Lan | US | San Diego | 2015-03-05 / 20150061813 - VARYING THICKNESS INDUCTOR | 21 |
Yung-Huei Lan | CN | Taiwan | 2008-09-18 / 20080224003 - Adhesion Method and Adhesion Device for a Wall Hanger Plaster and a Base | 1 |
Yuan-Hao Lan | TW | Taoyuan City | 2014-07-10 / 20140194158 - METHOD FOR INTELLIGENT ANTENNA CONFIGURATION SELECTION AND COMMUNICATION APPARATUS UTILIZING THE SAME | 1 |
Hongbo Lan | CN | Qindao City | 2014-10-16 / 20140305904 - LARGE-AREA NANOPATTERNING APPARATUS AND METHOD | 1 |
Rong-Qin Lan | TW | New Taipei City | 2014-06-05 / 20140154917 - ELECTRICAL CONNECTOR | 2 |
Guihua Lan | CN | Yunnan Province | 2011-06-30 / 20110160307 - Compositions and Methods for Treating Hemorrhagic Conditions | 2 |
Charles Lan | CN | Dongguan City | 2012-11-15 / 20120287604 - FAN LIGHT APPARATUS | 1 |
Ethan I. Lan | US | Los Angeles | 2016-05-19 / 20160138049 - OXYGEN-TOLERANT CoA-ACETYLATING ALDEHYDE DEHYDROGENASE CONTAINING PATHWAY FOR BIOFUEL PRODUCTION | 2 |
Donghua Lan | CN | Fujian Province | 2008-11-13 / 20080278054 - Display Device, Screen Panel and Phosphor Material Composition Thereof | 1 |
Zhang Lan | CN | Fujian | 2008-12-04 / 20080300362 - Application And Preparation For The Composite Electrolyte Based On Superabsorbent Hybrid | 1 |
Jyun-Kai Lan | TW | Kaohsiung | 2014-10-09 / 20140301104 - BACKLIGHT MODULE | 1 |
Jiong Lan | US | San Diego | 2010-09-16 / 20100234404 - P-38 Kinase Inhibitors | 1 |
Yu-Hsi Lan | TW | Hsinchu County | 2009-11-05 / 20090274333 - ELECTRONIC DEVICE WITH INTERNAL ARRAY MICROPHONE AFFIXED TO REAR COVER OF DISPLAY | 1 |
Xiaofeng Lan | CH | Pully | 2015-07-30 / 20150210449 - CLOSURE CAP WITH A MULTILAYER SEAL DISK FOR RECEPTACLES | 2 |
Tian Lan | CN | Shanghai | 2015-08-27 / 20150239499 - ONLINE LOAD DETECTION DEVICE FOR SELF-BALANCING TWO-WHEEL VEHICLE | 1 |
Te-Chih Lan | TW | New Taipei | 2016-03-03 / 20160065253 - METHOD FOR AND DEVICE FOR REDUCING PEAK TO AVERAGE POWER RATIO | 1 |
Yi Lan | CN | Shenzhen City | 2009-03-19 / 20090071048 - Multiple static image display | 1 |
Weilong Lan | CN | Shenzhen | 2011-11-03 / 20110267041 - Hall Rotary Transformer and Hall Rotation Angle Encoder Made of It | 2 |
Je-Hsiung Lan | US | Cupertino | 2014-01-09 / 20140009862 - MEMS VARACTORS | 11 |
Shih-Min Lan | TW | Nei Hu | 2009-08-20 / 20090210608 - KVM switch and operation method thereof | 1 |
Zhida Lan | US | Cupertino | 2012-04-19 / 20120092924 - METHOD OF PROVIDING AN ERASE ACTIVATION ENERGY OF A MEMORY DEVICE | 2 |
Jiong Lan | US | Moraga | 2015-11-05 / 20150315150 - KINASE INHIBITORS AND METHODS OF THEIR USE | 12 |
Yucheng Lan | US | Newton | 2015-03-12 / 20150068574 - METHODS FOR HIGH FIGURE-OF-MERIT IN NANOSTRUCTURED THERMOELECTRIC MATERIALS | 2 |
Jiong Lan | US | Emeryville | 2011-08-11 / 20110195956 - Heterocyclic Kinase Inhibitors | 6 |
Tao Lan | US | Arlington | 2014-10-16 / 20140308300 - STABILIZED IMMUNE MODULATORY RNA (SIMRA) COMPOUNDS FOR TLR7 AND TLR8 | 4 |
Xuekui Lan | US | Champaign | 2013-09-19 / 20130240069 - Hydraulic Fluid Tank | 1 |
Maofeng Lan | US | Palo Alto | 2013-05-23 / 20130127344 - Adaptive Switch Mode LED Driver | 2 |
I-Ching Lan | TW | Taipei Hsien | 2011-05-12 / 20110109409 - Waveguide Orthomode Transducer | 1 |
Shun-Chien Lan | TW | Taipei | 2012-06-07 / 20120140393 - PROTECTIVE CASE | 2 |
Jin-Cai Lan | CN | Jiangsu Province | 2009-05-14 / 20090122498 - CIRCUIT BOARD AND CONDUCTIVE THROUGH HOLE STRUCTURE THEREOF | 1 |
Huan Wei Lan | TW | Taipei Hsien | 2009-12-03 / 20090300421 - METHOD AND APPARATUS FOR CHANGING BIOS PARAMETER VIA AN EXCHANGE FILE | 2 |
Red Lan | TW | Taipei Hsien | 2009-06-11 / 20090146466 - FOLDABLE HIGHCHAIR | 1 |
Jian-Ying Lan | CN | Shenzhen | 2009-05-21 / 20090128468 - Liquid crystal module having storing member for controlling working mode of driving chip thereof | 1 |
Chi-Ming Lan | TW | Taipei City | 2014-02-13 / 20140045003 - HYBRID-TYPE RECHARGEABLE BATTERY MODULE | 4 |
Xinlu Lan | CN | Xiamen | 2009-05-21 / 20090126811 - Water faucet with joystick cartridge | 1 |
Long Wen Lan | CN | Shanghai | 2016-03-31 / 20160092119 - DATA MIGRATION BETWEEN DIFFERENT TYPES OF STORAGE SYSTEMS | 2 |
C. W. Lan | TW | Taipei City | 2010-08-26 / 20100215561 - Recovery method of silicon slurry | 2 |
Yuan Ku Lan | TW | Taoyuan County | 2011-06-30 / 20110156285 - INTEGRATED ALIGNMENT AND OVERLAY MARK, AND METHOD FOR DETECTING ERRORS OF EXPOSED POSITIONS THEREOF | 1 |
Wan-Chi Lan | TW | Taipei Hsien | 2009-04-09 / 20090091538 - Computer system and a method for determining whether a hot key is triggered | 3 |
Po-Hsiang Lan | TW | Taipei City | 2015-03-19 / 20150077886 - Electrostatic Discharge Protection Circuit and Related Method | 2 |
Wen-Chen Lan | TW | Hsinchu | 2013-05-09 / 20130115873 - WIRELESS SIGNAL TRANSMISSION DEVICE AND SIGNAL RECEIVER | 3 |
Haiqing Lan | CN | Shanghai | 2015-10-15 / 20150295828 - PACKET TRANSMISSION METHOD AND APPARATUS | 4 |
Yang Lan | CN | Beijing | 2016-02-04 / 20160036619 - Transmitting Apparatus and Transmitting Method | 1 |
Chun-Kun Lan | TW | Taipei Hsien | 2012-04-12 / 20120089752 - PERIPHERAL DEVICE WITH WIRELESS COMMUNICATION FUNCTION | 2 |
Fei Lan | US | Brookline | 2011-01-27 / 20110021362 - AGENTS FOR STIMULATING ACTIVITY OF METHYL MODIFYING ENZYMES AND METHODS OF USE THEREOF | 1 |
Peng Lan | CN | Shenzhen | 2012-08-30 / 20120220336 - MULTI-MODE BASE STATION AND METHOD FOR SENDING/RECEIVING SIGNAL THEREOF | 3 |
Cheng-Chou Lan | US | Los Angeles | 2015-09-24 / 20150271832 - PILOT SELECTION FOR OFDMA CARRIER TRACKING | 7 |
Gang Lan | CN | Chengdu | 2012-08-09 / 20120203910 - METHOD AND APPARATUS FOR BUFFERING AND OBTAINING RESOURCES, RESOURCE BUFFERING SYSTEM | 1 |
Jiong Lan | US | Moraga | 2015-11-05 / 20150315150 - KINASE INHIBITORS AND METHODS OF THEIR USE | 12 |
Feiming Lan | CN | Pingxiang | 2009-09-24 / 20090239075 - CERAMIC BALL AND METHOD FOR PRODUCING THE SAME | 1 |
Kun Lan | CN | Hefei City | 2013-11-28 / 20130314262 - SWITCH-DRIVING CIRCUIT AND DAC USING THE SAME | 5 |
Tung-Hsin Lan | TW | Taipei City | 2012-09-13 / 20120229441 - LIQUID CRYSTAL DISPLAY PANEL | 18 |
Shih-Chieh Lan | TW | Neihu | 2014-04-24 / 20140111445 - CURSOR CONTROL DEVICE AND CURSOR CONTROL SYSTEM | 2 |
Wei-Hao Lan | TW | Taipei City | 2015-05-14 / 20150131218 - ELECTRONIC DEVICE AND LOCKING STRUCTURE THEREOF | 6 |
Ming-Yuan Lan | TW | Taoyuan Shien | 2014-01-30 / 20140029189 - PORTABLE ELECTRONIC DEVICE | 1 |
Jiang Lan | US | Walpole | 2010-06-03 / 20100138268 - PROGRESS MANAGEMENT PLATFORM | 1 |
Ming K. Lan | US | Diamond Bar | 2013-10-17 / 20130269889 - Movable Window Curtain On A Vertical And Curved Track | 1 |
Tai Wei Lan | TW | Taipei City | 2008-12-18 / 20080308405 - Optical Fiber Photocatalytic Reactor And Process For The Decomposition Of Nitrogen Oxide Using Said Reactor | 1 |
Chi-Wei Lan | TW | Taipei City | 2014-10-02 / 20140295507 - METHOD FOR PRODUCING BIODEGRADABLE POLYMER AND BIOMASS FUEL CONVERTED FROM CARBON SOURCE BY RECOMBINANT MICROORGANISMS | 1 |
Jiang Lan | US | Waltham | 2015-06-11 / 20150163811 - SYSTEM AND METHOD TO DELIVER DIFFERENT SERVICES OVER CELLULAR OR WIFI NETWORKS DEPENDING ON OPERATOR OR USER PREFERENCES | 1 |
Chih-Chieh Lan | TW | Taipei City | 2009-07-23 / 20090184320 - Method of manufacturing an image TFT array for an indirect X-ray sensor and structure thereof | 2 |
Wentian Lan | CN | Jiangsu | 2012-04-12 / 20120085980 - Process for preparing a photochromic polymeric composition, thus obtained polymeric composition and use thereof | 2 |
Hau-Tau Lan | TW | Taipei City | 2010-02-25 / 20100049943 - Programmable control pipeline architecture and pipeline processing system thereof | 1 |
Feng Lan | US | Menlo Park | 2013-01-31 / 20130029866 - CARDIOMYOCYTES FROM INDUCED PLURIPOTENT STEM CELLS FROM PATIENTS AND METHODS OF USE THEREOF | 1 |
Chien-Ming Lan | TW | Kao-Hsiung City | 2008-10-23 / 20080258748 - METHOD FOR FABRICATING A PROBING PAD OF AN INTEGRATED CIRCUIT CHIP | 1 |
Fahua Lan | CN | Pudong | 2009-10-15 / 20090257714 - Multimode Reflective Tap | 1 |
Hongbo Lan | CN | Jinan | 2011-10-27 / 20110263108 - Method of fabricating semiconductor quantum dots | 1 |
Xiao Ming Lan | CN | Xi'An | 2010-07-01 / 20100165880 - METHODS FOR SUPPORTING RAPID NETWORK TOPOLOGY CHANGES WITH LOW OVERHEAD COSTS AND DEVICES OF THE SAME | 1 |
Shih-Min Lan | TW | Hsinchu | 2015-01-29 / 20150032918 - MULTIPLE-FORMAT MULTIMEDIA INTERFACE MODULE | 1 |
Yin-Ming Lan | TW | Hsinchu County | 2009-07-09 / 20090175097 - Method for detecting erroneous word lines of a memory array and device thereof | 1 |
Kuo-Pin Lan | TW | Hsinchu County | 2008-11-20 / 20080285393 - PROCESSING CIRCUITS AND METHODS FOR OPTICAL DATA | 1 |
Kuo-Hua Lan | TW | Hsin-Chu | 2012-02-23 / 20120044160 - Touch Panel and Peripheral Circuit Thereof | 1 |
Tzu-Hsiang Lan | TW | Hsinchu | 2012-06-28 / 20120162765 - PHOTOELECTRIC DEVICES HAVING INHOMOGENEOUS POLARIZATION SELECTIVITY AND THE MANUFACTURING METHOD THEREOF | 1 |
Yao Lan | CN | Shenzhen | 2015-07-30 / 20150214601 - Terminal Antenna Structure and Terminal | 13 |
Te-Chang Lan | TW | Taipei County | 2014-11-27 / 20140346127 - METHOD FOR FILTERING | 2 |
Pang-Chang Lan | TW | Taoyuan City | 2011-10-27 / 20110261897 - MULTIPLE-INPUT MULTIPLE-OUTPUT SYSTEMS AND METHODS FOR WIRELESS COMMUNICATION THEREOF FOR REDUCING THE QUANTIZATION EFFECT OF PRECODING OPERATIONS UTILIZING FINITE CODEBOOKS | 1 |
Keng-Li Lan | TW | Taipei City | 2011-03-17 / 20110065776 - Method for Treating Hepatitis C Infection | 1 |
Tien-Cheng Lan | TW | Taipei Hsien | 2009-02-12 / 20090042388 - METHOD OF CLEANING A SEMICONDUCTOR SUBSTRATE | 1 |
Yung-Huei Lan | TW | Taipei | 2014-07-24 / 20140203153 - Wall-Mounted Fixation Device | 3 |
Wen-Ji Lan | CN | Shenzhen City | 2011-02-10 / 20110030920 - Heat Sink Structure | 1 |
Chi-Chang Lan | TW | Taiping City | 2010-12-09 / 20100307400 - STATIONARY THREAD-CUTTING DEVICE FOR A SEWING MACHINE | 1 |
Keng-Hsin Lan | TW | Taipei City | 2011-03-17 / 20110065776 - Method for Treating Hepatitis C Infection | 1 |
Jui-Li Lan | TW | Xindian City | 2010-07-01 / 20100164282 - Multi-output Switching regulator and control method therefor | 1 |
C.w. Lan | TW | Hsinchu | 2011-06-16 / 20110142730 - Crystalline Silicon Formation Apparatus | 1 |
Yi-Fen Lan | TW | Taichung City | 2014-10-09 / 20140300847 - DISPLAY APPARATUS | 3 |
Wen-Ji Lan | TW | Kaohsiung | 2008-12-04 / 20080298012 - HOLDING BASE FOR A RADIATOR ASSEMBLY | 1 |
Chien-Tung Lan | TW | Taipei City | 2014-05-08 / 20140126250 - CONTROL CIRCUIT OF POWER CONVERTER WITH TEMPERATURE CONTROL AND METHOD FOR CONTROLLING POWER CONVERTER | 3 |
Ling-Shih Lan | TW | Taichung | 2009-01-01 / 20090000436 - Mechanised tailstock assembly for a lathe | 1 |
Kun-Chan Lan | TW | Tainan | 2014-01-16 / 20140019082 - METHOD OF CALCULATING STEP LENGTH | 1 |
Shun-Wei Lan | TW | Taipei City | 2012-10-25 / 20120270398 - PLANARIZATION METHOD FOR HIGH WAFER TOPOGRAPHY | 2 |
Fahua Lan | CN | Shanghai City | 2011-03-24 / 20110069959 - OPTICAL INTERLEAVER AND DEINTERLEAVER | 3 |
Fahua Lan | CN | Shanghai | 2015-08-13 / 20150226963 - OPTICAL CELL WITH WAVELENGTH COMPENSATOR | 10 |
Chien-Ju Lan | TW | Taipei City | 2015-03-19 / 20150076060 - METHOD AND DEVICE FOR TREATING WASTEWATER CONTAINING TETRAMETHYLAMMONIUM HYDROXIDE AND AMMONIUM NITROGEN | 1 |
Zeguan Lan | CN | Wuhan | 2011-05-05 / 20110104606 - COLOR TONER AND METHOD FOR PREPARING THE SAME | 3 |
Hung-Wei Lan | TW | Xindian City | 2011-12-29 / 20110315825 - ROTARY CASING OF SATELLITE ANTENA HAVING AN ANGLE ADJUSTABLE DISPLAY SCREEN | 1 |
Tsuo-Hung Lan | TW | Taichung County | 2011-06-16 / 20110145183 - PREDICTOR OF THE PERIOD OF PSYCHOTIC EPISODE IN INDIVIDUAL SCHIZOPHRENICS AND ITS METHOD | 1 |
Chang-Feng Lan | TW | New Taipei City | 2013-02-07 / 20130034403 - SCREW COMPONENT WITH A WATERPROOF STRUCTURE | 2 |
Wen-Ji Lan | TW | New Taipei City | 2013-09-26 / 20130250578 - HEAT DISSIPATION STRUCTURE FOR LED LIGHTING | 6 |
Tzu-Lun Lan | TW | Chung-Ho City | 2009-07-02 / 20090168352 - Heat sink device for a display card | 1 |
Wei-Chou Lan | TW | Hsinchu | 2016-02-04 / 20160033120 - BACKLIGHT DISPLAY DEVICE | 19 |
Cheng-Sun Lan | TW | Taipei | 2012-06-14 / 20120145450 - SHIELDING DEVICE | 1 |
Wei-Chia Lan | TW | New Taipei | 2016-03-24 / 20160085998 - ELECTRONIC DEVICE AND SECURITY PROTECTION METHOD FOR THE ELECTRONIC DEVICE | 1 |
Jinsong Lan | CN | Guangzhou | 2009-03-19 / 20090071049 - Projection-Type Advertisement Device | 1 |
Tzu-Jung Lan | TW | Kaohsiung City | 2016-03-10 / 20160069454 - GEAR POSITION LOCKING MECHANISM OF VEHICLE | 2 |
Song Lan | US | Cupertino | 2013-08-08 / 20130205263 - SUBSTRATE-TOPOGRAPHY-AWARE LITHOGRAPHY MODELING | 1 |
Chin Kun Lan | TW | Hsinchu City | 2010-12-09 / 20100311252 - OXYGEN PLASMA REDUCTION TO ELIMINATE PRECURSOR OVERFLOW IN BPTEOS FILM DEPOSITION | 1 |
Lichuan Lan | CN | Tianjin | 2010-03-25 / 20100071897 - METHOD FOR OPTIMIZING WELL PRODUCTION IN RESERVOIRS HAVING FLOW BARRIERS | 1 |
Je-Hsiung Lan | US | Cupertino | 2014-01-09 / 20140009862 - MEMS VARACTORS | 11 |
Xi Lan | CN | Lanzhou | 2010-05-27 / 20100129402 - DOUBLE-EFFECTIVE VACCINE VECTOR AGAINST FOOT-AND-MOUTH DISEASE VIRUS (FMDV), METHODS OF PREPARING AND USING THE SAME | 1 |
Qing Lan | CN | Nanjing | 2010-07-15 / 20100180088 - Memory Dispatching Method Applied to Real-time Data ETL System | 1 |
Haibin Lan | CN | Shenzhen | 2010-12-23 / 20100320016 - Hybrid Power Driving System and Driving Method Thereof | 1 |
Kuo-Jung Lan | TW | Jhonghe City | 2012-07-26 / 20120188861 - POWER SAVING METHOD AND SYSTEM APPLIED IN OPTICAL DISK DRIVE | 8 |
Wen Long Lan | CN | Shanghai | 2010-12-30 / 20100332890 - SYSTEM AND METHOD FOR VIRTUAL MACHINE MANAGEMENT | 2 |
Charles Lan | CN | Dongguan Cigy | 2011-01-20 / 20110012540 - Multipurpose flame light | 1 |
Mao Feng Lan | TW | Hsinchu County | 2015-01-15 / 20150015159 - LED DRIVER CAPABLE OF REGULATING POWER DISSIPATION AND LED LIGHTING APPARATUS USING SAME | 1 |
Hui-Ling Lan | TW | Tu-Cheng City | 2010-11-18 / 20100289719 - Antenna | 1 |
Fahua Lan | CN | Shanghai | 2015-08-13 / 20150226963 - OPTICAL CELL WITH WAVELENGTH COMPENSATOR | 10 |
Tung-Hsin Lan | TW | Taipei City | 2012-09-13 / 20120229441 - LIQUID CRYSTAL DISPLAY PANEL | 18 |
Ching Fu Lan | TW | Hsinchu County | 2014-12-11 / 20140362963 - CORRECTING APPARATUS FOR TIMING RECOVERY OF RECEIVER AND METHOD THEREOF | 4 |
Bang-Chiang Lan | TW | Taipei City | 2014-10-02 / 20140291787 - STRUCTURE OF MEMS ELECTROACOUSTIC TRANSDUCER | 26 |
Le-Ngoc Lan | NZ | Christchurch | 2008-08-28 / 20080202233 - Angle and Force Measurement Instrument | 1 |
Shengrui Lan | SG | Singapore | 2009-01-29 / 20090028638 - Bollard System and Method of Installation | 1 |
Ruoxi Lan | CA | Fleurimont | 2012-02-23 / 20120046441 - Combinatorial Synthesis of Libraries of Macrocyclic Compounds Useful in Drug Discovery | 1 |
Wenzhao Lan | CA | Mississauga | / - | 2 |
Ke-Hua Lan | FR | Grenoble | 2010-03-11 / 20100060856 - INSTALLATION FOR EXPOSING A CINEMATOGRAPHIC FILM FROM DIGITAL IMAGES | 1 |
Weihua Lan | GB | Newcastle Upon Tyne | 2010-03-25 / 20100075885 - CLEANING COMPOSITION | 2 |
Kang Lan | CA | Aurora | 2010-09-09 / 20100225547 - Vehicle concealed antenna | 1 |
Yanmei Lan | US | Acton | 2014-10-16 / 20140309205 - CEFTOLOZANE ANTIBIOTIC COMPOSITIONS | 3 |
Megan Lan | HN | Choluteca | 2010-11-18 / 20100292661 - Absorbent Articles with Improved Odor Control | 1 |
Yuang-Tzong Lan | TW | Taipei City | 2014-02-20 / 20140050455 - CORRECTION METHOD FOR OBJECT LINKING ACROSS VIDEO SEQUENCES IN A MULTIPLE CAMERA VIDEO SURVEILLANCE SYSTEM | 3 |
Peng Lan | CN | Beijing | 2015-10-29 / 20150312863 - METHOD AND APPARATUS FOR POWER SAVING SCHEME IN A LOCATION SENSOR | 1 |
Kung-Ming Lan | TW | Jiaoxi Township | 2012-11-01 / 20120275524 - SYSTEMS AND METHODS FOR PROCESSING SHADOWS IN COMPRESSED VIDEO IMAGES | 4 |
De-Chin Lan | TW | Kaohsiung County | 2011-04-07 / 20110080755 - Power Supply with Synchronized Clocks and Related DC-DC Converter | 1 |
Yung-Song Lan | TW | Hsinchu | 2009-02-12 / 20090040582 - System and method for holographic storage | 2 |
Ting-Cheng Lan | TW | Pingtung County | 2014-04-10 / 20140099200 - FAN STRUCTURE | 1 |
Chien-Piao Lan | TW | Hsinchu City | 2011-01-27 / 20110019489 - Apparatus and method for data strobe and timing variation detection of an SDRAM interface | 1 |
Yong Lan | CN | Shenzhen | 2014-09-04 / 20140247631 - FULLY-CONTROLLED BRIDGE RECTIFYING DEVICE WITH SURGE SUPPRESSION FUNCTION | 1 |
Ming-Ying Lan | TW | Taipei City | 2011-11-24 / 20110287953 - METHOD FOR DISCOVERING POTENTIAL DRUGS | 1 |
Lucy Lan | US | San Marcos | 2014-12-25 / 20140377285 - TREATMENT REGIMENS USING MULTIPLE PHARMACEUTICAL AGENTS | 1 |
Jianming Lan | CN | Beijing | 2012-08-02 / 20120198539 - Service Access Method, System and Device Based on WLAN Access Authentication | 1 |
Pang-Chang Lan | TW | Taipei City | 2013-02-21 / 20130044799 - Method of Handling Geodesic Interpolation for MIMO Precoding and Related Communication Device | 2 |
Zhou Lan | JP | Koganei-Shi | 2013-08-22 / 20130217406 - WIRELESS DEVICE AND COMMUNICATION METHOD | 2 |
Yun Lan | TW | Taipei Hsien | 2013-05-30 / 20130134746 - MULTI-FUNCTIONAL HEALTHY CHAIR | 1 |
Kung-Ming Lan | TW | Miaoli County | 2014-02-27 / 20140055462 - METHOD OF DEFINING IMAGE SURVEILLANCE REGION | 2 |
Shih-Hung Lan | TW | Hsinchu County | 2011-03-24 / 20110072337 - DATA RECEIVING METHOD, ELECTRONIC APPARATUS AND STORAGE SYSTEM HAVING DATA RECEIVING MECHANISM | 2 |
Pei-Hsuan Lan | TW | Banciao City | 2011-08-18 / 20110199749 - Led lead frame structure | 12 |
Yuanliang Lan | CN | Beijing | 2011-09-08 / 20110216518 - Self-Cooled Thyristor Valve | 1 |
Yu-Chin Lan | TW | New Taipei City | 2016-03-10 / 20160073462 - LED DRIVING DEVICE AND METHOD FOR IMPROVING HARMONIC CURRENT DISTORTION ON A LED DRIVING DEVICE | 1 |
Yung-Sung Lan | TW | Kaohsiung County | 2011-02-10 / 20110032212 - INPUT APPARATUS AND OPERATION METHOD THEREOF | 2 |
Quiling Lan | CN | Guangki | 2011-09-08 / 20110216933 - Elastomer Loudspeaker Box System | 1 |
Zhonghua Lan | CN | Zhuhai | 2015-10-01 / 20150278236 - METHOD FOR FORMING WEBPAGE, PERIPHERAL APPARATUS AND SYSTEM | 1 |
Tong-Ming Lan | CN | Shenzhen | 2012-08-02 / 20120194045 - HOUSING AND METHOD FOR MANUFACTURING SAME | 3 |
Yi-Hung Lan | TW | Yunlin County | 2011-09-08 / 20110216450 - TRANSFORMER FAILURE ANALYSIS SYSTEM | 1 |
Yongqing Lan | DE | Ludwigshafen | 2016-04-07 / 20160096979 - USE OF A CHEMICAL-MECHANICAL POLISHING (CMP) COMPOSITION FOR POLISHING A SUBSTRATE OR LAYER CONTAINING AT LEAST ONE III-V MATERIAL | 3 |
Yu-Hsi Lan | TW | Jhubei City | 2010-12-23 / 20100322436 - ARRAY MICROPHONE SYSTEM INCLUDING OMNI-DIRECTIONAL MICROPHONES TO RECEIVE SOUND IN CONE-SHAPED BEAM | 2 |
Bang-Chiang Lan | TW | Taipei | 2011-10-06 / 20110241137 - Integrated Circuit and Fabricating Method thereof | 1 |
Ying Chieh Lan | TW | Taichung | 2011-01-20 / 20110014830 - Swimming shoe | 1 |
Hung-Chang Lan | TW | Hsinchu Industrial Park | 2010-12-16 / 20100315811 - CURVED LIGHT GUIDING ILLUMINATOR | 1 |
Jiong Lan | CN | Shanghai | 2016-04-21 / 20160108035 - CYCLOALKYL ACID DERIVATIVE, PREPARATION METHOD THEREOF, AND PHARMACEUTICAL APPLICATION THEREOF | 3 |
Chang-Chun Lan | TW | New Taipei City | 2015-10-01 / 20150277519 - ELECTRICAL DEVICE HAVING THERMAL ISOLATION FUNCTION | 1 |
Xiaohua Lan | CN | Shenzhen | 2015-11-19 / 20150331739 - Method and Apparatus for Controlling Sending of Heartbeat Signal | 1 |
Shih-Chieh Lan | TW | Taipei | 2015-08-27 / 20150238145 - MOUSE DEVICE | 3 |
Jeng-Feng Lan | TW | Hsinchu City | 2013-04-04 / 20130082923 - OPTICAL POINTER CONTROL SYSTEM AND METHOD THEREFOR | 6 |
Wen-Chih Lan | TW | Tu-Cheng | 2013-06-27 / 20130161461 - CONNECTING STRUCTURE | 4 |
Ping Lan | US | Plainsboro | 2015-10-01 / 20150274672 - SUBSTITUTED SPIROPIPERIDINYL COMPOUNDS USEFUL AS GPR120 AGONISTS | 2 |
Je-Hsiung Lan | US | San Diego | 2015-03-05 / 20150061813 - VARYING THICKNESS INDUCTOR | 21 |
Divon Lan | IL | Tel Aviv | 2014-01-23 / 20140024401 - METHODS FOR USER-INTERFACE OVER SMS MESSAGES BASED ON A REUSABLE CONTEXT MODEL | 2 |
Chi-Ming Lan | TW | Yangmei Township | 2010-09-02 / 20100222596 - KIND OF ORGANIC COMPOUND AND ORGANIC DYE USED IN DYE-SENSITIZED SOLAR CELL THEREOF | 1 |
Yuming Lan | CN | Beijing | 2016-02-25 / 20160054756 - Electronic Device | 1 |
Haibo Lan | CN | Beijing | 2016-03-03 / 20160063153 - SIMULATION METHOD AND APPARATUS FOR WIND FARM COMMON COUPLING REGION | 1 |
Yu-Bing Lan | TW | Banciao City | 2011-08-18 / 20110199749 - Led lead frame structure | 9 |
Yung-Hsiang Lan | TW | New Taipei City | 2016-04-21 / 20160111690 - DISPLAY PANEL AND METHOD FOR FABRICATION THEREOF | 3 |
Rong-Qin Lan | CN | Dong-Guan | 2014-01-30 / 20140030920 - ELECTRICAL CONNECTOR | 6 |
Sen-Bin Lan | TW | Taichung City | 2011-11-24 / 20110284127 - Life Saving Device That Can Be Inflated Automatically | 1 |
Shao-Hua Lan | TW | Taoyuan | 2011-11-24 / 20110284356 - Keypad plunger structure and method of making the same | 1 |
Ying-Che Lan | TW | Hsin-Chu | 2011-11-24 / 20110285938 - HIGH BRIGHTNESS LIQUID CRYSTAL DISPLAY | 1 |
Chih-Yen Lan | TW | Taipei City | 2012-12-06 / 20120306788 - ELECTRONIC APPARATUS WITH TOUCH INPUT SYSTEM | 1 |
Joung-Liang Lan | TW | Taipei City | 2015-02-19 / 20150050315 - METHOD FOR MODULATING TH17 CELLS AND METHOD FOR TREATING A DISEASE RELATED TO MODULATION OF TH17 CELLS | 1 |
Jing Lan | CN | Shanghai | 2013-03-14 / 20130066473 - Generating Thermal Zones | 1 |
Weijian Lan | CN | Wuxi | 2015-12-03 / 20150349564 - Electrical Connector And Movable Device Using The Same | 2 |
Yung-Hung Lan | TW | Taipei City | 2014-01-02 / 20140005973 - METHOD OF EXAMINING TEST APPARATUS FOR WIRELESS NETWORK DEVICE | 3 |
Keng-Hsin Lan | TW | Taipei | 2014-04-17 / 20140105934 - METHOD FOR ENHANCING IMMUNE RESPONSE IN THE TREATMENT OF INFECTIOUS AND MALIGNANT DISEASES | 2 |
Keng-Li Lan | TW | Taipei | 2014-04-17 / 20140105934 - METHOD FOR ENHANCING IMMUNE RESPONSE IN THE TREATMENT OF INFECTIOUS AND MALIGNANT DISEASES | 2 |
Yuan-Ker Lan | TW | Hsinchu City | 2010-09-30 / 20100244658 - FLAT FLUORESCENT LAMP AND STRUCTURE OF THE SAME | 1 |
Chi-Wei Lan | TW | Taipei | 2013-11-14 / 20130302867 - METHOD FOR PRODUCING BIODEGRADABLE POLYMER AND BIOMASS FUEL CONVERTED FROM CARBON SOURCE BY RECOMBINANT MICROORGANISMS | 2 |
Hongying Lan | CN | Zhejiang | 2015-08-20 / 20150231050 - HAIR DYE PRODUCT | 2 |
Pang-Chang Lan | US | Monterey Park | 2015-02-19 / 20150049713 - Precoding-Codebook-Based Secure Uplink in LTE | 1 |
Kung Mi Lan | TW | Pingtung City | 2012-01-12 / 20120005941 - FISHING POLE STAND | 1 |
Kuo-Jung Lan | TW | Jhonghe City | 2012-07-26 / 20120188861 - POWER SAVING METHOD AND SYSTEM APPLIED IN OPTICAL DISK DRIVE | 8 |
Chien-Sheng Lan | TW | Taoyuan County | 2013-09-19 / 20130243105 - ELECTROCARDIOGRAM SIGNAL COMPRESSION AND DE-COMPRESSION SYSTEM | 1 |
Ting-Chen Lan | TW | Pingtung City | 2012-02-16 / 20120038305 - Fan Failure Detector | 1 |
Ya-Ke Lan | TW | New Taipei City | 2015-06-11 / 20150161979 - HANDHELD ELECTRONIC APPARATUS AND CORRESPONDING NOISE-CANCELING HEADPHONES | 2 |
Chung-Kai Lan | TW | Taoyuan Hsien | 2010-07-01 / 20100166580 - FAN AND FAN HOUSING | 2 |
Wen-Han Lan | TW | Taoyuan Hsien | 2013-11-14 / 20130300326 - MOTOR DRIVING DEVICE FOR PROTECTING INRUSH CURRENT | 1 |
Hai Lan | US | Fremont | 2016-05-19 / 20160140274 - ENERGY ANALYSIS FOR DIFFERENTIAL POWER ANALYSIS RESISTANCE | 2 |
Yu Lan | CN | Wuhan City | 2014-01-23 / 20140024656 - [1,3,4] OXADIAZOLE DERIVATIVE AND APPLICATION THEREOF | 1 |
Wei-Chou Lan | TW | Hsinchu | 2016-02-04 / 20160033120 - BACKLIGHT DISPLAY DEVICE | 19 |
Chien Hung Lan | TW | Hsinchu | 2014-06-12 / 20140164678 - INTELLIGENT DETECTION DEVICE OF SOLID STATE HARD DISK COMBINING A PLURALITY OF NAND FLASH MEMORY CARDS AND DETECTING METHOD FOR THE SAME | 2 |
Weiren Lan | TW | Taoyuan Hsien | 2013-01-03 / 20130004895 - PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE DRY FILM AND METHOD FOR FORMING PATTERN | 2 |
Ci-Wei Lan | TW | Keelung City | 2013-12-05 / 20130325506 - UPLOADING MEASUREMENT DATA OF NON-CONNECTED MEDICAL MEASURING DEVICES | 2 |
Chang-Yi Lan | TW | Taichung | 2016-05-12 / 20160133593 - ELECTRONIC PACKAGE AND FABRICATION METHOD THEREOF | 5 |
Tsung-Yi Lan | TW | Kaohsiung City | 2012-06-07 / 20120140489 - Illumination Device with a Lamp Cap Capable of Dissipating Heat and Spreading Light | 1 |
Chia-Tsui Lan | TW | Taipei | 2014-10-16 / 20140306396 - INVERTING ROLLER DEVICE FOR CONVEYING PAPER AND METHOD FOR CHANGING CONVEYING PATH OF PAPER | 4 |
Stan Lan | TW | Taipei | 2015-08-06 / 20150220178 - MULTITOUCH TOOLS FOR USE WITH A CAPACITIVE TOUCH SENSOR | 1 |
Wen-Jie Lan | TW | Kaohsiung City | 2015-02-19 / 20150048280 - METHOD FOR MANUFACTURING NANOSTRUCTURED METAL OXIDE CALCINATE AND NANOSTRUCTURED METAL OXIDE CALCINATE THEREOF | 1 |
Hsiao-Chin Lan | TW | Taoyuan | 2012-03-08 / 20120057822 - OPTICAL COUPLER MODULE HAVING OPTICAL WAVEGUIDE STRUCTURE | 5 |
Cheng-Chun Lan | TW | New Taipei City | 2014-12-25 / 20140377899 - LIGHT EMITTING DIODE CHIP MANUFACTURING METHOD | 2 |
Chien-Ming Lan | SG | Singapore | 2014-04-10 / 20140097862 - TEST STRUCTURE FOR WAFER ACCEPTANCE TEST AND TEST PROCESS FOR PROBECARD NEEDLES | 1 |
Sheng-Min Lan | TW | Tainan City | 2014-03-06 / 20140066940 - ASSISTANT DEVICE AND GUIDING ASSEMBLY FOR PERCUTANEOUS SURGERY | 1 |
Wen-Chieh Lan | TW | Keelung | 2011-12-15 / 20110303143 - METHOD OF MANUFACTURING CRYSTALLINE SILICON INGOT | 1 |
Chen-Yang Lan | TW | Hsinchu | 2014-05-01 / 20140116042 - WAVE POWER GENERATION SYSTEM AND HYDRAULIC COMPONENT THEREOF | 1 |
Ping Lan | US | 2015-10-01 / 20150274672 - SUBSTITUTED SPIROPIPERIDINYL COMPOUNDS USEFUL AS GPR120 AGONISTS | 1 | |
Yin Chun Lan | TW | Hsinchu | 2016-05-12 / 20160133232 - Image processing method and display apparatus | 2 |
Cheng-Wei Lan | TW | Taipei City | 2015-04-09 / 20150099561 - METHOD FOR CONTROLLING RF SIGNAL PROCESSING COMPONENTS AND COMMUNICATIONS APPARATUS UTILIZING THE SAME | 1 |
Qingkuo Lan | CN | Tianjin | 2012-04-12 / 20120088243 - METHOD FOR DETECTION OF GENETICALLY MODIFIED MAIZE BT11 | 1 |
Je-Hsuing Lan | US | San Diego | 2013-04-25 / 20130100090 - ELECTROMECHANICAL SYSTEMS VARIABLE CAPACITANCE DEVICE | 2 |
Yin Chun Lan | TW | Wurih Township | 2014-01-30 / 20140029845 - Image processing method and display apparatus | 1 |
Shih-Hung Lan | TW | Jhubei City | 2014-07-03 / 20140184296 - MCML RETENTION FLIP-FLOP/LATCH FOR LOW POWER APPLICATIONS | 4 |
Wen-Chih Lan | TW | New Taipei | 2014-04-03 / 20140092535 - SLIDING MECHANISM AND ELECTRONIC APPARATUS HAVING SAME | 8 |
Yu-Bing Lan | TW | Banciao City | 2011-08-18 / 20110199749 - Led lead frame structure | 9 |
Ying-Chung Lan | TW | Tainan City | 2010-06-17 / 20100146884 - COMBINED WALL PANEL | 1 |
Jingbo Lan | CN | Chengdu | 2013-08-01 / 20130197222 - PREPARATION PROCESS OF (6R)-TETRAHYDROBIOPTERIN HYDROCHLORIDE | 1 |
Hongbo Lan | CN | Qingdao | 2012-11-29 / 20120299222 - METHOD AND DEVICE FOR FULL WAFER NANOIMPRINT LITHOGRAPHY | 1 |
Shan-Ming Lan | TW | Daxi Town | 2011-02-03 / 20110027931 - Method for making solar cells with sensitized quantum dots in the form of nanometer metal particles | 7 |
Yi-Hsuan Lan | TW | Hsin-Chu | 2010-03-04 / 20100053939 - Backlight module | 1 |
Chih-Shin Lan | TW | Taipei City | 2013-04-25 / 20130100501 - CLOUD PROCESSING METHOD WITH IDENTIFICTION MECHANISM AND SYSTEM USING THE SAME | 1 |
Hongying Lan | CN | Hangzhou | 2014-11-13 / 20140335211 - USE OF NEOHESPERIDIN | 4 |
Peng-Ju Lan | TW | New Taipei City | 2013-08-08 / 20130200822 - DIMMING CIRCUIT AND METHOD FOR LEDS | 3 |
Tang Lan | CN | Beijing | 2012-01-05 / 20120003700 - Thermostable Alpha-Amylases | 1 |
Ching Fu Lan | TW | Hsinchu Hsien | 2014-07-24 / 20140204997 - Soft Demapper, Calculating System, and Method for Calibrating Soft Data of Multimedia Data Stream | 3 |
Ruoxi Lan | US | Waltham | 2016-03-03 / 20160058763 - NOVEL HETEROCYCLIC CARBOXAMIDES AS MODULATORS OF KINASE ACTIVITY | 16 |
Xinwei Lan | US | Rolla | 2015-02-05 / 20150036147 - DISTRIBUTED MICROWAVE FABRY-PEROT INTERFEROMETER DEVICE AND METHOD | 2 |
Yin-Chun Blue Lan | TW | Wurih Township | 2010-01-14 / 20100008571 - Method of high performance image compression | 3 |
Shuhuai Lan | US | Ann Arbor | 2015-12-31 / 20150375335 - HYBRID FRICTION STIR WELDING FOR DISSIMILAR MATERIALS THROUGH ELECTROPLASTIC EFFECT | 1 |
Chuan-Ching Lan | TW | Kaohsiung City | 2016-03-10 / 20160067286 - Formulation for Accelerating Wound Healing, Preparation Method and Administering Method of The Same | 1 |
Chengyu Lan | CN | Shenzhen | 2015-11-12 / 20150326219 - DRIVING CIRCUIT FOR IGBT MODULE | 1 |
Kuo-Neng Lan | TW | Zhonghe City | 2012-02-02 / 20120030475 - MACHINE-MACHINE AUTHENTICATION METHOD AND HUMAN-MACHINE AUTHENTICATION METHOD FOR CLOUD COMPUTING | 1 |
Kuo-Neng Lan | TW | Taipei | 2016-02-04 / 20160034741 - CONTROL METHOD FOR FINGERPRINT RECOGNITION APPARATUS | 1 |
Li Lan | SG | Singapore | 2013-03-14 / 20130066584 - TEMPERATURE ESTIMATION METHOD, TEMPERATURE ESTIMATION APPARATUS, AND PROGRAM THEREOF | 1 |
Zhida Lan | US | San Jose | 2016-05-12 / 20160133836 - HIGH ENDURANCE NON-VOLATILE STORAGE | 12 |
Cheng-Che Lan | TW | Kaohsiung | 2012-08-23 / 20120215120 - NONINVASIVE CUTANEOUS BLOOD FLOW ASSESSMENT AS A RESPONSE PREDICTOR FOR VISIBLE LIGHT THERAPY ON SEGMENTAL VITILIGO | 1 |
Ci-Wei Lan | TW | Taipei City | 2014-08-28 / 20140240339 - PERSONAL VISUALIZATION OF HEALTH CONDITIONS | 2 |
Mao-Hsien Lan | TW | Taoyuan Hsien | 2011-09-01 / 20110211177 - POWER SUPPLY DEVICE WITH PROJECTING FUNCTION | 1 |
Chung-Wen Lan | TW | Xindian City | 2011-05-26 / 20110120548 - SOLAR CELL STRUCTURE AND METHOD OF MAKING | 1 |
Chung-Wen Lan | TW | Xindian | 2011-12-15 / 20110303143 - METHOD OF MANUFACTURING CRYSTALLINE SILICON INGOT | 1 |
Nancy C. Lan | US | Altadena | 2008-12-25 / 20080318932 - Sodium Channel Blocker Compositions and the Use Thereof | 1 |
Pang-Chang Lan | TW | Taoyuan County | 2011-08-18 / 20110200139 - MULTIPLE-INPUT MULTIPLE-OUTPUT SYSTEMS AND METHODS FOR WIRELESS COMMUNICATION THEREOF FOR REDUCING THE QUANTIZATION EFFECT OF PRECODING OPERATIONS UTILIZING A FINITE CODEBOOK | 1 |
Xian Ming Lan | CN | Qingdao | 2013-12-05 / 20130326388 - POWER GRID VISUALIZATION SYSTEM AND METHOD BASED ON THREE-DIMENSIONAL GIS TECHNOLOGY | 1 |
Wen-Han Lan | TW | Taoyuan City | 2015-10-22 / 20150303856 - MOTOR DRIVING CIRCUIT | 1 |
Wen-Ting Lan | TW | Hsinchu | 2015-12-17 / 20150364560 - SEMICONDUCTOR DEVICE AND METHOD OF FORMING VERTICAL STRUCTURE | 1 |
Tim Lan | US | Sunnyvale | 2009-02-05 / 20090034651 - METHOD FOR FAST CONVERGENCE CALIBRATION OF RADIO-FREQUENCY TRANSCEIVERS | 1 |
Justin H. Lan | US | Bothell | 2014-03-20 / 20140077031 - METALLIC SANDWICH STRUCTURE HAVING SMALL BEND RADIUS | 1 |
Guangsong Lan | CN | Shaya County | 2013-12-05 / 20130324720 - SYSTEM AND PROCESS FOR MELAMINE PRODUCTION BY GAS-PHASE QUENCHING METHOD OF ENERGY EFFICIENT AND COST SAVING TYPE | 1 |
Hsueh Ban Lan | US | Cupertino | 2010-02-18 / 20100042956 - System, and Method, And Computer Readable Medium For Designing A Scalable Clustered Storage Integrated Circuit For Multi-Media Processing | 1 |
Wei-Ting Lan | TW | Tainan County | 2014-05-08 / 20140124656 - LIGHT SENSING METHOD | 5 |
Yung-Huei Lan | US | San Jose | 2010-02-18 / 20100038502 - Holding device with a securing sheet for mounting onto a wall | 1 |
Jay M. Lan | US | Thousand Oaks | 2012-10-11 / 20120259275 - SYSTEMS AND METHODS FOR DELIVERY OF PERITONEAL DIALYSIS (PD) SOLUTIONS | 3 |
Shao-Ztu Lan | TW | Shindian | 2013-06-20 / 20130154843 - WIRELESS ALERT DEVICE, COMMUNICATION DEVICE AND ALERT METHOD | 1 |
Sikun Lan | US | Los Angeles | 2012-04-26 / 20120101992 - Methods and Systems for Updating Web Pages via a Web Data Instant Update Utility | 2 |
Hai Lan | TW | Tu-Cheng | 2011-12-08 / 20110301519 - ORTHOPEDIC ADJUSTMENT DEVICE | 13 |
Matan Lan | US | Orlando | 2013-08-08 / 20130204638 - Systems and Methods for Managing Eligible Expenses From Specialized Financial Accounts | 1 |
Kai-Wei Lan | TW | New Taipei City | 2014-01-30 / 20140028963 - CHOLOESTERIC LIQUID CRYSTAL DISPLAY | 2 |
Chia-Chun Lan | TW | Tainan | 2015-05-28 / 20150145073 - LOW-K DIELECTRIC SIDEWALL SPACER TREATMENT | 1 |
Wei-Ming Lan | US | Morrisville | 2012-03-22 / 20120071178 - Mobile Network Presence Service with Load-Based Notification Throttling | 3 |
Kuo-Hua Lan | TW | New Taipei City | 2014-11-20 / 20140340592 - TOUCH PANEL AND METHOD OF FABRICATING THE SAME | 1 |
Yongqing Lan | US | Potsdam | 2014-01-02 / 20140004703 - CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION COMPRISING A POLYMERIC POLYAMINE | 5 |
Je-Hsiung Jeffrey Lan | US | San Diego | 2016-03-31 / 20160095208 - DEVICES AND METHODS TO REDUCE STRESS IN AN ELECTRONIC DEVICE | 11 |
Wenkui Lan | US | Livingston | 2009-10-22 / 20090265184 - Method for the fractionation and separation of particles by step-wise gradient density extraction | 1 |
Rong Lan | GB | Glasgow | 2012-06-21 / 20120156582 - FUEL CELL | 1 |
Ben (hsueh-Ban) Lan | US | Cupertino | 2008-11-06 / 20080273031 - Page based rendering in 3D graphics system | 1 |
Guihua Lan | CN | Kunming | 2016-03-31 / 20160089351 - USE OF DENCICHINE IN PREPARATION OF DRUG FOR TREATING THROMBOCYTOPENIA | 1 |
Gittins David Lan | GB | St Austell | 2011-08-18 / 20110200658 - MICROENCAPSULATION | 1 |
Ping Lan | US | Dayton | 2015-10-08 / 20150284376 - MINERALOCORTICOID RECEPTOR ANTAGONISTS | 6 |
Tse Hua Lan | US | Atlanta | 2010-06-24 / 20100158126 - Integrated Transcoding | 1 |
Ruoxi Lan | US | Arlington | 2015-11-05 / 20150315235 - Combinatorial Synthesis of Libraries of Macrocyclic Compounds Useful in Drug Discovery | 2 |
David Zhiqiang Lan | US | Memphis | 2010-03-04 / 20100057098 - Apparatus Used to Perform Image Guided Medical Procedures | 1 |
Lin Lan | US | Loganville | 2014-04-17 / 20140102132 - MODULAR CO2 REFRIGERATION SYSTEM | 2 |
Ling Lan | CN | Beijing | 2015-07-02 / 20150186129 - METHOD AND SYSTEM FOR DEPLOYING A PROGRAM MODULE | 3 |
Maofeng Lan | US | Union City | 2009-03-05 / 20090058511 - Low Supply Voltage Bandgap System | 1 |
Dongping Lan | US | Oak Ridge | 2009-12-10 / 20090303897 - METHOD AND APPARATUS FOR VOICE-OVER-IP CALL RECORDING AND ANALYSIS | 1 |
Erica Sui-Ching Lan | US | Bellevue | 2009-11-26 / 20090292888 - Backing up Data from Backup Target to Backup Facility | 2 |
Yan Lan | US | Belmont | 2013-01-17 / 20130017168 - METHODS FOR ENHANCING THE EFFICACY OF IL-2 MEDIATED IMMUNE RESPONSES | 5 |
Wei-Wen Lan | TW | Taoyuan County | 2009-11-19 / 20090283315 - HIGH DENSITY PACKAGE SUBSTRATE AND METHOD FOR FABRICATING THE SAME | 2 |
Xing Lan | US | Huntington Beach | 2014-10-30 / 20140323076 - WIDEBAND TUNABLE NOTCH CANCELLATION | 3 |
Yongshen Lan | US | Houston | 2009-11-19 / 20090285800 - Aberrant expression of CKS1 and CKS2 and uses thereof | 1 |
Jzu-Jung Lan | TW | Kaohsiung City | 2008-10-30 / 20080264707 - COOLING STRUCTURE FOR A CONTINUOUS VARIATION TRANSMISSION SYSTEM OF AN ALL-TERRAIN VEHICLE | 1 |
Chien-Yu Lan | TW | Kaohsiung City | 2011-08-11 / 20110195749 - METHODS FOR ACCESSING SUBSCRIBER IDENTITY CARDS EACH VIA A DEDICATED INTERFACE AND SYSTEMS CONTAINING SUBSCRIBER IDENTITY CARDS EACH WITH A DEDICATED INTERFACE | 2 |
David Lan | US | Wilmington | 2009-08-06 / 20090197108 - NON-VINYL FLOORING AND METHOD FOR MAKING SAME | 1 |
Jay M. Lan | US | Farmington | 2009-03-26 / 20090078592 - SYSTEMS AND METHODS FOR DELIVERY OF PERITONEAL DIALYSIS (PD) SOLUTIONS | 1 |
Kung-Ming Lan | TW | Yilan | 2012-06-21 / 20120154581 - CASCADABLE CAMERA TAMPERING DETECTION TRANSCEIVER MODULE | 1 |
Nancy C. Lan | US | South Pasadena | 2013-11-14 / 20130303568 - Substituted 2-Aminoacetamides and the Use Thereof | 3 |
Ke Lan | US | Upper Darby | 2012-07-19 / 20120183508 - GAMMA SECRETASE INHIBITOR FOR TREATMENT OF HERPESVIRUS INFECTION | 2 |
Wei-Ming Lan | TW | New Taipei | 2016-02-04 / 20160037078 - ELECTRONIC DEVICE WITH MAGNIFYING ELEMENT | 1 |
Mao-Feng Lan | TW | Hsinchu City | 2014-05-01 / 20140119076 - CURRENT CONTROL CIRCUIT AND METHOD THEREOF FOR A POWER CONVERTER | 1 |
Que Lan | US | Madison | 2014-09-18 / 20140274880 - MOSQUITOCIDAL XENORHABDUS, LIPOPEPTIDE AND METHODS | 3 |
Chien-Lun Lan | TW | Kaohsiung City | 2010-06-24 / 20100161204 - Method for identification of traffic lane boundary | 1 |
Hong Lan | US | Bridgewater | 2008-10-16 / 20080255064 - Methods for modulating cholecystokinin expression | 1 |
Jo-Lin Lan | TW | Kaohsiung City | 2010-05-06 / 20100108240 - Method of Forming an Electrode Including an Electrochemical Catalyst Layer | 6 |
Justin Honshune Lan | US | Bothell | 2016-01-28 / 20160024963 - NOISE ATTENUATING LIPSKIN ASSEMBLY AND METHODS OF ASSEMBLING THE SAME | 3 |
Xiangyang Lan | US | Ithaca | 2008-10-02 / 20080240551 - LOCAL BI-GRAM MODEL FOR OBJECT RECOGNITION | 1 |
Ming-Yuan Lan | TW | Kuei Shan Hsiang | 2013-06-13 / 20130145697 - PROTECTION COVER MECHANISM WITH ENHANCED SPACE UTILIZATION | 1 |
Meifang Lan | CN | Xiamen | 2015-07-16 / 20150199050 - TOUCH PANEL | 2 |
Oliver Lan | US | Thousand Oaks | 2009-10-01 / 20090249191 - Signing Ceremony System And Method | 1 |
Tes-Ming Lan | TW | Tainan City | 2013-06-13 / 20130150991 - DATA PROCESSING MODULE OF DIGITAL AUDIO PROCESSING DEVICE, DIGITAL AUDIO PROCESSING CONTROLLER MODULE OF PORTABLE DEVICE, AND CONTROL SYSTEM | 1 |
Kung-Ming Lan | TW | Yilan County | 2012-05-31 / 20120133789 - DATA CLUSTERING METHOD AND DEVICE, DATA PROCESSING APPARATUS AND IMAGE PROCESSING APPARATUS | 2 |
Wen-How Lan | TW | Kaohsiung City | 2015-12-24 / 20150370155 - Projector Using Glass Phosphor As a Color Mixing Element | 1 |
Fang-Chun Lan | TW | Yilan County | 2012-07-19 / 20120183088 - LATTICE REDUCTION ARCHITECTURE AND METHOD AND DETECTION SYSTEM THEREOF | 1 |
Shan-Ming Lan | TW | Taoyuan County | 2010-11-04 / 20100279029 - Method for coating nanometer particles | 2 |
Jie Lan | CN | Shenyang | 2015-09-17 / 20150257385 - Substituted Pyrimidine Compound and Uses Thereof | 3 |
Chia-Chun Lan | TW | Rende Township | 2011-09-29 / 20110233514 - Surface plasmon enhanced light-emitting diode | 1 |
Te-Chang Lan | TW | New Taipei City | 2013-06-13 / 20130146520 - FILTRATION DEHYDRATION APPARATUS | 2 |
Guo-Yu Lan | TW | Taichung City | 2014-09-18 / 20140264721 - ISOLATION STRUCTURE IN A SEMICONDUCTOR DEVICE PROCESSES AND STRUCTURES | 1 |
Tao Lan | US | Winchester | 2015-02-12 / 20150044196 - Compositions for Inhibiting Gene Expression and Uses Thereof | 6 |
Yu-Hsi Lan | TW | New Taipei City | 2014-09-18 / 20140271056 - UNLOADING SYSTEM FOR UNLOADING A CIRCUIT BOARD AUTOMATICALLY | 1 |
Pei-Yu Lan | US | Pleasanton | 2014-06-19 / 20140170041 - Methods for Removing Potassium, Rubidium, and Cesium, Selectively or in Combination, From Brines and Resulting Compositions Thereof | 2 |
Yao-Hui Lan | TW | Hsinchu City | 2014-01-30 / 20140028206 - APPARATUS FOR CONTROLLING LED STRING | 1 |
Tianliang Lan | CN | Shenzhen | 2013-12-19 / 20130334021 - Keyboard Preventable Keycaps from Breaking off | 3 |
Tzu-Hsiang Lan | TW | New Taipei | 2015-04-30 / 20150121258 - METHOD, SYSTEM FOR CONTROLLING DYNAMIC MAP-TYPE GRAPHIC INTERFACE AND ELECTRONIC DEVICE USING THE SAME | 5 |
Yi-Fen Lan | TW | Hsin-Chu | 2015-02-05 / 20150036080 - Optical Film and Display Device Having the Same | 2 |
Hung Hsun Lan | TW | Hsin-Chu | 2009-11-19 / 20090286426 - Connector for the network device | 1 |
Chung-Wen Lan | TW | Taoyuan | 2014-06-26 / 20140174522 - Solar Cell | 1 |
Jeffrey Lan | US | Cupertino | 2010-10-28 / 20100271688 - METHOD OF CREATING MEMS DEVICE CAVITIES BY A NON-ETCHING PROCESS | 1 |
Carlos Alcides Lana | US | Columbus | 2016-03-24 / 20160084187 - ENGINE CONTROL SYSTEMS AND METHODS | 5 |
Kyle Charles Lana | US | Kensington | 2013-08-01 / 20130195665 - TURBINE BLADE DAMPER SEAL | 1 |
Carlos A. Lana | US | Columbus | 2016-02-25 / 20160054736 - APPARATUS AND METHOD FOR GROUPING VEHICLES FOR COOPERATIVE DRIVING | 4 |
Dario Fernando Lana | US | Broken Arrow | 2015-11-12 / 20150323130 - Oil Injection Unit | 1 |
James Lanagan | FR | Rennes | 2015-12-10 / 20150358665 - A TRANSMISSION METHOD, A RECEIVING METHOD, A VIDEO APPARATUS AND A DATABASE SYSTEM | 3 |
Michael Lanagan | US | State College | 2011-04-14 / 20110085279 - SELF HEALING HIGH ENERGY GLASS CAPACITORS | 1 |
Michael T. Lanagan | US | State College | 2016-03-10 / 20160071627 - Aluminum Metallic Nanoparticle-Polymer Nanocomposites for Energy Storage | 3 |
James W. Lanahan | US | San Jose | 2014-05-01 / 20140122985 - SYSTEM AND METHODS FOR AUTOMATIC MEDIA POPULATION OF A STYLE PRESENTATION | 1 |
Michael Lanahan | US | Cary | 2013-11-28 / 20130318656 - PLANTS WITH ALTERED LEVELS OF VEGETATIVE STARCH | 2 |
Matthew C. Lanahan | US | East Fairfield | 2013-11-28 / 20130315022 - MULTI-BANK RANDOM ACCESS MEMORY STRUCTURE WITH GLOBAL AND LOCAL SIGNAL BUFFERING FOR IMPROVED PERFORMANCE | 1 |
William D. Lanahan | US | Marlboro | 2008-11-27 / 20080294780 - AUTOMATED ADJUSTMENT OF IP ADDRESS LEASE TIME BASED ON USAGE | 1 |
Samuel J. Lanahan | US | Portland | 2009-10-22 / 20090263615 - Structured Polyhedroid Arrays and Ring-Based Polyhedroid Elements | 1 |
Michael B. Lanahan | US | Cary | 2015-07-23 / 20150203860 - METHODS FOR INCREASING STARCH CONTENT IN PLANTS | 5 |
Samuel Lanahan | US | Portland | 2011-11-10 / 20110271633 - STRUCTURED ARRAYS AND ELEMENTS FOR FORMING THE SAME | 1 |
Michael B. Lanahan | US | Research Triangle Park | 2008-11-20 / 20080289066 - Self-Processing Plants and Plant Parts | 1 |
James W. Lanahan | US | Palo Alto | 2015-09-10 / 20150254212 - POSITION EDITING TOOL OF COLLAGE MULTI-MEDIA | 15 |
Keith Lanan | US | Renton | 2011-12-15 / 20110304340 - SYSTEMS AND METHODS EMPLOYING TIME DOMAIN REFLECTOMETRY | 1 |
Maureen Lanan | US | Newton | 2016-05-12 / 20160131634 - METHODS OF EVALUATING CELL CULTURE ADDITIVES | 2 |
Kevin Taylor Lanan | GB | Weybridge | 2012-11-22 / 20120292037 - ADJUSTMENT AND RESTRAINT SYSTEM FOR SUBSEA FLEX JOINT | 1 |
Alessandro Lanaro | US | New York | 2010-03-04 / 20100053546 - EYEGLASSES | 1 |
Carolina Lanaro | BR | Em Campinas, Sp | 2012-09-27 / 20120245364 - USE OF PHTHALIMIDE AND/OR SULPHONAMIDE DERIVATIVES IN THE TREATMENT OF DISEASES WHICH REQUIRE REDUCING THE TNF-alpha LEVELS AND AN EXOGENOUS SOURCE OF NITRIC OXIDE, PHTHALIMIDE DERIVATIVES, SULPHONAMIDE DERIVATIVES, AND A METHOD FOR OBTAINING A SULPHONAMIDE DERIVATIVE | 1 |
Nicolas Lanaro | AR | Buenos Aires | 2011-10-06 / 20110246482 - AUGMENTED AND CROSS-SERVICE TAGGING | 1 |
Carolina Lanaro | BR | Em Campinas | 2012-06-21 / 20120157690 - USE OF PHTHALIMIDE AND/OR SULPHONAMIDE DERIVATIVES IN THE TREATMENT OF DISEASES WHICH REQUIRE REDUCING THE TNF-alpha LEVELS AND AN EXOGENOUS SOURCE OF NITRIC OXIDE, PHTHALIMIDE DERIVATIVES, SULPHONAMIDE DERIVATIVES, AND A METHOD FOR OBTAINING A SULPHONAMIDE DERIVATIVE | 2 |
Mariel Lanas | US | 2013-09-26 / 20130249202 - MULTI-MEDIA DEVICE HOLDER FOR STROLLER | 1 | |
Miguel A. Lanaspa | US | Denver | 2013-08-29 / 20130224218 - Methods and Compositions for the Inhibition of Fructokinase | 1 |
Miguel A. Lanaspa-Garcia | US | Denver | 2013-08-15 / 20130209484 - SELECTIVE AMPD2 INHIBITORS AND METHODS FOR USING THE SAME | 2 |
Donato Lanati | IT | Cuccaro Monferrato (al) | 2016-01-07 / 20160002019 - Device for protecting a liquid from oxidation | 1 |
Christophe Lanaud | DE | Munchen | 2013-02-14 / 20130039769 - CENTRIFUGAL IMPELLER AND TURBOMACHINE | 2 |
Christophe Lanaud | US | Delanson | 2009-05-28 / 20090136355 - SYSTEM AND METHOD FOR JOINING TURBINE BLADES | 1 |
Christophe Marcel Lanaud | US | Delanson | 2009-01-22 / 20090022599 - METHODS AND APPARATUS FOR ASSEMBLING A STEAM TURBINE BUCKET | 1 |
David Charles Lancashire | GB | Hampshire | 2009-02-26 / 20090051587 - Synthetic aperture radar | 1 |
Dauphin Ian Lancashire | CA | Toronto | 2013-11-07 / 20130297216 - METHOD AND SYSTEM OF LONGITUDINAL DETECTION OF DEMENTIA THROUGH LEXICAL AND SYNTACTIC CHANGES IN WRITING | 1 |
David Charles Lancashire | GB | Portsmouth | 2011-03-31 / 20110074628 - POSITIONING SYSTEM | 1 |
Lee Lancashire | GB | Greater Manchester | 2012-03-15 / 20120066163 - TIME TO EVENT DATA ANALYSIS METHOD AND SYSTEM | 2 |
David Charles Lancashire | GB | Emsworth | 2010-10-21 / 20100265123 - RADAR SYSTEM | 1 |
Mike Lancashire | GB | Sheffield | 2016-03-24 / 20160085011 - Lighting Guide | 1 |
Robert Lancaster | AU | Melbourne | 2014-03-20 / 20140082026 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR DEFINING A RELATIONSHIP BETWEEN OBJECTS | 1 |
John Lancaster | GB | Buckinghamshire | 2012-02-23 / 20120047350 - CONTROLLING SIMD PARALLEL PROCESSORS | 3 |
Rodney Earl Lancaster | US | Houston | 2015-11-19 / 20150330161 - INJECTOR HEAD FOR COILED TUBING SYSTEMS | 2 |
David R. Lancaster | US | Troy | 2009-07-23 / 20090187328 - ENGINE VACUUM ENHANCEMENT IN AN INTERNAL COMBUSTION ENGINE | 1 |
Johnathan M. Lancaster | US | Tampa | 2015-10-22 / 20150302140 - IN SILICO IDENTIFICATION OF CANCER MOLECULAR SIGNALING PATHWAYS AND DRUG CANDIDATES | 12 |
Jack L. Lancaster | US | San Antonio | 2013-04-04 / 20130085316 - Apparatus and Method for Delivery of Transcranial Magnetic Stimulation Using Biological Feedback to a Robotic Arm | 1 |
David Lancaster | AU | Valley View | 2013-12-26 / 20130343416 - ELEMENT FOR THE AMPLIFICATION OF A LIGHT AND METHOD OF MAKING THE SAME | 1 |
David W. Lancaster | US | Houston | 2012-02-09 / 20120031829 - OIL SPILL RECOVERY VESSEL | 1 |
David Lancaster | CA | Bristish Columbia | 2011-10-27 / 20110263994 - Method and Apparatus for Acquiring and Analyzing Data Relating to a Physiological Condition of a Subject | 1 |
Alissa M. Lancaster | US | Redwood City | 2008-09-25 / 20080234220 - Methods and compositions for reducing viral genome amounts in a small target stem cell | 1 |
Natalie Lancaster | AU | Doonan | 2010-02-04 / 20100028198 - Process for Copper Electrowinning and Electrorefining | 1 |
Robert William Lancaster | GB | Stevenage | 2016-02-04 / 20160030460 - NOVEL CRYSTALLINE FORMS OF AN ANTIVIRAL BENZIMIDAZOLE COMPOUND | 4 |
Christy A. Lancaster | US | Seattle | 2014-12-18 / 20140370581 - MICROFLUIDIC DEVICES FOR FLUID MANIPULATION AND ANALYSIS | 8 |
Thomas William Lancaster | AU | Doonan | 2010-02-04 / 20100028198 - Process for Copper Electrowinning and Electrorefining | 1 |
Larry Lancaster | US | Alamedon | 2014-04-03 / 20140095699 - EVENT SUPPRESSION METHOD AND SYSTEM | 1 |
Jeffrey D. Lancaster | US | Coventry | 2009-12-31 / 20090324093 - Method for comparing sectioned geometric data representations for selected objects | 1 |
Robert A. Lancaster | US | Hilton | 2011-06-30 / 20110159222 - FLUOROCARBON THERMOPLASTIC MATERIALS CURED WITH ORGANIC PRIMARY AMINES | 2 |
Mark A. Lancaster | US | Scottsdale | 2015-09-10 / 20150256165 - METHODS AND APPARATUS FOR GENERATING A MODULATED WAVEFORM | 2 |
Johnathan Mark Lancaster | US | Tampa | 2014-06-12 / 20140162891 - METHODS AND MATERIALS FOR DETECTION, DIAGNOSIS AND MANAGEMENT OF OVARIAN CANCER | 4 |
Arthur Lancaster | US | Austin | 2009-12-31 / 20090327440 - System and Method for Securing a Wireless Network | 2 |
Brian J. Lancaster | US | Shawnee | 2010-04-22 / 20100100394 - DISPLAYING CLINICAL PREDICTED LENGTH OF STAY OF PATIENTS FOR WORKLOAD BALANCING IN A HEALTHCARE ENVIRONMENT | 1 |
Cory Lancaster | US | Palo Alto | 2016-05-05 / 20160122705 - DUAL-COMPARTMENT BIOREACTOR FOR USE IN WASTEWATER TREATMENT AND ALGAL PRODUCTION | 1 |
Christy A. Lancaster | US | Seattle | 2014-12-18 / 20140370581 - MICROFLUIDIC DEVICES FOR FLUID MANIPULATION AND ANALYSIS | 8 |
Ted H. Lancaster | US | Suffield | 2016-02-04 / 20160033040 - FLUID BARRIERS WITH FLEXIBLE BACKING MATERIAL AND METHODS OF REPAIRING AND MANUFACTURING THE SAME | 2 |
Darren Lancaster | US | Campbell | 2011-10-13 / 20110252103 - Apparatus and Method for Interactive Email | 1 |
Bruce Lancaster | US | Palo Alto | 2016-04-14 / 20160105904 - METHOD OF CONTROLLING AN ELECTRONIC DEVICE | 3 |
Bradley Robert Lancaster | US | Ralelgh | 2012-12-27 / 20120325505 - Coupler With Visibility Window | 1 |
Craig Robert Arthur Lancaster | GB | West Yorkshire | 2013-07-18 / 20130180242 - ENGINE ASSEMBLY AND WASTE HEAT RECOVERY SYSTEM | 1 |
James G. Lancaster | US | Winona Lake | 2015-01-29 / 20150032216 - KNEE PROSTHESIS | 4 |
Gilead I. Lancaster | US | Redding | 2010-02-25 / 20100049052 - NON-INVASIVE CARDIAC PARAMETER MEASUREMENT | 1 |
Brett Lancaster | ZA | Boksburg | 2011-12-15 / 20110303467 - POLYCRYSTALLINE DIAMOND ABRASIVE ELEMENTS | 3 |
Kim Thomas Lancaster | US | New Hope | 2016-03-03 / 20160065400 - ADAPTIVE LINEARIZER | 2 |
Christine Lancaster | US | O'Fallon | 2014-12-18 / 20140366438 - Irrigation device | 1 |
John Lancaster | GB | High Wycombe | 2016-03-17 / 20160077838 - Single Instruction Multiple Data (SIMD) Architectures | 1 |
Mark Lancaster | GB | Nr Cheham | 2013-12-26 / 20130346062 - COMPUTER-IMPLEMENTED METHOD, COMPUTER SOFTWARE AND APPARATUS FOR USE IN A TRANSLATION SYSTEM | 1 |
Mark Lancaster | US | Cleburne | 2014-05-22 / 20140137761 - NON-EXPLOSIVE POWER SOURCE FOR ACTUATING A SUBSURFACE TOOL | 3 |
Craig Lancaster | US | Mansfield | 2016-03-17 / 20160078464 - ENHANCED MOBILE REWARDS PLATFORM | 1 |
Kent Lancaster | US | Sturgis | 2013-03-14 / 20130065121 - STAMPED BATTERY GRID WITH EMBOSSED BORDER AND KINKED GRID WIRES | 1 |
Gregory D. Lancaster | US | Idaho Falls | 2008-12-04 / 20080300796 - BIOLOGICAL ANALYSIS METHODS, BIOLOGICAL ANALYSIS DEVICES, AND ARTICLES OF MANUFACTURE | 2 |
Jeffrey Lancaster | US | New York | 2016-03-17 / 20160075814 - HETEROBIFUNCTIONAL POLYMERS AND METHODS FOR LAYER-BY-LAYER CONSTRUCTION OF MULTILAYER FILMS | 2 |
Johnathan Lancaster | US | Tampa | 2014-01-16 / 20140017703 - BAD PHOSPHORYLATION DETERMINES OVARIAN CANCER CHEMO-SENSITIVITY AND PATIENT SURVIVAL | 1 |
Larry Lancaster | US | Alameda | 2016-05-05 / 20160124652 - Methods and Systems for Determining Hardware Sizing for Storage Array Systems | 2 |
Matthew G. Lancaster | US | Atlanta | 2015-11-12 / 20150327379 - BED MOUNTED INTERACTIVE DISPLAY SYSTEM | 1 |
John Berns Lancaster | US | Lawrence | 2015-11-12 / 20150326983 - Scene and State Augmented Signal Shaping and Separation | 3 |
Ron Lancaster | US | Hopkinton | / - | 1 |
J. Justin Lancaster | US | Quechee | 2008-09-04 / 20080215364 - Business method and system for ordering, purchasing and storing stem cells | 1 |
Darell Lancaster | US | Woodruff | 2009-12-10 / 20090304874 - Laminated lidstock and package made therefrom | 1 |
Nicholaus Ray Lancaster | US | Azle | 2015-05-14 / 20150133043 - Method of and System for Automatically Adjusting Airflow | 2 |
Kenneth Lancaster | US | Boulder | 2008-09-18 / 20080228512 - CROSS-JURISDICTIONAL TOWING ADMINISTRATION AND DATA MANAGEMENT SYSTEM | 1 |
Joel Lancaster | US | Broadview Heights | 2015-10-29 / 20150310536 - SYSTEMS AND METHODS FOR IN-STORE FULFILLMENT OF ONLINE PURCHASE ORDERS FOR CUSTOMIZED PRODUCTS | 1 |
Timothy Lancaster | US | Evansville | 2011-02-24 / 20110044759 - Erosion control ballast and soil confinement mat | 1 |
Kathy Lancaster | US | West Babylon | 2010-06-17 / 20100146682 - EAR MUFF | 1 |
Christopher W. Lancaster | US | Dayton | 2015-06-25 / 20150181037 - SYSTEM AND METHOD FOR IMPROVED INSURANCE CALL ROUTING AND PROCESSING | 2 |
Eric W. Lancaster | US | Las Vegas | / - | 5 |
Joseph Marion Lancaster | US | St. Louis | 2014-03-06 / 20140067830 - Method and Apparatus for Performing Similarity Searching | 2 |
Bertram Alan Lancaster | NZ | Te Awamutu | 2011-06-09 / 20110132977 - Manufacturing Containers | 2 |
James Justin Lancaster | US | Quechee | 2009-05-28 / 20090138415 - Automated research systems and methods for researching systems | 1 |
Jeffrey Lancaster | US | Tenafly | 2010-12-30 / 20100331441 - FUNCTIONALIZATION OF ORGANIC SURFACES | 1 |
Mark Lancaster | GB | Chesham | 2014-01-09 / 20140012565 - Computer-Implemented Method, Computer Software and Apparatus for use in a Translation System | 1 |
Alissa M. Lancaster | US | Portland | 2012-12-27 / 20120329856 - Methods And Compositions For Reducing Viral Genome Amounts In A Target Cell | 2 |
Charles E. Lancaster | US | Willis | 2015-01-08 / 20150007994 - Open Hole Casing Run Perforating Tool | 1 |
Keith Lancaster | US | Houston | 2008-09-18 / 20080228512 - CROSS-JURISDICTIONAL TOWING ADMINISTRATION AND DATA MANAGEMENT SYSTEM | 1 |
Arthur L. Lancaster | US | Austin | 2012-02-09 / 20120036240 - SYSTEM AND METHOD OF CONFIGURING A NETWORK | 2 |
Brittany Lancaster | US | Boulder | 2010-09-30 / 20100242354 - SYSTEMS AND METHODS FOR REACTOR CHEMISTRY AND CONTROL | 1 |
Jeffrey B. Lancaster | US | Riverton | 2012-02-02 / 20120026325 - OPTIMIZED MOVABLE IR FILTER IN CAMERAS | 1 |
James W. Lancaster | US | Beatrice | 2014-09-18 / 20140260162 - HEIGHT OF CUT ADJUSTMENT SYSTEM FOR MOWER CUTTING DECK | 3 |
Johnathan M. Lancaster | US | Tampa | 2015-10-22 / 20150302140 - IN SILICO IDENTIFICATION OF CANCER MOLECULAR SIGNALING PATHWAYS AND DRUG CANDIDATES | 12 |
Gavin Lancaster | AT | Innsbruck | 2014-06-05 / 20140152973 - OBSERVATION DEVICE WITH RANGE FINDER | 8 |
Peter Lancaster | GB | Harrogate | 2015-02-05 / 20150036996 - INTELLIGENT PRINT RECOGNITION SYSTEM AND METHOD | 1 |
James C. Lancaster | US | Clermont | 2014-05-29 / 20140148190 - SYSTEM AND METHOD FOR DETERMINING PRECISE GEO-LOCATION OF A FIXED-LOCATION RADIO DEVICE | 2 |
David George Lancaster | AU | Klemzig | 2009-10-22 / 20090262416 - CASCADE LASER | 1 |
Timothy L. Lancaster | US | Evansville | 2013-11-21 / 20130309012 - SELF-ANCHORING TURF REINFORCEMENT MAT AND REUSABLE SEDIMENT FILTRATION MAT | 1 |
Dayne Lancaster | US | Frostburg | 2009-06-04 / 20090139205 - Pourable centerport inhibitor for solid propellant rocket motors | 1 |
David Lancaster | US | Derby | 2012-04-19 / 20120096410 - Computing Devices And Articles Of Manufacture | 1 |
Thomas M. Lancaster | US | Stoneham | 2015-09-24 / 20150265717 - CONJUGATE BASED SYSTEMS FOR CONTROLLED DRUG DELIVERY | 22 |
Gregory K. Lancaster | CA | Calgary | 2011-12-29 / 20110321104 - SYSTEM AND METHOD FOR MASS DISTRIBUTION OF HIGH QUALITY VIDEO | 4 |
Scott Lancaster | US | Lexington | 2015-07-16 / 20150200512 - Electric Cable Swivel And Related Fabrication Methods | 1 |
Mark Lancaster | US | Brooks | 2015-07-09 / 20150194238 - Electrical Transmission Cables With Composite Cores | 6 |
Ronald R. Lancaster | US | Rockwall | 2012-10-04 / 20120248100 - Access shield for shipping container | 1 |
Cory D. Lancaster | US | Berkeley | 2015-06-25 / 20150175454 - RECYCLING ACTIVATED SLUDGE BY HYDRODYNAMIC SEPERATOR (HDS) TO ENABLE HIGH MLSS BIOREACTOR TO PROCESS HIGH INFLUENT FLOW AND/OR HIGH STRENGTH WASTEWATER | 1 |
Thomas M. Lancaster | US | Stoneham | 2015-09-24 / 20150265717 - CONJUGATE BASED SYSTEMS FOR CONTROLLED DRUG DELIVERY | 22 |
Andrew Lancaster | US | Seneca | 2014-06-19 / 20140167528 - REMOTE DISCONNECT SAFETY MECHANISM | 2 |
Nicholas Lancaster | US | Tupelo | 2014-10-23 / 20140317309 - SYSTEM AND DEVICES FACILITATING DYNAMIC NETWORK LINK ACCELERATION | 2 |
Craig Anderson Lancaster | US | Mansfield | 2014-10-30 / 20140323229 - Semi-Synchronous Multi-Participant Application Updates | 3 |
Jordan Lancaster | US | Tucson | 2015-11-19 / 20150328367 - Cellular Seeding and Co-Culture of a Three Dimensional Fibroblast Construct | 2 |
Simon Lancaster | CA | Gloucester | 2011-04-07 / 20110081839 - METHOD AND APPARATUS FOR POLISHING A CURVED EDGE | 3 |
Jeff Lancaster | US | Plymouth | 2013-05-16 / 20130120124 - METHODS AND SYSTEMS TO SUPPORT AUDITORY SIGNAL DETECTION | 7 |
Gavin Lancaster | AT | Innsbruck | 2014-06-05 / 20140152973 - OBSERVATION DEVICE WITH RANGE FINDER | 8 |
Mary J. Lancaster | US | Richland | 2015-04-16 / 20150106941 - Computer-Implemented Security Evaluation Methods, Security Evaluation Systems, and Articles of Manufacture | 1 |
Mark A. Lancaster | US | Brooks | 2014-09-11 / 20140251653 - Hybrid Conductor Core | 1 |
Joseph M. Lancaster | US | St. Louis | 2015-10-29 / 20150310078 - Method and Apparatus for Accelerated Record Layout Detection | 4 |
James Justin Lancaster | US | Lebanon | 2012-05-17 / 20120123795 - Business method and system for ordering, purchasing and storing stem cells | 1 |
Drew W. Lancaster | US | Hartford | 2016-01-07 / 20160003084 - THERMALLY CONFORMABLE LINER FOR REDUCING SYSTEM LEVEL FAN BLADE OUT LOADS | 1 |
Greg Lancaster | CA | Calgary | 2010-01-21 / 20100014777 - SYSTEM AND METHOD FOR IMPROVING THE QUALITY OF COMPRESSED VIDEO SIGNALS BY SMOOTHING THE ENTIRE FRAME AND OVERLAYING PRESERVED DETAIL | 3 |
Patrick R. Lancaster, Iii | US | Louisville | 2016-04-07 / 20160098171 - Graphical Depiction of Wrap Profile for Load Wrapping Apparatus | 29 |
Simon Regis Louis Lancaster-Larocque | CA | Gloucester | 2016-05-19 / 20160143168 - MICRO-PERFORATION OVERMOLDING GATE | 16 |
Simon R. Lancaster-Larocque | CA | Gloucester | 2016-03-31 / 20160094259 - MODULAR FUNCTIONAL BAND LINKS FOR WEARABLE DEVICES | 7 |
Simon Lancaster-Larocque | US | Gloucester | 2013-08-29 / 20130225050 - LOCALIZED SPOT LAPPING ON A LARGER WORK SURFACE AREA | 1 |
Simon R. Lancaster-Larocque | US | Gloucester | 2014-03-20 / 20140078711 - ULTRASONIC WELDING | 1 |
Simon Regis Louis Lancaster-Larocque | CA | Gloucester | 2016-05-19 / 20160143168 - MICRO-PERFORATION OVERMOLDING GATE | 16 |
Simon Regis Louis Lancaster-Larocque | CA | Gloucester Ontario | 2015-10-15 / 20150290764 - THERMOGRAPHIC CHARACTERIZATION FOR SURFACE FINISHING PROCESS DEVELOPMENT | 1 |
Simon R. Lancaster-Larocque | US | 2010-04-01 / 20100078864 - USE OF MAGNETS TO PROVIDE RESILIENCE | 1 | |
Jason N. Lance | US | Salt Lake City | 2009-12-17 / 20090312626 - ARTICLES AND METHODS FOR IMPROVING MRI IMAGING | 1 |
Thomas A. Lance | US | Tallmadge | 2009-03-26 / 20090078207 - FLOW RESTRICTOR FOR MILKING APPARATUS | 1 |
Stacey L. Lance | US | Aiken | 2008-12-25 / 20080318233 - Source tagging and normalization of DNA for parallel DNA sequencing, and direct measurement of mutation rates using the same | 1 |
Jared J. Lance | US | Oak Ridge | 2008-08-28 / 20080205790 - ITERATIVE REGION-BASED AUTOMATED CONTROL POINT GENERATION | 1 |
Mark Andrew Lance | AU | Williamstown | 2012-09-20 / 20120234673 - SALT WATER CHLORINATOR | 1 |
Peter Lance | CA | Mississauga | 2011-04-07 / 20110080296 - Fire Detection Fault Enhancement | 1 |
Andrew Lance | AU | Acton | 2014-11-13 / 20140337400 - INTEGRATED QUANTUM-RANDOM NOISE GENERATOR USING QUANTUM VACUUM STATES OF LIGHT | 2 |
John M. Lance | US | Littleton | 2013-01-17 / 20130019186 - MANAGING PRIVACY PREFERENCES IN A WEB CONFERENCEAANM Lance; John M.AACI LittletonAAST MAAACO USAAGP Lance; John M. Littleton MA USAANM Travis; Amy D.AACI ArlingtonAAST MAAACO USAAGP Travis; Amy D. Arlington MA USAANM Wanderski; Anuphinh P.AACI DurhamAAST NCAACO USAAGP Wanderski; Anuphinh P. Durham NC USAANM Wanderski; Michael C.AACI DurhamAAST NCAACO USAAGP Wanderski; Michael C. Durham NC US | 11 |
Jeffrey S. Lance | US | Alpine | 2016-02-04 / 20160033126 - Multipurpose Outdoor Gas Fire Place | 1 |
John Morgan Lance | US | Littleton | 2012-11-15 / 20120290956 - DISPLAYING A PLURALITY OF CALENDAR ENTRIES | 5 |
Phillipe Lance | FR | Toulouse | 2014-12-25 / 20140376586 - MULTI-CHIP DEVICE WITH TEMPERATURE CONTROL ELEMENT FOR TEMPERATURE CALIBRATION | 1 |
Emmanuel Lance | FR | Clichy | 2012-10-04 / 20120252356 - PAYLOAD FOR A MULTI-BEAM SATELLITE | 8 |
Philippe Lance | FR | Toulouse | 2011-07-07 / 20110163782 - FLEXIBLE BUS DRIVER | 10 |
Philippe Lance | FR | Toulouse | 2011-07-07 / 20110163782 - FLEXIBLE BUS DRIVER | 10 |
Daniel C. Lance | US | Olathe | 2014-03-27 / 20140082945 - Cigar cutter | 1 |
Justin A. Lance | US | Hollister | 2016-03-24 / 20160082138 - ULTRAVIOLET DISINFECTION UNIT | 2 |
Jacob M. Lance | US | New Philadelphia | 2015-07-23 / 20150203636 - CYCLOALIPHATIC POLYPHOSPHITE POLYMER STABILIZERS | 3 |
Raymond S. Lance | US | Virginia Beach | 2013-05-09 / 20130115649 - Methods and Reagents for Metabolomics and Histology in a Biological Sample and a Kit for the Same | 1 |
Raymond S. Lance | US | Norfolk | 2011-06-09 / 20110136166 - Imaging Mass Spectrometry for Improved Prostrate Cancer Diagnostics | 1 |
Steven G. Lance | US | Erie | 2013-11-14 / 20130298920 - SMOKELESS TOBACCO ANALOGUE AND METHOD OF USE | 1 |
Mark Andrew Lance | AU | Victoria | 2009-07-30 / 20090191061 - Impeller Arrangement and Pump | 1 |
Kevin D. Lance | US | Troy | 2014-06-19 / 20140170204 - Multilayer Thin Film Drug Delivery Device and Methods of Making and Using the Same | 1 |
John M. Lance | US | Littleton | 2013-01-17 / 20130019186 - MANAGING PRIVACY PREFERENCES IN A WEB CONFERENCEAANM Lance; John M.AACI LittletonAAST MAAACO USAAGP Lance; John M. Littleton MA USAANM Travis; Amy D.AACI ArlingtonAAST MAAACO USAAGP Travis; Amy D. Arlington MA USAANM Wanderski; Anuphinh P.AACI DurhamAAST NCAACO USAAGP Wanderski; Anuphinh P. Durham NC USAANM Wanderski; Michael C.AACI DurhamAAST NCAACO USAAGP Wanderski; Michael C. Durham NC US | 11 |
Christopher Lance | US | Towson | 2013-10-24 / 20130276240 - MULTIPLE PURPOSE HAND TOOL | 2 |
Dane Lance | US | Lafayette | 2012-01-05 / 20120000163 - REDUCTION OF PATHOGENS FOR FOOD IN PACKAGING | 1 |
Lance W. Lance | US | Lawrenceville | 2013-12-05 / 20130324899 - BRACE WITH A SECURING DEVICE | 1 |
Emmanuel Lance | FR | Clichy | 2012-10-04 / 20120252356 - PAYLOAD FOR A MULTI-BEAM SATELLITE | 8 |
Jacob Lance | US | New Philadelphia | 2014-03-20 / 20140080948 - POLYMER-ADDITIVE CARRIER COMPOSITION | 1 |
Angel Lance | US | Penngrove | 2013-07-04 / 20130173327 - PROJECT MANAGEMENT SYSTEM AND METHOD | 1 |
Tamir Lance | US | Los Gatos | 2012-02-23 / 20120042932 - SOLAR COLLECTOR | 3 |
David A. Lance | US | Plano | 2012-02-16 / 20120037749 - METHODS AND APPARATUS FOR FIRE CONTROL DURING LAUNCH OF AN EFFECTOR | 3 |
Jacob M. Lance | US | Dover | 2013-12-26 / 20130345346 - CYCLOALIPHATIC POLYPHOSPHITE POLYMER STABILIZERS | 5 |
Jacobsen Lance | US | Minooka | 2010-11-11 / 20100282023 - SYSTEM AND METHOD OF PRODUCING AND SEPARATING METALS AND ALLOYS | 2 |
Paul C. Lance | US | Brooklyn Park | 2014-05-15 / 20140133819 - Cable Management Panel with Sliding Drawer | 4 |
Carl Lance | US | Lowell | 2009-04-23 / 20090102610 - RFID ANTENNA SELECTION SYSTEM AND METHOD | 1 |
William R. Lance | US | Fort Collins | 2015-10-15 / 20150290186 - Sedating and Immobilizing Non-Domesticated Mammals | 2 |
Timothy Mark Lancefield | GB | Warwickshire | 2015-12-31 / 20150377083 - CAM PROFILE SUMMATION MECHANISM | 5 |
Timothy Mark Lancefield | GB | Shipston On Stour | 2012-06-28 / 20120160200 - Engine Valve System | 6 |
Emmanuelle Lancelle-Beltran | FR | Bagneux | 2010-10-21 / 20100266836 - MESOSTRUCTURED SKINS FOR APPLICATION IN THE AERONAUTICS AND AEROSPACE INDUSTRIES | 2 |
Mirco Lancellotti | IT | Bomporto | 2014-12-04 / 20140358061 - APPARATUS FOR EXTRACORPOREAL BLOOD TREATMENT | 1 |
Robert Lancelot | US | Deer Park | 2011-04-07 / 20110082437 - System and Method For Active Cooling of Stored Blood Products | 1 |
Jean Francois Lancelot | US | San Diego | 2014-09-04 / 20140249858 - SYSTEMS AND METHODS FOR INTEGRATING, UNIFYING AND DISPLAYING PATIENT DATA ACROSS HEALTHCARE CONTINUA | 2 |
Christine Lancelot | FR | Hellemes | 2012-09-20 / 20120237439 - PROCESS FOR PREPARING AN ALUMINA WITH CONTROLLED MESOPOROSITY | 1 |
Jean Charles Lancelot | FR | Tour En Bessin | 2013-06-27 / 20130165507 - NEW GUANIDINE DERIVATIVES IN CINNAMIC SERIES | 1 |
Emmanuel Lancelot | FR | Agen | 2012-03-29 / 20120074954 - ELECTRICAL CONNECTOR WITH CORROSION PREVENTION | 1 |
Robert Lancelot | US | Barrington | 2011-02-03 / 20110026009 - Surface Mapping by Optical Manipulation of Particles in Relation to a Functionalized Surface | 1 |
Jean Francots Lancelot | US | San Diego | 2011-09-15 / 20110225002 - SYSTEM AND METHOD FOR SHARING MEDICAL INFORMATION | 1 |
Mary P. Lancelotta | US | Somerville | 2014-11-13 / 20140337052 - SYSTEM AND METHOD FOR OUTCOME TRACKING AND ANALYSIS | 2 |
Alfred C. Lancer | US | New Milford | 2010-02-25 / 20100043346 - Shutter fastener system and method of installation | 1 |
Hope Lancero | US | Palo Alto | 2013-11-28 / 20130317113 - REGULATION OF OSTEOPONTIN | 2 |
Jonathan M. Lance, Sr. | US | Harvest | 2010-01-14 / 20100005734 - TELESCOPING MAST | 1 |
Doron Lancet | IL | Tel Aviv | 2010-11-11 / 20100285600 - Markers associated with the therapeutic efficacy of glatiramer acetate | 1 |
Robert T. Lancet | US | Canoga Park | 2010-12-02 / 20100301062 - SINGLE BI-TEMPERATURE THERMAL STORAGE TANK FOR APPLICATION IN SOLAR THERMAL PLANT | 1 |
Carol L. Lancette | US | Fort Ann | 2016-04-28 / 20160114129 - ACTUATING CATHETER LUER AND HUB DEVICES | 2 |
Carol Lancette | US | Fort Ann | 2016-04-28 / 20160114128 - Actuating Catheter Luer Devices | 2 |
Carol L. Lancette | US | Hudson Falls | 2012-05-31 / 20120136341 - Multilumen Catheters and Method of Manufacturing | 4 |
Jaime Lanchares | ES | Salamanca | 2010-06-24 / 20100162231 - PERSONALIZED, AUTOMATED MODIFICATION METHOD AND SYSTEM FOR SOFTWARE APPLICATIONS AND CONTENTS | 1 |
Bakuri Lanchava | DE | Regensburg | 2015-12-24 / 20150369447 - LIGHTING ARRANGEMENT AND METHOD FOR PRODUCING AN LIGHTING ARRANGEMENT | 9 |
Jerry Lanchbury | US | Salt Lake City | 2015-03-19 / 20150080260 - METHODS AND MATERIALS FOR ASSESSING LOSS OF HETEROZYGOSITY | 10 |
Jerry Lanchbury | US | Salt Lake City | 2015-03-19 / 20150080260 - METHODS AND MATERIALS FOR ASSESSING LOSS OF HETEROZYGOSITY | 10 |
Tsontcho Lanchulev | US | San Francisco | 2012-01-05 / 20120003641 - GENETIC POLYMORPHISMS IN AGE-RELATED MACULAR DEGENERATION | 1 |
Dennis M. Lanci | US | Carlsbad | 2013-06-13 / 20130145700 - ARCHITECTURAL HEADWALL CABINET FOR STORING A LIFT DEVICE | 5 |
Michael P. Lanci | US | Flemington | 2015-12-10 / 20150353845 - NOBLE METAL HYDROGENATION CATALYSTS WITH LOW CRACKING ACTIVITY | 1 |
Karen E. Lanci | US | Armonk | 2013-03-28 / 20130076003 - WHEELCHAIR, WHEELCHAIR SUPPORT AND TRAVEL PILLOW | 2 |
Antonino Lanci | CH | Bern | 2016-03-17 / 20160074083 - IMPLANTS FOR CREATING CONNECTIONS TO TISSUE PARTS, IN PARTICULAR TO SKELETAL PARTS, AS WELL AS DEVICE AND METHOD FOR IMPLANTATION THEREOF | 14 |
Antonino Lanci | CH | Bern | 2016-03-17 / 20160074083 - IMPLANTS FOR CREATING CONNECTIONS TO TISSUE PARTS, IN PARTICULAR TO SKELETAL PARTS, AS WELL AS DEVICE AND METHOD FOR IMPLANTATION THEREOF | 14 |
Antonio Lanci | CH | Bern | 2009-08-06 / 20090194105 - INHALER | 2 |
Steven A. Lancia | US | Lincoln | 2009-07-23 / 20090186716 - MINIATURE GOLF HOLE SYSTEM | 1 |
Mike E. Lancial | US | St. Louis Park | 2012-11-08 / 20120283835 - TISSUE FIXATION AND REPAIR SYSTEMS AND METHODS | 3 |
Michael E. Lancial | US | St. Louis Park | 2015-09-17 / 20150258332 - TISSUE FIXATION AND REPAIR SYSTEMS AND METHODS | 11 |
Sarah Lancianese | US | Orlando | 2014-10-30 / 20140324053 - ORTHOPEDIC SURGICAL GUIDE | 4 |
Sarah Lancianese | US | Cordova | 2014-10-30 / 20140324059 - ORTHOPEDIC SURGICAL GUIDE | 1 |
Sarah Lynne Lancianese | US | Orlando | 2015-09-10 / 20150250514 - BONE FIXATION | 1 |
Sarah L. Lancianese | US | Orlando | 2015-04-02 / 20150090254 - SURGICAL HELMET | 1 |
Kirk Lanciani | US | Leominster | 2011-12-29 / 20110320019 - DATA MINING SYSTEM | 1 |
Robert Lanciault | CA | St-Ferreol-Les-Neiges | 2013-09-12 / 20130235046 - METHOD AND SYSTEM FOR CREATING ANIMATION WITH CONTEXTUAL RIGGING | 3 |
François Lanciault | CA | Blainville | 2016-03-10 / 20160072185 - WIDE SCAN STEERABLE ANTENNA | 1 |
David P. Lanciault | US | Plano | 2013-12-05 / 20130324406 - BALANCED SYSTEM AND METHOD FOR PRODUCTION OF MICROBIAL OUTPUT | 2 |
Fran Lanciaux | US | Grand Rapids | 2016-05-12 / 20160131389 - Structurally Reinforced Duct | 5 |
Lucie Lanciaux | FR | Paris | 2009-02-12 / 20090041586 - TURBINE NOZZLE SECTOR | 1 |
Lucie Marie Ida Lanciaux | FR | Paris | 2012-09-06 / 20120224975 - PROCESS FOR THE VAPOR PHASE ALUMINIZATION OF A TURBOMACHINE METAL PART AND DONOR LINER AND TURBOMACHINE VANE COMPRISING SUCH A LINER | 4 |
Benjamin Lanciaux | FR | Agen | 2010-01-28 / 20100018642 - METHOD FOR PRODUCING PANELS CONSISTING OF AN EXPANDED RENEWABLE MATERIAL, AND ASSOCIATED DEVICE | 1 |
Pascal Lanciaux | FR | Gron | 2015-12-24 / 20150366231 - PROCESS FOR DIRECT INOCULATION FROM FROZEN CONCENTRATED FERMENTS AND ASSOCIATED DEVICE | 1 |
Marc Lanciaux | FR | Layrac | 2010-01-28 / 20100018642 - METHOD FOR PRODUCING PANELS CONSISTING OF AN EXPANDED RENEWABLE MATERIAL, AND ASSOCIATED DEVICE | 2 |
Cédric Lancieux | FR | Passy | 2010-12-09 / 20100311006 - DEVICE FOR CENTERING AND GUIDING A DRILL BIT OF A DENTAL HANDPIECE | 1 |
Cédric Lancieux | FR | Passy | 2010-12-09 / 20100311006 - DEVICE FOR CENTERING AND GUIDING A DRILL BIT OF A DENTAL HANDPIECE | 1 |
Cédric Lancieux | FR | Passy | 2010-12-09 / 20100311006 - DEVICE FOR CENTERING AND GUIDING A DRILL BIT OF A DENTAL HANDPIECE | 1 |
John Anthony Lancione | US | Granger | 2010-10-07 / 20100252791 - JACK ASSEMBLY WITH INTEGRATED PRESSURE RELIEF ASSEMBLY | 1 |
German Lancioni | AR | Cordoba | 2014-07-24 / 20140208145 - METHODS AND APPARATUS FOR SAVING POWER | 2 |
Federico Lancioni | IT | Sangemini | 2009-05-21 / 20090127484 - COMBINED ACTUATOR WITH RHEOLOGICAL CONTROL BRAKE | 1 |
Tracy Lanciotto | US | Rocky Hill | 2013-11-21 / 20130306078 - Nasal Cannula Cover | 1 |
Paul F. Lancisi | US | Bradford | 2008-09-25 / 20080234075 - TRAINING BAT | 1 |
Alfred L. Lancki | US | North Olmsted | 2014-09-18 / 20140277915 - Smart Power Management System and Method Thereof | 2 |
Filip Lanckmans | BE | Lennik | 2016-03-24 / 20160083285 - HEAT RESISTANT SEPARATION FABRIC | 5 |
Gert Lanckriet | US | San Diego | 2014-12-11 / 20140363138 - Audio-based annnotatoion of video | 4 |
Heikki Lanckriet | GB | Cambridge | 2012-07-26 / 20120190120 - PROTEIN DETECTION REAGENTS AND METHODS WITH DYES AND DEXTRINS | 1 |
Heikki Lanckriet | GB | Cambridgeshire | 2009-12-03 / 20090298185 - Protein Detection Reagents and Methods with Dyes and Dextrins | 2 |
Dwayne Lanclos | US | Euless | 2009-10-01 / 20090248753 - DATABASE MANAGEMENT SYSTEM RISK ASSESSMENT | 1 |
David Francis Alain Lancois | FR | Louviers | 2015-08-13 / 20150225424 - Antibacterial compounds | 14 |
David Francis Alain Lancois | FR | Louviers | 2015-08-13 / 20150225424 - Antibacterial compounds | 14 |
David Francis Alain Lancois | FR | Le Neubourg | 2008-10-16 / 20080255116 - Quinoline Derivatives as Antibacterial Agents | 1 |
David Francis Alain Lancois | FR | Val De Reuil Cedex | 2015-03-05 / 20150065502 - ANTIBACTERIAL QUINOLINE DERIVATIVES | 2 |
David Francis Alain Lançois | FR | Louviers | 2013-01-31 / 20130030016 - QUINOLINE DERIVATIVES AS ANTIBACTERIAL AGENTS | 2 |
Samuel J. Lancombe | US | Indianapolis | 2015-01-15 / 20150016999 - MULTI-PIECE IMPELLER | 1 |
Laurent Lancon | FR | Saint-Etienne-De-Fontbellon | / - | 1 |
Denis Lancon | FR | Chassieu | 2014-08-21 / 20140235516 - LUBRICANT COMPOSITION FOR MARINE ENGINE | 5 |
Donnie M. Lancon | US | Dickenson | 2010-03-25 / 20100074723 - Cargo Container | 1 |
Brian Rober Lancor | US | Madison | 2013-02-07 / 20130033261 - Noble Gas Magnetic Resonator | 1 |
Steve Lancor | US | West Hartford | 2010-05-13 / 20100117496 - COUNTER MERCHANDISER THAT IS EXPANDABLE, ADJUSTABLE, STACKABLE AND LIGHTABLE | 1 |
Brian Robert Lancor | US | Madison | 2013-02-07 / 20130033255 - Gas Magnetometer | 1 |
Phillip E. Lancour | US | Racine | 2009-08-06 / 20090198773 - Multi-User Database System and Method for Resource Usage Tracking | 1 |
Aaron M. Lancour | US | Amarillo | 2012-04-19 / 20120093204 - PROCESSOR, MODEM AND METHOD FOR CANCELLING ALIEN NOISE IN COORDINATED DIGITAL SUBSCRIBER LINES | 1 |
Roy E. Lancraft | US | Phoenix | 2009-12-03 / 20090298020 - SYSTEMS AND METHODS FOR IMPROVING USER EFFICIENCY WITH HANDHELD DEVICES | 1 |
Eli Lancry | IL | Modiin | 2010-02-18 / 20100041885 - CRYSTALLINE FORMS OF SITAGLIPTIN PHOSPHATE | 10 |
Eli Lancry | IL | Ashdod | 2015-12-24 / 20150372351 - PROCESSES FOR PREPARING L-ALKYL-3-ALKYL-PYRIDINIUM BROMIDE AND USES THEREOF AS ADDITIVES IN ELECTROCHEMICAL CELLS | 5 |
Thomas Stephen Lancsek | US | Trenton | 2011-03-31 / 20110077338 - COMPOSITE ELECTROLESS PLATING WITH PTFE | 2 |
Thomas S. Lancsek | US | Trenton | 2014-06-26 / 20140178577 - COMPOSITE PTFE PLATING | 1 |
Thomas Steven Lancsek | US | Trenton | 2009-01-15 / 20090017317 - COMPOSITE ELECTROLESS PLATING | 3 |
Camille Lanctot-Downs | CA | Edmonton | 2014-02-27 / 20140057813 - HYDRAULIC FRACTURING WITH IMPROVED VISCOSITY LIQUEFIED INDUSTRIAL GAS BASED SOLUTION | 2 |
Timothy Lloyd Land | US | Moorpark | 2010-12-02 / 20100306364 - SORTING SYSTEMS IN A TREE | 1 |
Mark S. Land | US | Houston | 2016-02-18 / 20160046529 - Polymer Derived Ceramic Equipment for the Exploration and Recovery of Resources | 8 |
Timothy L. Land | US | Moorpark | 2014-11-13 / 20140337750 - DYNAMICALLY GROUPING MONITORED RESOURCES IN A CLOUD ENVIRONMENT TO COLLECTIONS REPRESENTING A COMPOSITE APPLICATION | 2 |
Peter Jarred Land | US | Los Angeles | 2016-02-11 / 20160044148 - MULTI-LAYER HANDHELD ELECTRONIC DEVICE | 13 |
Jörg Land | DE | Hamburg | 2015-08-27 / 20150245151 - Processing of Audio Signals for a Tinnitus Therapy | 1 |
Gregory Allan Land | US | Chicago | 2013-11-28 / 20130313104 - AIR PURIFICATION SYSTEM USING ULTRAVIOLET LIGHT EMITTING DIODES AND PHOTOCATALYST-COATED SUPPORTS | 1 |
Adrian Land | US | San Carlos | 2013-08-01 / 20130193318 - ION SOURCE FOR MASS SPECTROMETERS | 3 |
Belinda Potappel-Van'T Land | NL | Kootwijk | 2011-03-31 / 20110077189 - COMPOSITION FOR STIMULATING NATURAL KILLER CELL ACTIVITY | 1 |
Brian Richards Land | US | Woodside | 2016-04-28 / 20160117023 - TOUCH SCREEN LIQUID CRYSTAL DISPLAY | 10 |
Paul Land | US | Byron Center | 2014-11-20 / 20140338547 - Clothing Compression Press And Method Of Compressing Clothing | 1 |
Eric C. Land | US | Bakersfield | 2011-12-08 / 20110301484 - ADAPTIVE TEMPERATURE SENSOR FOR BREATH MONITORING DEVICE | 5 |
Brian Richards Land | US | Redwood City | 2012-12-27 / 20120331546 - INTELLIGENT STYLUS | 12 |
Edward M. Land | US | Owings Mills | 2013-04-18 / 20130096477 - LOW PROFILE HAND-EXTENSION/FLEXION DEVICE | 3 |
Michael Z. Land | US | Berkeley | 2010-06-10 / 20100146393 - SYSTEM AND METHOD FOR MULTIMEDIA AUTHORING AND PLAYBACK | 1 |
Sangita A. Land | US | Tampa | 2015-10-22 / 20150300625 - WATER RESISTANT LED-BASED DECORATIVE LIGHT ASSEMBLY | 1 |
Ingmar Rudiger Land | AU | Adelaide | 2015-09-10 / 20150256247 - COMMUNICATION SYSTEM AND METHOD | 1 |
Edwar John Land | GB | Manchester | 2013-01-24 / 20130023663 - SUBSTITUTED STILBENES AND THEIR REACTIONS | 1 |
Brian R. Land | US | Woodside | 2016-03-03 / 20160058312 - MULTIPLE LIGHT PATHS ARCHITECTURE AND OBSCURATION METHODS FOR SIGNAL AND PERFUSION INDEX OPTIMIZATION | 8 |
Brian Richards Land | US | Redwood City | 2012-12-27 / 20120331546 - INTELLIGENT STYLUS | 12 |
Trevor Land | US | Richland | 2014-07-10 / 20140194882 - SURGICAL SAGITTAL SAW FOR ACTUATING A SAW BLADE WITH AN OSCILLATING HEAD, THE SAW HAVING A COUPLING ASSEMBLY FOR RELEASEABLY HOLDING THE SAW BLADE | 1 |
Jan Gerhard Land | NL | Enschede | 2012-05-24 / 20120128834 - Product And Apparatus For The Preparation Of A Milkshake | 1 |
Ingmar Rudiger Land | AU | Adelaide Sa | 2015-11-19 / 20150333942 - Digital Communication System | 1 |
James L. Land | US | Spring | 2014-12-11 / 20140360723 - PROTECTIVE SHEATH THROUGH A CASING WINDOW | 1 |
Jörg Land | DE | Hamburg | 2011-06-30 / 20110161883 - METHOD AND APPARATUS FOR DYNAMICALLY GROUPING ITEMS IN APPLICATIONS | 2 |
Johan Land | SE | Satila | / - | 1 |
Peter Land | DE | Pettstadt | 2013-03-14 / 20130062441 - Fuel Injector | 1 |
Nathaniel F. Land | US | Tampa | 2015-10-22 / 20150300625 - WATER RESISTANT LED-BASED DECORATIVE LIGHT ASSEMBLY | 1 |
Peter Jarred Land | US | Los Angeles | 2016-02-11 / 20160044148 - MULTI-LAYER HANDHELD ELECTRONIC DEVICE | 13 |
Brian Richards Land | US | Woodside | 2016-04-28 / 20160117023 - TOUCH SCREEN LIQUID CRYSTAL DISPLAY | 10 |
Nils Henrik Land | NO | Krakeroy | 2010-06-17 / 20100152821 - PORTABLE PATIENT TEMPERATURE ADJUSTMENT APPARATUS AND METHOD | 1 |
Greg A. Land | US | Arvada | 2013-01-03 / 20130003958 - FUNCTIONALITIES FOR LOCAL NUMBER PORTABILITY IN A TELECOMMUNICATIONS NETWORK | 1 |
Robert A. Land | US | Kirkland | 2015-12-24 / 20150370674 - TENANT PROVISIONING FOR TESTING A PRODUCTION MULTI-TENANT SERVICE | 1 |
Mark W. S. Land | US | San Diego | 2012-09-06 / 20120226692 - SYSTEM AND METHOD FOR MATCHING AND ASSEMBLING RECORDS | 1 |
H. Bruce Land | US | Laurel | 2008-08-28 / 20080202093 - Pulsed plasma thruster using vapor and method of making | 1 |
Clifford Land | US | Meridianville | 2013-06-06 / 20130139427 - BAIT-HOOKING APPARATUS | 1 |
Mark S. Land | US | Houston | 2016-02-18 / 20160046529 - Polymer Derived Ceramic Equipment for the Exploration and Recovery of Resources | 8 |
Mark Land | US | Houston | 2016-04-14 / 20160102528 - METHODS AND SYSTEMS FOR COMPLEX HYDRAULIC FRACTURING OPERATIONS AND HYDROCARBON RECOVERY | 5 |
Raul Land | EE | Tallinn | 2014-10-30 / 20140323903 - METHOD AND DEVICE FOR MULTICHANNEL MULTIFREQUENCY ANALYSIS OF AN OBJECT | 4 |
James T. Land | US | Concord Township | 2012-05-24 / 20120126050 - MULTI-SPOOL ADAPTER | 1 |
Joshua D. Land | US | Media | 2008-09-04 / 20080213566 - FLAME LAMINABLE HYDROPHILIC ESTER POLYURETHANE FOAMS | 1 |
Brian R. Land | US | Cupertino | 2016-03-10 / 20160069712 - Magnetically Coupled Optical Encoder | 1 |
Hartmut Land | US | Rochester | 2013-07-18 / 20130184223 - METHODS AND COMPOSITIONS RELATED TO MODULATING AUTOPHAGY | 4 |
John Land | US | Austin | 2010-10-21 / 20100266994 - MOTION PLATFORM FOR A FLIGHT SIMULATION SYSTEM | 4 |
Brian R. Land | US | Woodside | 2016-03-03 / 20160058312 - MULTIPLE LIGHT PATHS ARCHITECTURE AND OBSCURATION METHODS FOR SIGNAL AND PERFUSION INDEX OPTIMIZATION | 8 |
Joseph George Land | US | Evans | 2008-09-18 / 20080223651 - Power train for a utility vehicle | 1 |
David G. Land | US | Greenfield | 2010-10-07 / 20100251917 - DETONATOR SYSTEM HAVING LINEAR ACTUATOR | 2 |
Trevor M. Land | US | Richland | 2013-05-23 / 20130131680 - SURGICAL SAGITTAL SAW BLADE WITH A BIASING ASSEMBLY THAT URGES THE BLADE ASSEMBLY USED WITH THE SAW AWAY FROM THE SAW HEAD | 3 |
Troy Land | US | Shakopee | 2008-11-20 / 20080285934 - Systems and methods for lifting a terminal enclosure in below ground applications | 1 |
Mark S. Land | US | Denver | 2012-03-22 / 20120067643 - TWO-PHASE ISOLATION METHODS AND SYSTEMS FOR CONTROLLED DRILLING | 3 |
Raul Land | EE | Tallin | 2010-09-09 / 20100225303 - METHOD AND DEVICE FOR MULTICHANNEL MULTIFREQUENCY ANALYSIS OF AN OBJECT | 1 |
Ken Land | US | Sugar Land | 2009-07-09 / 20090173764 - Paper Handler | 1 |
Fred M. Land | US | Calhoun | 2009-03-12 / 20090065121 - WATER BASED ADHESIVE | 1 |
Kris Land | US | Poway | 2010-12-09 / 20100312671 - INTER-GALLERY TRADING SYSTEM FOR ARTWORKS | 1 |
Andrew Land | US | Knoxville | 2015-06-11 / 20150157743 - Dose Synthesis Card for Use with Automated Biomarker Production System | 1 |
Donald Land | US | Los Angeles | 2010-05-06 / 20100107549 - Exterior Rafter And Beam Covering Sleeve | 1 |
Greg Land | US | Yacolt | 2015-09-03 / 20150246834 - Method and system for control of an addition rate of a hexavalent chromium control chemical to water | 2 |
Brian Land | US | Redwood City | 2013-09-26 / 20130250640 - SYSTEMS AND METHODS FOR IMPEDANCE STABILIZATION | 2 |
Shimon Landa | IL | Kiryat | 2013-01-10 / 20130013246 - METHOD AND APPARATUS FOR POST-SILICON TESTING | 1 |
Adam B. Landa | US | Apopka | 2011-01-13 / 20110005664 - METHODS FOR MANUFACTURING A PAINT ROLLER WITH GROOVED SUBSTRATE | 2 |
Feliks Landa | US | Glenview | 2016-02-04 / 20160035033 - Liquidation Cost Calculation | 7 |
Victor Landa | US | Ladera Ranch | 2015-12-24 / 20150372407 - INTEGRATED CIRCUIT CHIP TESTER WITH AN ANTI-ROTATION LINK | 4 |
Pedro Landa | US | Austin | 2014-01-02 / 20140002868 - IMAGE CAPTURE DEVICE DUAL-PURPOSE STAND | 3 |
Bernard P. Landa | US | Taylors | 2011-06-09 / 20110135466 - SYSTEM AND METHOD FOR MONITORING AND CONTROLLING WIND TURBINE BLADE DEFLECTION | 1 |
David Landa | US | Celebration | 2012-04-19 / 20120095810 - LARGE SCALE PRIVACY PROTECTED CAMPAIGN MOBILIZATION METHOD | 1 |
Shimon Landa | IL | Kiryat Yam | 2014-01-30 / 20140032969 - Post-silicon validation using a partial reference model | 2 |
Evgeny Landa | FR | Pau | 2014-01-30 / 20140032119 - METHOD OF ANALYZING SEISMIC DATA | 1 |
Alon H. Landa | US | Brookline | 2014-06-12 / 20140164350 - DIRECT PAGE VIEW MEASUREMENT TAG PLACEMENT VERIFICATION | 2 |
Ksenia A. Landa | US | Grosse Ile | 2010-06-24 / 20100154477 - Solar cell using low iron high transmission glass with antimony and corresponding method | 3 |
Leonid Landa | US | Grosse Ile | 2010-06-24 / 20100154477 - Solar cell using low iron high transmission glass with antimony and corresponding method | 3 |
Leonid M. Landa | US | Brownstown | 2009-09-03 / 20090217978 - Low iron transmission float glass for solar cell applications and method of making same | 2 |
Ksenia A. Landa | US | Brownstown | 2009-09-03 / 20090217978 - Low iron transmission float glass for solar cell applications and method of making same | 2 |
Alexander Landa | IL | Haifa | 2015-07-16 / 20150200961 - DETERMINATION AND CLASSIFICATION OF DEFENSE MEASURES IN WEB APPLICATIONS | 8 |
Benzion Landa | IL | Nes Ziona | 2016-03-17 / 20160075130 - APPARATUS AND METHOD FOR CONTROL OR MONITORING A PRINTING SYSTEM | 22 |
Alexander Landa | IL | Haifa | 2015-07-16 / 20150200961 - DETERMINATION AND CLASSIFICATION OF DEFENSE MEASURES IN WEB APPLICATIONS | 8 |
Adrie Landa | NL | Pater Dehonlaan | 2012-11-29 / 20120302679 - MOLDING COMPOSITION FOR PHOTOVOLTAIC JUNCTION BOXES AND CONNECTORS | 1 |
Evgeny Landa | FR | Lescar | 2013-03-28 / 20130077832 - METHOD FOR ANALYZING SEISMIC DATA | 1 |
Ilse Landa | NL | Naarden | 2014-09-11 / 20140256579 - Protein Arrays | 1 |
Efraim Landa | US | Fairfield | 2015-12-24 / 20150366490 - Substance Concentration Monitoring Apparatuses and Methods | 1 |
Arne Landa | NO | Haugesund | 2011-08-11 / 20110196755 - SYSTEM, METHOD AND SOFTWARE FOR HOUSE AUTOMATION CONFIGURATION AT POINT OF SALE | 1 |
Benzion Landa | IL | Nes Ziona | 2016-03-17 / 20160075130 - APPARATUS AND METHOD FOR CONTROL OR MONITORING A PRINTING SYSTEM | 22 |
Roman Landa | IL | Kyryat Ono | 2010-02-04 / 20100030974 - SYSTEM AND METHOD FOR FETCHING INFORMATION TO A CACHE MODULE USING A WRITE BACK ALLOCATE ALGORITHM | 1 |
Adrie Landa | NL | Bergen Op Zoom | 2009-11-05 / 20090275684 - HIGH CTI POLY(ARYLENE ETHER) COMPOSITION | 1 |
Andrew Sjaak Landa | US | Appleton | 2009-02-05 / 20090035340 - PRESERVATIVE COMPOSITIONS FOR MOIST WIPES | 1 |
Adrie Landa | NL | Db Bergen Op Zoom | 2009-03-26 / 20090082497 - HIGH CTI POLY(ARYLENE ETHER) COMPOSITION | 1 |
Bernard P. Landa | US | Taylore | 2009-03-05 / 20090060748 - VENTILATION ARRANGEMENT | 1 |
Bernard Landa | US | Taylors | 2011-06-16 / 20110142594 - METHODS AND SYSTEMS FOR MONITORING OPERATION OF A WIND TURBINE | 3 |
Viggo Landaas | NO | Kongsberg | 2011-01-06 / 20110002592 - SUBSEA PENETRATOR AND METHOD FOR PRODUCING SUCH | 1 |
José Luis Landabaso Diaz | ES | Madrid | 2013-08-15 / 20130208098 - METHOD FOR GENERATING A MODEL OF A FLAT OBJECT FROM VIEWS OF THE OBJECT | 1 |
Jose Luis Landabaso Diaz | ES | Barcelona | 2011-08-18 / 20110200303 - Method of Video Playback | 1 |
Marten Landaeus | SE | Olofstorp | 2008-11-13 / 20080276442 - Device For Stretching an Elastically Expandable Body | 1 |
Bruno Landais | FR | Lannion Cedex | 2016-02-25 / 20160057766 - ENHANCEMENTS TO RESOURCE ALLOCATION FOR MBSFN TRANSMISSION IN AN MBSFN AREA | 1 |
Pascal Landais | IE | Dublin | 2010-06-03 / 20100134877 - SEMICONDUCTOR OPTICAL AMPLIFIER WITH A REDUCED NOISE FIGURE | 1 |
Igor Landais | US | Portland | 2008-12-25 / 20080318236 - Cell Free Screening Assay and Methods of Use | 1 |
Bruno Landais | FR | Lannion | 2015-10-15 / 20150296420 - SUPPORT OF CS FALLBACK IN AN EVOLVED PACKET SYSTEM | 9 |
Bruno Landais | FR | Pleumeur-Bodou | 2015-07-02 / 20150189491 - NETWORK MONITORING OF USER EQUIPMENT EVENTS | 11 |
Bruno Landais | FR | Lannion | 2015-10-15 / 20150296420 - SUPPORT OF CS FALLBACK IN AN EVOLVED PACKET SYSTEM | 9 |
Mickael Landais | FR | Versailles | 2014-08-28 / 20140241118 - COMBINED WIDE AND NARROW AZIMUTH SEISMIC DATA ACQUISITION SYSTEM AND METHOD | 1 |
Laurent François Gérard Landais | FR | ErcÉ-PrÈs-LiffrÉ | 2015-10-29 / 20150306065 - A Combination of Adrenaline with an Antidepressant for Use in the Treatment of Shocks | 1 |
Stéphane Landais | FR | Chaville | 2014-02-27 / 20140057104 - Process for Fabricating High-Precision Objects by High-Resolution Lithography and Dry Deposition and Objects thus Obtained | 1 |
Bruno Landais | FR | Pleumeur-Bodou | 2015-07-02 / 20150189491 - NETWORK MONITORING OF USER EQUIPMENT EVENTS | 11 |
Gilbert Landais | FR | Servon Sur Vilaine | 2013-12-12 / 20130332950 - METHOD AND EQUIPMENT FOR COLLECTING AND PROCESSING INFORMATION REPRESENTING EQUIPMENT OPERATING CONDITIONS | 2 |
Frederic Landais | FR | Cesson Sevigne Cedex | 2011-10-20 / 20110255556 - METHOD FOR TRANSPORT STREAM SYNCHRONIZING IN A MULTIPLEXER COMPRISING AN EXTERNAL CORPOCESSOR | 1 |
Thomas Landais | FR | Houilles | 2014-05-08 / 20140129926 - METHOD FOR DEVELOPING A WEB PORTAL, METHOD FOR IMPLEMENTING THE SAME, AND CORRESPONDING COMPUTER PROGRAM PRODUCT | 1 |
Thomas Landais | FR | Rueil-Malmaison | 2015-10-29 / 20150312304 - DEVICE AND METHOD FOR SWITCHING FROM A FIRST DATA STREAM TO A SECOND DATA STREAM | 4 |
Frederic Landais | US | Princeton | 2009-10-08 / 20090252228 - Trick Play Using Temporal Layering | 2 |
Ingvar Landalv | SE | Kungsangen | 2014-04-17 / 20140102002 - GASIFICATION OF BIO-OIL AND ALKALI CONTAINING ENERGY RICH AQUEOUS SOLUTIONS FROM PULP MILLS | 2 |
Ingvar Landälv | SE | Kungsägen | 2014-05-29 / 20140144081 - GASIFICATION REACTOR COMPRISING A PRESSURE ABSORBING COMPLIANT STRUCTURE | 1 |
Daniel A. Landan | US | Oxford | 2014-12-18 / 20140367383 - TAMPER-RESISTANT AND TAMPER EVIDENT CONTAINERS | 4 |
Eider Landa Onate | ES | Bergara (gipuzkoa) | 2009-02-12 / 20090039239 - TACTILE SWITCH FOR A DOMESTIC APPLIANCE | 1 |
Jose Luis Landatxe Zugarramurdi | ES | Hernani | 2008-11-13 / 20080278152 - Disturbance Elimination System for Inductive Sensors | 1 |
Andreas Landau | DE | Aschaffenburg | 2013-09-26 / 20130254147 - METHOD AND APPARATUS FOR ASCERTAINING AT LEAST ONE EXIT PROBABILITY FROM A LAND AREA IDENTIFIABLE IN A DIGITAL MAP AS OPEN TERRAIN | 1 |
Benjamin Landau | CA | Toronto | 2016-05-19 / 20160140252 - SYSTEM AND METHOD FOR PAGE FLIP INTERFACE | 28 |
Erez Landau | IL | Netania | 2011-07-28 / 20110185129 - SECONDARY JAVA HEAPS IN SHARED MEMORY | 2 |
Lucas William Landau | US | Reno | 2011-02-24 / 20110045903 - METER SHIELD FOR USE WITH GAMING DEVICES | 1 |
Matthew J. Landau | US | Arlington | 2008-10-30 / 20080270398 - Product affinity engine and method | 1 |
Uzi Landau | IL | Mitzpe Netofa | 2008-10-16 / 20080252728 - Airborne Laser Image Capturing System and Method | 1 |
Pierre M. Landau | US | Tucson | 2012-05-03 / 20120109676 - MULTIUSER HEALTH MONITORING USING BIOMETRIC IDENTIFICATION | 1 |
Steven Marc Landau | US | Jenkintown | 2013-05-02 / 20130105066 - System and Method for Applying Aroma Releasing Material to Product Packaging | 1 |
Stefan Landau | DE | Wehrheim | 2014-05-01 / 20140117565 - LAMINATE ELECTRONIC DEVICE | 24 |
Ariel Landau | IL | Nesher | 2014-05-08 / 20140129974 - PASSWORD PRESENTATION MANAGEMENT | 3 |
Eitan Landau | IL | Ramat-Gan | 2010-11-18 / 20100290877 - ROLLING CONTAINER ASSEMBLY WITH MOUNT STRUCTURE | 4 |
Airan Landau | US | Saratoga | 2016-02-11 / 20160042015 - ACTIVITY INFORMATION SCHEMA DISCOVERY AND SCHEMA CHANGE DETECTION AND NOTIFICATION | 1 |
Steven A. Landau | US | Voorhees | 2014-03-06 / 20140062694 - INTERACTIVE MEDICAL DEVICE MONITORING AND MANAGEMENT SYSTEM | 2 |
Miron V. Landau | IL | Beer-Sheva | 2010-08-19 / 20100206776 - Process for adsorption of sulfur compounds from hydrocarbon streams | 1 |
Uri M. Landau | US | San Diego | 2014-11-20 / 20140341136 - METHOD AND APPARATUS FOR USER EQUIPMENT (UE) CHANNEL ACQUISITION IN THE PRESENCE OF LARGE FREQUENCY UNCERTAINTY IN WCDMA SIGNALS | 30 |
Yehezkeal Landau | IL | Nahariya | 2013-05-09 / 20130115018 - Coated Cutting Tool, Cutting Member or Wear Part | 2 |
Matthew Landau | US | Arlington | 2014-12-18 / 20140372256 - CONTEXT DEPENDENT DATA MANAGEMENT AND DISPLAY | 1 |
Erez Landau | IL | Netanya | 2014-08-28 / 20140244963 - METHOD AND APPARATUS FOR ALLOCATING MEMORY FOR IMMUTABLE DATA ON A COMPUTING DEVICE | 3 |
Paul Landau | GB | London | 2015-09-17 / 20150262497 - CUSTOMIZED WELLNESS PLANS USING ACTIVITY TRACKERS | 3 |
Thorsten Landau | DE | Ibbenburen-Laggenbeck | 2009-07-02 / 20090167024 - GLUING OF WIND TURBINE INTERNALS TO STRUCTURAL COMPONENTS | 1 |
Alexander Landau | IL | Karmiel | 2014-06-05 / 20140157413 - APPLICATION TESTING SYSTEM AND METHOD | 3 |
Meytal Landau | IL | Haifa | 2014-06-05 / 20140154180 - Pharmacophores for Amyloid Fibers Involved in Alzheimer's Disease | 1 |
Hershey Landau | US | Brooklyn | 2014-11-06 / 20140330679 - METHODS DEVICES AND SYSTEMS FOR PURCHASING A PRODUCT | 1 |
Alexander Landau | US | Palo Alto | 2015-03-12 / 20150074050 - SYSTEM AND METHOD FOR INCREMENTAL REPLICATION | 1 |
Simcha Bunim Landau | IL | Ashdod | 2015-08-27 / 20150237806 - Method of internal seed disinfection by combining seed priming with vacuum infiltration | 1 |
Steven B. Landau | US | Wellsley | / - | 1 |
Herbert Landau | US | Bedford | 2010-03-18 / 20100070238 - Multiple sensor fusion engine | 2 |
Christian Landau | DE | Baunatal | 2014-01-16 / 20140015326 - GRID-CONNECTED INVERTER, INVERTER ARRANGEMENT AND METHOD FOR OPERATING AN INVERTER ARRANGEMENT | 1 |
Joel G. Landau | US | Simi Valley | 2013-01-17 / 20130015609 - FUNCTIONALLY GRADED ADDITIVE MANUFACTURING WITH IN SITU HEAT TREATMENT | 3 |
Miron Landau | IL | Beer-Sheva | 2016-02-11 / 20160038919 - CATALYST AND A PROCESS FOR CATALYTIC CONVERSION OF CARBON DIOXIDE-CONTAINING GAS AND HYDROGEN STREAMS TO HYDROCARBONS | 4 |
Uziel Landau | US | Shaker Heights | 2015-01-22 / 20150021195 - ELECTROWINNING CELL AND PROCESS | 1 |
Steven M. Landau | US | Meadowbrook | 2015-12-24 / 20150366250 - System and Method for Altering the Perceived Flavor of a Liquid or Vapor Passing into the Mouth | 1 |
Ofer Landau | IL | Eyn Vered | 2015-12-17 / 20150359388 - FREE FLOW CONTROL DISPENSER DEVICE AND METHOD | 2 |
Steven Landau | US | Wellesley | 2009-06-25 / 20090163442 - TREATMENT OF CANCER WITH 2-DEOXYGALACTOSE | 1 |
Steven A. Landau | US | New York | 2013-01-24 / 20130021278 - SHAPED CAPACITIVE TOUCH SENSOR, DEVICES, AND METHODS OF USE | 1 |
Bernard R. Landau | US | Cambridge | 2009-06-25 / 20090163442 - TREATMENT OF CANCER WITH 2-DEOXYGALACTOSE | 1 |
Steven B. Landau | US | Wellesley | 2011-11-10 / 20110275667 - COMPOSITIONS USEFUL FOR TREATING GASTROESOPHAGEAL REFLUX DISEASE | 8 |
Michael Landau | US | Greenbrae | 2014-03-27 / 20140089521 - WIKI-TYPE COLLECTIVE INTELLIGENCE MECHANISM FOR IMPLEMENTING A URL REDIRECT | 2 |
Eric Landau | US | Palm Beach | 2010-06-17 / 20100146870 - SHIELDED STRUCTURE FOR RADIATION TREATMENT EQUIPMENT AND METHOD OF ASSEMBLY | 1 |
Ariel Landau | US | Nesher | 2013-03-14 / 20130066825 - SEARCH OPTIMIZATION IN A COMPUTING ENVIRONMENT | 1 |
Joel G. Landau | US | Canoga Park | 2013-04-25 / 20130101746 - ADDITIVE MANUFACTURING MANAGEMENT OF LARGE PART BUILD MASS | 2 |
Nathaniel R. Landau | US | New York | 2013-07-18 / 20130183334 - LENTIVIRAL VECTORS AND METHODS OF USE THEREOF | 1 |
Gabriel D. Landau | US | Baltimore | 2016-02-25 / 20160056956 - SYSTEMS AND METHODS FOR SECURE WORKGROUP MANAGEMENT AND COMMUNICATION | 12 |
Ariel Landau | IL | Haifa | 2012-07-26 / 20120191734 - DUPLICATE FILTERING IN A DATA PROCESSING ENVIRONMENT | 2 |
Eric Landau | US | Clearwater | 2013-05-09 / 20130111825 - RELOCATABLE RADIATION VAULT AND METHODS OF ASSEMBLY AND USE | 1 |
Uri Landau | US | San Diego | 2014-03-27 / 20140086349 - Method and System for Controlling and Regulating Services and Resources in High-Performance Downlink Channels | 17 |
Sergio Landau | US | Laguna Niguel | 2014-08-07 / 20140217695 - Crank Assembly | 10 |
John Landau | US | Mountain Lakes | 2012-05-24 / 20120127990 - Multiple Carrier Gateway System, Method and Apparatus | 2 |
Uri Landau | US | San Diego | 2014-03-27 / 20140086349 - Method and System for Controlling and Regulating Services and Resources in High-Performance Downlink Channels | 17 |
Sergio Landau | US | Laguna Niguel | 2014-08-07 / 20140217695 - Crank Assembly | 10 |
Igor Landau | US | Palo Alto | 2013-08-15 / 20130208251 - LARGE AREA NANOPATTERNING METHOD AND APPARATUS | 1 |
Gabriel D. Landau | US | Baltimore | 2016-02-25 / 20160056956 - SYSTEMS AND METHODS FOR SECURE WORKGROUP MANAGEMENT AND COMMUNICATION | 12 |
Eric Landau | US | Calabasas | 2016-02-11 / 20160038766 - SHIELDED STRUCTURE FOR RADIATION TREATMENT EQUIPMENT AND METHOD OF ASEMBLY | 2 |
Airan Landau | US | San Jose | 2012-05-31 / 20120137337 - System and Method for Providing Video Content Associated with a Source Image to a Television in a Communication Network | 4 |
Herbert Landau | DE | Hoehenkirchen | 2012-06-21 / 20120154210 - GNSS Signal Processing to Estimate Orbits | 2 |
Steven B. Landau | US | Wellesley | 2011-11-10 / 20110275667 - COMPOSITIONS USEFUL FOR TREATING GASTROESOPHAGEAL REFLUX DISEASE | 8 |
Steven Marc Landau | US | Meadowbrook | 2015-10-08 / 20150284142 - System and Method for Applying Aroma Releasing Material to Product Packaging | 2 |
Uwe Landau | DE | Berlin | 2010-06-10 / 20100143431 - BIOACTIVE, RUTHENIUM-CONTAINING COATING AND DEVICE | 2 |
Sergio Landau | US | Laguna Hills | 2014-10-09 / 20140300069 - Configurable Cart | 1 |
Scott E. Landau | US | New York | 2011-05-05 / 20110106664 - Order and Accounting Method and System for Services Provided Via an Interactive Communication Network | 1 |
Asaf Landau | IL | Herzlia Pituach | 2016-03-31 / 20160094245 - LDPC DECODER WITH EFFICIENT CIRCULAR SHIFTERS | 1 |
Benjamin Landau | CA | Toronto | 2016-05-19 / 20160140252 - SYSTEM AND METHOD FOR PAGE FLIP INTERFACE | 28 |
David Landau | IL | Rehovot | 2009-12-31 / 20090326926 - Displaying Time-Series Data and Correlated Events Derived from Text Mining | 1 |
George Landau | US | Huntingdon Valley | 2013-06-06 / 20130144376 - BIOABSORBABLE DEVICE HAVING COMPOSITE STRUCTURE FOR ACCELERATING DEGRADATION | 1 |
Gabriel D. Landau | US | Elkridge | 2016-03-03 / 20160062655 - System and Method for Improved Memory Allocation in a Computer System | 1 |
Michael Landau | US | Palo Alto | 2012-08-02 / 20120197906 - Systems and methods for capturing profession recommendations, create a profession ranking | 1 |
Joel Landau | US | Austin | 2010-05-13 / 20100121684 - System and Method for Capturing Information for Conversion into Actionable Sales Leads | 1 |
Steven Landau | US | Lakeland | 2012-06-14 / 20120145783 - POWERED AUTHENTICATING CARDS | 1 |
George Landau | US | Huntington | 2008-11-06 / 20080275486 - EXTENDED DURATION MEDICAL FILTER | 1 |
Herbert Landau | DE | Hoehenkirchen-Siegertsbrunn | 2013-10-17 / 20130271318 - ADVANCED GLOBAL NAVIGATION SATELLITE SYSTEMS (GNSS) POSITIONING USING PRECISE SATELLITE INFORMATION | 1 |
Dubi Landau | AU | Bentleigh East | 2013-12-05 / 20130325413 - RESULTS-BASED TOOL SELECTION, DIAGNOSIS, AND HELP SYSTEM FOR A FEATURE-BASED MODELING ENVIRONMENT | 1 |
Richard B. Landau | US | Austin | 2008-10-16 / 20080256219 - SYSTEM AND METHOD FOR DEPLOYMENT OF A SOFTWARE IMAGE TO A PLURALITY OF TARGET DEVICES | 1 |
Eitan Landau | IL | Netanya | 2015-12-24 / 20150367296 - AUTOMATIC RELEASE OF PRESSURE IN A HOME SODA MACHINE | 6 |
Gad M. Landau | IL | Haifa | 2012-11-29 / 20120303285 - METHOD AND SYSTEM FOR COMPARATIVE GENOMICS | 2 |
Konrad Landauer | US | Chicago | 2012-04-12 / 20120085780 - AUTOMATIC DISPENSER FOR HAND-SANITIZER LOTION | 1 |
Julian Landauer | AT | Kremsmuenster | 2015-10-15 / 20150295512 - METHOD FOR FEEDING ENERGY FROM PHOTOVOLTAIC MODULES OF A PHOTOVOLTAIC SYSTEM AND INVERTER DESIGNED FOR EXECUTING THIS METHOD | 1 |
Jurgen Landauer | DE | Ober-Ramstadt | 2013-12-19 / 20130334160 - DISPOSABLE KEG WITH A DISPOSABLE FITTING AND METHOD OF MAKING SAME, WHICH KEG IS CONFIGURED TO CONTAIN A BEVERAGE SUCH AS MINERAL WATER, TABLE WATER, BEER, OR A SIMILAR BEVERAGE, THE FITTING BEING HELD ONTO A NECK OF THE KEG BY WELDING OR BY DEFORMATION OF A SHRINKABLE SLEEVE | 2 |
Douglas A. Landauer | US | Ben Lomond | 2015-05-14 / 20150135191 - Compiler System, Method and Software for a Resilient Integrated Circuit Architecture | 1 |
Michael R. Landauer | US | Bethesda | 2010-08-05 / 20100197779 - PHYTOESTROGENIC ISOFLAVONE COMPOSITIONS, THEIR PREPARATION AND USE THEREOF FOR PROTECTION AGAINST AND TREATMENT OF RADIATION INJURY | 1 |
Lawrence G. Landauer | US | Woodinville | 2013-08-08 / 20130204839 - Validating Files Using a Sliding Window to Access and Correlate Records in an Arbitrarily Large Dataset | 2 |
Mario D. Landau-Holdsworth | US | San Francisco | 2014-07-31 / 20140214224 - ELECTRIC VEHICLE CLUSTERED CHARGE DISTRIBUTION AND PRIORITIZATION METHOD, SYSTEM, AND APPARATUS | 1 |
Mario Landau-Holdsworth | US | Portland | 2015-11-26 / 20150340889 - SMART ENERGY DISTRIBUTION METHODS AND SYSTEMS FOR ELECTRIC VEHICLE CHARGING | 2 |
Mario Landau-Holdsworth | US | San Francisco | 2012-11-01 / 20120277927 - ELECTRIC VEHICLE CLUSTERED CHARGE DISTRIBUTION AND PRIORITIZATION METHOD,SYSTEM AND APPARATUS | 3 |
Lisa A. Landay | US | San Clemente | 2009-05-07 / 20090114690 - PORTABLE ACCESSORY ORGANIZER PACK | 1 |
Alan Lee Landay | US | River Forest | 2011-12-29 / 20110318749 - METHOD FOR IDENTIFYING REGULATORY T CELLS | 3 |
Alan Lee Landay | AU | Melbourne | 2015-11-19 / 20150330993 - Diagnostic, prognostic, therapeutic and screening protocols | 1 |
Susan Landay | US | Newton | 2008-09-25 / 20080233551 - WIRELESS LEARNING SYSTEM | 1 |
James Landay | US | Seattle | 2014-05-15 / 20140134576 - PERSONALIZED LANGUAGE LEARNING USING LANGUAGE AND LEARNER MODELS | 2 |
Magnus Landberg | SE | Linkoping | 2011-11-03 / 20110266805 - SUBMERSIBLE PLANT | 3 |
Carl Landberg | SE | Goteborg | 2012-03-15 / 20120060477 - OPERATING METHOD FOR AN EXHAUST AFTERTREATMENT SYSTEM AND EXHAUST AFTERTREATMENT SYSTEM | 1 |
Klavs Landberg | US | Wolfeboro | 2009-08-06 / 20090198704 - Method for automated network file and directory virtualization | 1 |
Tommy Landberg | SE | Taby | 2012-02-02 / 20120024780 - Water treatment plant for combined biomass and biogas production | 1 |
Gustav Landberg | SE | Stockholm | 2012-10-25 / 20120266580 - LAWN MOWER | 1 |
Gustav Landberg | SE | Johanneshov | 2015-02-12 / 20150041512 - CARRIER SYSTEM FOR A BACKPACK ENERGY SOURCE, ENERGY SOURCE AND BACKPACK ENERGY SOURCE ASSEMBLY | 1 |
Cynthia Elizabeth Landberg | US | Clifton Park | 2009-05-28 / 20090135995 - Tomographic Mammography Method | 1 |
Cynthia Elizabeth Landberg Davis | US | Niskayuna | 2014-12-18 / 20140371570 - METHOD FOR MEASURING LIVER FAT MASS USING DUAL-ENERGY X-RAY ABSORPTIOMETRY | 8 |
Cynthia Elizabeth Landberg Davis | US | Niskayuna | 2014-12-18 / 20140371570 - METHOD FOR MEASURING LIVER FAT MASS USING DUAL-ENERGY X-RAY ABSORPTIOMETRY | 8 |
Cynthia Elizabeth Landberg Davis | US | Niskaynuna | 2010-11-11 / 20100286518 - ULTRASOUND SYSTEM AND METHOD TO DELIVER THERAPY BASED ON USER DEFINED TREATMENT SPACES | 1 |
Trond Landbø | NO | Bekkestua | 2010-06-10 / 20100143046 - Device for Transporting Structures on Water | 1 |
Roberto Lande | CH | Pully | 2012-12-13 / 20120315290 - INHIBITORS OF LL-37 MEDIATED IMMUNE REACTIVITY TO SELF NUCLEIC ACIDS | 1 |
Jeffrey Lande | US | Minneapolis | 2015-11-05 / 20150315644 - METHODS AND COMPOSITIONS FOR SCD, CRT, CRT-D, OR SCA THERAPY IDENTIFICATION AND/OR SELECTION | 7 |
Jyoti Reveji Lande | IN | Maharashtra | 2015-11-26 / 20150336947 - PYRROLOQUINOLINE ALKALOIDS AS ANTIMALARIAL AGENTS AND PROCESS FOR THE PREPARATION THEREOF | 1 |
David Lande | US | Mountain View | 2011-09-22 / 20110228404 - Fiber-Coupled Collimator for Generating Multiple Collimated Optical Beams Having Different Wavelengths | 1 |
Nathan Lande | US | Arlington | 2014-03-13 / 20140074733 - PHOTOGRAPH INITIATED APPRAISAL PROCESS AND APPLICATION | 3 |
Sharad Vasuderao Lande | IN | Akola | 2014-10-30 / 20140323785 - CATALYST COMPOSITE FOR DEHYDROGENATION OF HYDROCARBONS AND METHOD OF PREPARATION THEREOF | 1 |
Claudio Lande | IT | Turin | 2016-03-24 / 20160086620 - METHOD FOR SENDING MULTI-MEDIA MESSAGES WITH CUSTOMIZED AUDIO | 2 |
Arnold J. Lande | US | Northport | 2014-07-10 / 20140194804 - Chronic Access System for Extracorporeal Treatment of Blood Including a Continuously Wearable Hemodialyzer | 1 |
Roberto Lande | US | Houston | 2011-02-10 / 20110033448 - Inhibitors of LL-37 Mediated Immune Reactivity to Self Nucleic Acids | 1 |
Stig Lande | NO | Sarpsborg | 2016-05-12 / 20160129971 - DECKING | 3 |
Sharad Vasudeorao Lande | IN | Akola | 2015-06-11 / 20150158024 - DEHYDROGENATION CATALYST FOR HYDROCARBONS AND METHOD OF PREPARATION THEREOF | 2 |
Arnold J. Lande | US | St. Paul | 2012-04-19 / 20120095402 - Chronic Access System for Extracorporeal Treatment of Blood Including a Continuously Wearable Hemodialyzer | 3 |
Hemraj Mahadeorao Lande | IN | Pune | 2012-12-13 / 20120316238 - NOVEL POLYMOMORPH OF DESVENLAFAXINE BENZOATE | 1 |
Bastian Landeck | DE | Pennstr | 2010-06-03 / 20100133279 - Cryogenic Capable High Pressure Containers For Compact Storage Of Hydrogen Onboard Vehicles | 1 |
David William Landeck | US | Arlington | 2009-03-19 / 20090070914 - Garment having a combination jacket and vest | 1 |
Bastian Landeck | DE | Unterhaching | 2015-12-03 / 20150345707 - Pressure Vessel Comprising a Heat Exchanger for a Cryogenically Stored Medium | 3 |
Bastian Landeck | DE | Muenchen | 2011-02-17 / 20110036848 - Composite Compressed Gas Tank | 1 |
Justin Landeck | US | Maple Park | 2013-12-19 / 20130333599 - Row Crop Planter Having Closing Wheel With Modular Wheel Design | 1 |
Danielle Landeck | US | 2013-01-03 / 20130006267 - Surgical Robotic Systems with Manual and Haptic and/or Active Control Modes | 2 | |
Juliet Marie Landeck | US | Arlington | 2009-03-19 / 20090070914 - Garment having a combination jacket and vest | 1 |
Carl F. Landeck, Jr. | US | Wayne | 2014-09-11 / 20140250705 - Apparatus for manufacturing a hydrogen 21 line precision measuring device | 1 |
Lee K. Landeen | US | San Diego | 2013-08-22 / 20130217129 - CONDITIONED CELL CULTURE MEDIUM COMPOSITIONS AND METHODS OF USE | 10 |
Lee K. Landeen | US | San Diego | 2013-08-22 / 20130217129 - CONDITIONED CELL CULTURE MEDIUM COMPOSITIONS AND METHODS OF USE | 10 |
Goran Landek | HR | Zagreb | 2011-04-28 / 20110094966 - Chiral Stationary Phases For Chromatography Based On Aromatic Allyl Amines | 1 |
Matthew N. Landel | US | Milford | 2015-07-09 / 20150191256 - CONTAINMENT SYSTEM AND INCREASED STRENGTH RADOME ASSEMBLY | 1 |
Franz-Josef Landen | DE | Stuttgart | 2011-11-17 / 20110281129 - COMPOSITION COMPRISING A HYDROPHOBIN FOR GLUING PAPER PRODUCTS | 1 |
Diana Dimova Landen | DE | Leverkusen | 2013-12-19 / 20130337158 - PICKERING EMULSION FOR PRODUCING ELECTRICALLY CONDUCTIVE COATINGS AND PROCESS FOR PRODUCING A PICKERING EMULSION | 2 |
Diana Dimowa Landen | DE | Leverkusen | 2014-07-31 / 20140212656 - DISPERSION COMPRISING CARBON NANOTUBES AND GRAPHENE PLATELETS | 1 |
Todd L. Landen | US | Weston | 2015-07-02 / 20150187172 - SYSTEM AND METHOD OF BET-MATCHING AND CHANCE-ELEMENT FEATURES FOR MULTI-PLAYER ONLINE SKILL GAMES | 1 |
Charles N. Landen | US | Pearland | 2009-01-08 / 20090012021 - Delivery of Sirna by Neutral Lipid Compositions | 1 |
Johan Landen | SE | Vastra Frolunda | 2009-06-11 / 20090146445 - CARGO SUPPORT DEVICE | 1 |
Björn Landén | SE | Huskvarna | 2011-04-28 / 20110095215 - FUEL SUPPLY UNIT | 1 |
Björn Landén | SE | Huskvarna | 2011-04-28 / 20110095215 - FUEL SUPPLY UNIT | 1 |
Björn Landén | SE | Huskvarna | 2011-04-28 / 20110095215 - FUEL SUPPLY UNIT | 1 |
Krister LandÉn | SE | Löddeköpinge | 2015-11-05 / 20150319884 - A RECIRCULATING COOLING UNIT | 1 |
Tilo Landenfeld | DE | Vaihingen/enz | 2015-03-05 / 20150059882 - Assembly | 5 |
Charles Warren Lander | US | Wayland | 2015-07-16 / 20150198752 - ANTI-GLARE SURFACE TREATMENT METHOD AND ARTICLES THEREOF | 5 |
Benedict George Lander | US | Harborcreek | 2016-03-10 / 20160069301 - METHOD AND SYSTEMS FOR EXHAUST GAS RECIRCULATION SYSTEM DIAGNOSIS | 1 |
Nicole Angela Lander | AU | Eight Mile Plains | 2009-08-27 / 20090215556 - Inflatable game system | 1 |
Robert J. P. Lander | BE | Leuven | 2012-02-23 / 20120045078 - MEMS MICROPHONE | 4 |
Jack R. Lander | US | Southbury | 2015-11-26 / 20150335139 - Tablet Support Accessory | 2 |
Benedict George Lander | US | Lawrence Park | 2013-03-21 / 20130067994 - SYSTEMS AND METHODS FOR DIAGNOSING AN ENGINE | 1 |
Jurgen Lander | DE | Stuttgart | 2008-10-30 / 20080265065 - Injection Valve | 1 |
Peter John Lander | AU | Queensland | 2010-04-15 / 20100093436 - LIVE COMBAT SIMULATION | 1 |
Robert James Pascoe Lander | BE | Leuven | 2015-10-15 / 20150295052 - SUBSTRATE ARRANGEMENT | 12 |
Cynthia Lander | NJ | Mendham | 2013-05-09 / 20130115256 - METHODS FOR TREATING OR PREVENTING VASCULAR GRAFT FAILURE | 1 |
Marla R. Lander | US | Rancho Mirage | 2011-06-02 / 20110130072 - ADJUSTABLE SUPPORT BRA | 1 |
Eric S. Lander | US | Cambridge | 2016-02-11 / 20160040218 - Selective Purification of RNA and RNA-Bound Molecular Complexes | 13 |
Marc Lander | US | Oakland | 2015-01-29 / 20150029718 - DIFFUSE LAMP | 1 |
Peter John Lander | AU | Eight Mile Plains | 2009-08-27 / 20090215556 - Inflatable game system | 1 |
Robert James Lander | BE | Leuven | 2015-09-24 / 20150270272 - Finfet Drive Strength Modification | 2 |
Eric Lander | US | Cambridge | 2013-04-18 / 20130096009 - METHODS OF IDENTIFYING INTERACTIONS BETWEEN GENOMIC LOCI | 1 |
Cynthia Lander | US | Mendham | 2016-05-19 / 20160136234 - Compositions and Methods for Preventing or Treating Diseases, Conditions, or Processes Characterized by Aberrant Fibroblast Proliferation and Extracellular Matrix Deposition | 7 |
Mike Lander | US | Dallas | 2015-06-25 / 20150174342 - PRECISE ACCURATE MEASUREMENT OF THE ADMINISTRATION OF DRUGS USING THE INJECTION METHOD BY MEANS OF ULTRASONIC PULSE-ECHO PRINCIPLES | 1 |
Robert James Pascoe Lander | BE | Leuven | 2015-10-15 / 20150295052 - SUBSTRATE ARRANGEMENT | 12 |
Elliot B. Lander | US | Rancho Mirage | 2014-11-27 / 20140348899 - METHOD AND COMPOSITION FOR TREATING CYSTITIS | 2 |
Lisa Lander | US | San Diego | 2015-11-12 / 20150320626 - C-SPINE PRESSURE DISTRIBUTIVE SUPPORT | 1 |
George Lander | GB | Victoria Park | 2009-01-08 / 20090009182 - CIRCUIT TO PROVIDE TESTABILITY TO A SELF-TIMED CIRCUIT | 1 |
Bendict George Lander | US | Lawrence Park | 2014-01-30 / 20140032079 - DIAGNOSTIC AND CONTROL SYSTEM AND METHOD | 1 |
Juergen Lander | DE | Stuttgart | 2014-01-30 / 20140027545 - FUEL INJECTION VALVE | 7 |
Robert H. Lander | US | Durango | 2015-12-10 / 20150355158 - PREDICTING SEDIMENT AND SEDIMENTARY ROCK PROPERTIES | 1 |
Kirt Lander | US | Hualapai | 2013-01-10 / 20130008139 - HOOF BOOT WITH PIVOTING HEEL CAPTIVATOR | 1 |
Kenneth Lander | US | Eugene | 2014-01-16 / 20140013653 - Thermal Processing Device, System, and Method. | 1 |
Paul Lander | GB | Cheltenham | 2013-07-04 / 20130173178 - Apparatus and Method for Monitoring a Steam Plant | 1 |
Thomas Lander | DE | Konigstein 1. Taunus | 2010-12-02 / 20100305196 - COMPOSITION FOR TREATING PROSTATE CANCER (PCa) | 1 |
James Keith Lander | GB | Bristol | 2013-04-11 / 20130089702 - METHOD OF PROVIDING THROUGH-THICKNESS REINFORCEMENT OF A LAMINATED MATERIAL | 1 |
Richard Lander | US | Bellevue | 2008-12-25 / 20080320460 - Fulfillment of requirement for versioned resource | 1 |
Joel Martin Lander | US | Santa Monica | 2014-09-18 / 20140279709 - SYSTEMS AND METHODS FOR DETERMINING COSTS OF VEHICLE REPAIRS AND TIMES TO MAJOR REPAIRS | 3 |
Todd Lander | US | Dracut | 2015-11-26 / 20150335244 - Monitor Defibrillator Telemedicine Server | 1 |
Arthur Lander | US | Laguna Beach | 2009-02-05 / 20090038024 - CAP/SORBS1 AND DIABETES | 2 |
Harry Lander | US | New York | 2009-06-11 / 20090149775 - Variable-Diameter Punch Biopsy | 1 |
Peter Ambrose Lander | US | Indianapolis | 2009-10-29 / 20090270460 - FXR AGONISTS | 3 |
Eric G. Lander | US | Cambridge | 2009-12-10 / 20090305248 - METHODS FOR INCREASING ACCURACY OF NUCLEIC ACID SEQUENCING | 1 |
Eric S. Lander | US | Cambridge | 2016-02-11 / 20160040218 - Selective Purification of RNA and RNA-Bound Molecular Complexes | 13 |
Ronald J. Lander | US | Calabasas | 2010-09-09 / 20100226581 - MOBILE BROWSING | 1 |
Charles W. Lander | US | Wayland | 2010-09-09 / 20100224313 - LIGHT EMITTING DEVICE WITH MICROLENS ARRAY | 4 |
Kirt Lander | US | Kingman | 2012-07-19 / 20120180441 - Hoof Boot | 2 |
Zebedee James Lander | CA | New Westminster | 2008-09-11 / 20080220323 - CAST ENCLOSURES FOR BATTERY REPLACEMENT UNITS | 1 |
Robert Lander | BE | Leuven | 2011-07-14 / 20110169101 - Fin Field Effect Transistor (FINFET) | 3 |
Richard E. Lander | US | Bellevue | 2012-09-20 / 20120240106 - OPTIONAL RETARGETING OF LIBRARY REFERENCES | 2 |
Victor Lander | US | Short Hills | 2011-03-03 / 20110051628 - BROADBAND COMBINING SYSTEM WITH HIGH SPECTRUM EFFICIENCY FOR USE IN WIRELESS COMMUNICATIONS | 1 |
Thomas Lander | DE | Konigstein I. Taunus | 2013-09-26 / 20130251742 - COMPOSITION FOR TREATING PROSTATE CANCER (PCa) | 3 |
Ute Lander | DE | Dannenfels | 2015-11-05 / 20150314000 - Pharmaceutically Acceptable Solubilizing Composition and Pharmaceutical Dosage Form Containing Same | 3 |
Sabine Landerer | DE | Biberach | 2010-07-15 / 20100178342 - Solid Pharmaceutical Preparation | 1 |
Florian Landerer | DE | Grafing | 2016-04-14 / 20160104922 - Cooling Device, Particularly for Battery Modules, and Vehicle Comprising Such a Cooling Device | 1 |
Roman Landerer | DE | Sulzberg | 2016-03-31 / 20160090242 - WORKPIECE CARRIER | 3 |
Stefan Landerer | DE | Sonthofen | 2015-04-23 / 20150110595 - METHOD FOR ADJUSTING A BAROMETRIC CELL | 1 |
Dvir Landerer | IL | Haifa | 2012-11-08 / 20120284323 - PUBLIC BOT MANAGEMENT IN PRIVATE NETWORKS | 2 |
Sabine Landerer | DE | Rissegg | 2013-09-26 / 20130251810 - PROCESS FOR PREPARING ORALLY ADMINISTERED DABIGATRAN FORMULATIONS | 4 |
Andreas Landerer | DE | Ofterschwang | 2011-12-22 / 20110309816 - AC VOLTAGE CONTROLLER | 1 |
Krister Landernas | SE | Vasteras | 2011-06-30 / 20110160929 - Electrical Process Interface Device | 3 |
Krister Landernas | SE | Hallstahammar | 2015-06-25 / 20150177733 - METHOD AND SENSOR NODE NETWORK INTERFACE SYSTEM FOR WIRELESS CONTROL OF AN INDUSTRIAL PROCESS | 4 |
Graciela Landeros Ramirez | MX | Guadalajara | 2009-10-01 / 20090246725 - METHOD AND KIT INCLUDING STOP ELEMENTS FOR CORRECTING DENTAL MALOCCLUSIONS WITH PRE-FORMED NITINOL ARCHES | 1 |
Steven Carl Landers | AU | Mount Claremont | 2015-12-24 / 20150373201 - AUTOMATED COMMUNICATIONS RESPONSE SYSTEM | 1 |
Matthew Landers | US | Edgewater | 2015-11-26 / 20150339949 - HEALTH AND FITNESS TRACKER MODULE SOFTWARE PLATFORM | 1 |
John David Landers | US | Raleigh | 2008-10-02 / 20080244052 - ADAPTER BLADE WITH INTERPOSER FOR EXPANDED CAPABILITY OF A BLADE SERVER CHASSIS SYSTEM | 2 |
John D. Landers | US | Raleigh | 2008-10-02 / 20080239649 - Design structure for an interposer for expanded capability of a blade server chassis system | 2 |
Scott Landers | US | Fort Worth | 2008-11-27 / 20080290548 - Pellet mill die and pelletizing process | 1 |
Daniel Landers | US | Woodstock | 2008-11-27 / 20080289349 - REFRIGERATION SYSTEM AND METHOD USING MULTIPLE VARIABLE CAPACITY DEVICES | 1 |
William P. Landers | US | Akron | 2009-01-29 / 20090028183 - Platform for communicating across multiple communication channels | 1 |
William F. Landers | US | Wappingers Falls | 2016-05-19 / 20160141237 - THREE DIMENSIONAL ORGANIC OR GLASS INTERPOSER | 6 |
John Landers | US | Framingham | 2014-08-28 / 20140243229 - METHODS AND PRODUCTS RELATED TO GENOTYPING AND DNA ANALYSIS | 2 |
Michelle Elise Landers | US | Livermore | 2009-08-06 / 20090194554 - Wipes Canister | 2 |
Andrew Landers | US | Bluff Point | 2012-12-27 / 20120325929 - AIRFLOW CONTROLLER | 1 |
Leroy A. Landers | US | Portland | 2011-12-01 / 20110289876 - INSULATIVE METALLIC CHANNEL AND CONSTRUCTION ASSEMBLY | 1 |
Allen James Landers | US | Great Mills | 2009-11-05 / 20090273488 - Method and system for alerting aircrew to unsafe vibration levels | 1 |
Rodney P. Landers | US | Minneapolis | 2009-11-12 / 20090278660 - CREDIT CARD PROTECTION SYSTEM | 1 |
James Landers | US | Oconomowoc | 2015-07-23 / 20150204139 - Removable Ladder Wheels | 1 |
Peter Carey Landers | US | Marlborough | 2013-08-22 / 20130215209 - PRINTING APPARATUS AND METHOD OF OPERATION OF A PRINTING APPARATUS | 1 |
Armin Landers | DE | Jena | 2014-01-30 / 20140029889 - Sensor Fiber Having a Multicore Optical Waveguide Including Fiber Bragg Gratings | 1 |
Diane Landers | US | Atlanta | 2009-12-03 / 20090300213 - METHODOLOGY FOR CONFIGURING AND DEPLOYING MULTIPLE INSTANCES OF A SOFTWARE APPLICATION WITHOUT VIRTUALIZATION | 1 |
Shawna Landers | US | Littleton | 2009-12-31 / 20090327121 - MONETARY GIFT REGISTRY METHODS AND SYSTEMS | 1 |
Carol J. Landers | US | Los Angeles | 2015-09-17 / 20150259748 - DIAGNOSIS AND TREATMENT OF INFLAMMATORY BOWEL DISEASE | 2 |
Steven Carl Landers | AU | Willetton | 2011-02-17 / 20110038367 - AUTOMATED COMMUNICATIONS RESPONSE SYSTEM | 1 |
Mark Douglas Landers | US | Pinehurst | 2014-12-11 / 20140364901 - Pericardial Devices, Systems and Methods for Occluding an Atrial Appendage | 3 |
Samuel Patrick Landers | US | North Canton | 2015-03-05 / 20150059952 - FILTER ASSEMBLY FOR AIR MAINTENANCE TIRE | 12 |
Robert A. Landers | US | Arlington | 2011-06-16 / 20110144127 - AGENTS FOR TREATMENT OF GLAUCOMATOUS RETINOPATHY AND OPTIC NEUROPATHY | 3 |
Ruediger Landers | DE | Essen | 2016-02-18 / 20160046757 - PRODUCTION OF POLYURETHANE FOAMS COMPRISING POLYOLEFIN-BASED POLYOLS | 5 |
Anthony William Landers | US | Yukon | 2011-07-14 / 20110167846 - METHOD AND SYSTEM FOR DEHUMIDIFICATION AND REFRIGERANT PRESSURE CONTROL | 2 |
Rudiger Landers | DE | Essen | 2009-04-02 / 20090088489 - AMINE CATALYSTS SUITABLE FOR PRODUCING LOW-EMANATION, RECATALYSIS-STABLE FLEXIBLE POLYURETHANE FOAMS | 2 |
Michael J. Landers | IE | Cork | 2008-09-11 / 20080219294 - Network Element Manager Resynchronization | 1 |
Trace Dustin Landers | US | Denver | 2010-12-16 / 20100314908 - CAB FRAME BELTLINE MEMBER | 2 |
Jerry L. Landers | US | Memphis | 2011-04-07 / 20110079249 - BEVERAGE DISPENSER | 5 |
Paul Patrick Landers | US | Cincinnati | 2011-04-28 / 20110094979 - Product Display System for Disposable Absorbent Article Containers Having Enhanced Visibility and Recognition | 1 |
Robert J. Landers | US | Allen | 2011-12-22 / 20110314310 - Low-Power Data Loop Recorder | 2 |
R. Brian Landers | US | Leawood | 2010-09-09 / 20100229100 - Methods and Systems for Storing and Accessing Application History | 1 |
Stephen P. Landers | US | Wheelersburg | 2013-12-19 / 20130338955 - Determining and Correcting Error of Positional Vector-Valued Sensors Using a Fixed Angle Calibration Process | 1 |
James Landers | US | Charlottesville | 2015-10-08 / 20150284775 - MICROFLUIDIC CARTRIDGE | 4 |
David B. Landers | US | Edgewater | 2015-02-26 / 20150058263 - HEALTH AND FITNESS MANAGEMENT SYSTEM | 4 |
Amy Lynn Landers | US | Seattle | 2013-06-06 / 20130143662 - CONTROLLER NOTIFICATION SYSTEM | 1 |
Robert Landers | US | Arlington | 2011-12-15 / 20110305641 - Compositions And Methods For Treatment Of Angiogenesis-Associated Ocular Disorders | 1 |
Stephen Landers | US | Savannah | 2016-02-04 / 20160035080 - ADVANCED AIRCRAFT VISION SYSTEM UTILIZING MULTI-SENSOR GAIN SCHEDULING | 1 |
Mr. Neal Harley Landers | US | Duluth | 2013-05-09 / 20130112744 - System for Printing Advertisements on a Ticket | 1 |
Neal Harley Landers | US | Duluth | 2013-05-09 / 20130117124 - System for Creating an Advertisement Strategy | 3 |
Kevin D. Landers | US | Sunnyvale | 2011-06-09 / 20110138004 - DIGITAL IMAGE SHARING ENABLED CHAT APPLICATION | 1 |
Monica Winn Landers | US | Austin | 2015-10-01 / 20150279080 - Systems, Methods, and Machine-Readable Storage Media for Presenting Animations Overlying Multimedia Files | 3 |
Daniel Landers | US | Cambridge | 2015-03-19 / 20150077215 - Device and Method to Additively Fabricate Structures Containing Embedded Electronics or Sensors | 1 |
Samuel Patrick Landers | US | North Canton | 2015-03-05 / 20150059952 - FILTER ASSEMBLY FOR AIR MAINTENANCE TIRE | 12 |
Neal Harley Landers | US | Ball Ground | 2015-12-17 / 20150363829 - Printing, displaying, and etching advertisements on various media | 1 |
Stephen Landers | US | Satellite Beach | 2015-03-26 / 20150084835 - SPHERICAL RESONATOR FREQUENCY SELECTIVE SURFACE | 1 |
Steven Carl Landers | AU | Willeton | 2013-06-20 / 20130154980 - METHOD AND SYSTEM FOR EMOTION TRACKING, TAGGING, AND RATING AND COMMUNICATION | 1 |
Stephen F. Landers | US | Rincon | 2012-10-11 / 20120256768 - SYSTEM AND METHOD OF USING A MULTI-VIEW DISPLAY | 1 |
Paul Landers | US | Broomfield | 2015-10-01 / 20150273216 - SYSTEM AND METHOD OF DEVELOPING A PROGRAM FOR PROVIDING THERAPEUTIC ELECTRICAL STIMULATION FOR TREATING A PATIENT | 3 |
R. Scott Landers | US | Fort Worth | 2012-06-07 / 20120141305 - Stay Rod for a High Pressure Oil Field Pump | 1 |
Brian Landers | US | Leawood | 2012-09-27 / 20120245937 - Voice Rendering Of E-mail With Tags For Improved User Experience | 1 |
Stewart Landers | AU | Boolambayte | 2012-05-10 / 20120112410 - METHOD AND APPARATUS FOR PLAYING A GAME | 1 |
Steven Landers | AU | Mount Claremont | 2015-12-24 / 20150372939 - MOBILE SUPERCLOUD COMPUTING SYSTEM AND METHOD | 2 |
John E. Landers | US | Shrewsbury | 2014-05-29 / 20140148445 - Methods of Detecting Amyotrophic Lateral Sclerosis (ALS) | 1 |
Gregory Bernard Landers | US | Lyndon | 2015-11-26 / 20150338187 - FIREARM STOCK WITH STORAGE | 1 |
John Landers | US | Riverton | 2012-09-20 / 20120237557 - BIOACTIVE CARBON-NANOTUBE AGAROSE COMPOSITES FOR NEURAL ENGINEERING | 1 |
William F. Landers | US | Hopewell Junction | 2011-08-11 / 20110193197 - STRUCTURE AND METHOD FOR MAKING CRACK STOP FOR 3D INTEGRATED CIRCUITS | 1 |
Michael Landers | IE | Cork | 2014-05-08 / 20140129663 - REMOTE INTERACTION WITH ELECTRONIC DEVICES | 1 |
Tom Landers | US | San Francisco | 2014-08-21 / 20140235470 - MULTIPLEX NUCLEIC ACID DETECTION METHODS | 1 |
David Landers | US | Edgewater | 2012-08-30 / 20120221495 - DIGITAL WEIGHT LOSS AID | 1 |
Joseph Thomas Landers | US | Scottsdale | 2014-09-18 / 20140261190 - DURABLE PET BED | 1 |
Rodney P. Landers | US | Woodbury | 2015-09-03 / 20150248829 - METHODS AND SYSTEMS RELATED TO REMOTE POWER LOSS DETECTION | 4 |
Stephen P. Landers | US | Portsmouth | 2015-07-23 / 20150204994 - SENSOR DEVICES AND METHODS FOR CALCULATING AN ORIENTATION WHILE ACCOUNTING FOR MAGNETIC INTERFERENCE | 3 |
James P. Landers | US | Charlottesville | 2015-11-05 / 20150314223 - FREQUENCY-BASED FILTERING OF MECHANICAL ACTUATION USING FLUIDIC DEVICE | 22 |
Thomas Landers | US | Savannah | 2016-02-18 / 20160046364 - SYSTEMS FOR INCEPTOR CONTROL IN FLY-BY-WIRE AIRCRAFT SYSTEMS | 3 |
Douglas J. Landers | US | Phoenix | 2014-06-05 / 20140153153 - Systems And Methods For Electrodes And Coupling Structures For Electronic Weaponry | 3 |
William Francis Landers | US | Wappingers Falls | 2015-04-09 / 20150097274 - THROUGH-SILICON VIA STRUCTURE AND METHOD FOR IMPROVING BEOL DIELECTRIC PERFORMANCE | 5 |
George Landers | US | Tigard | 2015-02-05 / 20150039866 - COMPUTER FOR AMDAHL-COMPLIANT ALGORITHMS LIKE MATRIX INVERSION | 2 |
John David Landers, Jr. | US | Raleigh | 2016-04-21 / 20160110702 - METHOD OF USING, APPARATUS, PRODUCT, AND SYSTEM FOR A NO TOUCH POINT-OF-SALE SELF-CHECKOUT | 8 |
John David Landers, Jr. | US | Raleigh | 2016-04-21 / 20160110702 - METHOD OF USING, APPARATUS, PRODUCT, AND SYSTEM FOR A NO TOUCH POINT-OF-SALE SELF-CHECKOUT | 8 |
J. David Landers, Jr. | US | Raleigh | 2015-06-11 / 20150160629 - SYSTEMS AND METHODS FOR INITIATING PREDETERMINED SOFTWARE FUNCTION FOR A COMPUTING DEVICE BASED ON ORIENTATION AND MOVEMENT | 1 |
Heidi Landert | CH | Bourrignon | 2013-10-10 / 20130267714 - BIDENTATE CHIRAL LIGANDS FOR USE IN CATALYTIC ASYMMETRIC ADDITION REACTIONS | 3 |
Gary E. Landerth | US | Shaker Heights | 2010-12-30 / 20100331330 - METHODS AND COMPOSITIONS FOR TREATING ISCHEMIC STROKE | 1 |
Friedrich Landertshamer | AT | Oftering | 2014-11-27 / 20140349063 - OPEN MESH MATERIAL AND BAGS MADE THEREFROM | 5 |
Andreas Landes | DE | Roemerberg | 2013-10-10 / 20130267417 - Herbicidal Compositions | 2 |
Paul Landes | US | Lee'S Summit | 2009-04-16 / 20090097956 - Articulating Package Palletizing System | 1 |
Harald Landes | DE | Ruckersdorf | 2015-11-12 / 20150325895 - ELECTRICAL ENERGY STORE | 10 |
Esther Landes | DE | Woerth | 2014-01-02 / 20140006520 - Consistent Interface for Customer - Message Set 1 | 6 |
Agnieszka Landes | DE | Bergheim | 2015-04-09 / 20150099810 - PHOTOLABILE PRO-FRAGRANCES | 2 |
Benjamin Landes | DE | Hamburg | 2012-05-24 / 20120124808 - METHOD FOR REDUCING THE INSTALLATION TIME FOR A SUPPLY CHANNEL | 3 |
Mark James Landes | US | Cheyenne | 2016-04-14 / 20160105006 - Shield Snipping Systems | 1 |
Bernard Martin Landes | US | Laguna Niguel | 2012-06-07 / 20120141611 - METHODS AND COMPOSITIONS USING ERGOTHIONEINE TO TREAT A VARIETY OF HEALTH RELATED FACTORS | 1 |
Andreas Landes | DE | Romerberg-Heiligenstein | 2009-01-22 / 20090023588 - HERBICIDAL MIXTURES COMPRISING A SAFENER | 1 |
Harald Landes | DE | Rueckersdorf | 2015-02-26 / 20150056522 - ELECTRICAL ENERGY STORE | 1 |
Joseph Edward Landes | US | Pearland | 2010-09-30 / 20100250468 - SYSTEM TO FORM AN ACTUAL SALES OR DELIVERY VALUE FOR ALL COMPONENTS OF A COMMINGLED HYDROCARBON FLUID STREAM | 1 |
Brian G. Landes | US | Midland | 2010-09-02 / 20100222513 - PRODUCTION OF METATHESIS PRODUCTS BY AMORPHOUS POLYMER SEGMENT INERCHANGE | 3 |
Harald Landes | DE | Ruckersdorf | 2015-11-12 / 20150325895 - ELECTRICAL ENERGY STORE | 10 |
James Landes | US | East Peoria | 2014-09-11 / 20140257656 - RETARDING DOWNSHIFT MANAGEMENT | 3 |
Gregory M. Landes | US | San Bruno | 2012-07-05 / 20120171217 - Monoclonal Antibodies Against ANGPTL4 | 2 |
Glen Brian Landes | US | Quakertown | 2016-02-04 / 20160030059 - DISCECTOMY INSTRUMENT | 4 |
Gregory M. Landes | US | Livermore | 2008-10-02 / 20080241934 - Methods for reducing complexity of a sample using small epitope antibodies | 1 |
Ewgenij Landes | DE | Remseck | 2013-10-24 / 20130280958 - LIQUID EXTRACTION MODULE, LIQUID TANK | 6 |
Mark D. Landes | US | Warsaw | 2011-11-17 / 20110282347 - PEDIATRIC INTRAMEDULLARY NAIL | 2 |
Mindy Landes | US | Carlsbad | 2015-12-24 / 20150368626 - Polymerase Compositions, Methods of Making and Using Same | 3 |
Gregory Landes | US | San Bruno | 2015-10-15 / 20150291702 - CONJUGATED ANTI-CD38 ANTIBODIES | 6 |
Gregory M. Landes | US | The Woodlands | 2012-10-04 / 20120251555 - ANTIBODIES AGAINST T CELL IMMUNOGLOBULIN DOMAIN AND MUCIN DOMAIN 1 (TIM-1) ANTIGEN AND USES THEREOF | 1 |
James W. Landes | US | East Peoria | 2014-11-13 / 20140336889 - GRADE-BASED ANTI-HUNT TIMER | 8 |
Andreas Landes | DE | Romerburg | 2014-03-13 / 20140075602 - Method for Dressing Seeds | 1 |
Ewgenji Landes | DE | Remseck | 2009-12-31 / 20090321408 - SEAL FOR A GLOW PLUG | 1 |
Nathan A. Landes | US | St. Joseph | 2014-10-02 / 20140297069 - AUTOMATED TRACK SURVEYING AND BALLAST REPLACEMENT | 4 |
Louis Mark Landes | US | Fishersville | 2015-08-27 / 20150238969 - Pipette Tip Containers | 1 |
James W. Landes | US | East Peoria | 2014-11-13 / 20140336889 - GRADE-BASED ANTI-HUNT TIMER | 8 |
Gregory Landes | US | San Burno | 2013-11-14 / 20130302346 - ANTIBODIES THAT BIND NOTUM PECTINACETYLESTERASE | 1 |
Mark D. Landes | US | Salem | 2014-01-16 / 20140018805 - PEDIATRIC INTRAMEDULLARY NAIL | 2 |
C. Shane Landes | US | Clover | 2015-04-30 / 20150115976 - CONTINUOUS WEB INLINE TESTING APPARATUS, DEFECT MAPPING SYSTEM AND RELATED METHODS | 1 |
Paul Landes | US | Oak Park | 2016-04-14 / 20160103937 - Structural Representation and Facilitation of Manipulation Thereof Via Implicit Vertex Relationships | 2 |
Andress Landes | DE | Roemerberg-Heiligenstein | 2012-11-29 / 20120302441 - HERBICIDAL MIXTURES | 1 |
Andreas Landes | DE | Römerberg | 2011-11-17 / 20110281926 - METHOD FOR DRESSING SEEDS | 1 |
Scott D. Landes | US | Bloomington | 2016-02-04 / 20160032544 - SELF RIGHTING MARKER POSTS | 1 |
Amir Landesberg | IL | Haifa | 2016-02-04 / 20160030689 - METHOD DEVICE AND SYSTEM FOR MONITORING LUNG VENTILATION | 4 |
Alexander Landesberg | IL | Herzeliya | 2015-02-12 / 20150045280 - FLOOR CLEANING FORMULATION COMPRISING AN AGENT FOR CONTROLLING INSECTS | 1 |
Yolanda Landesberg | IL | Haifa | 2016-03-03 / 20160062114 - ELECTRONIC DISPLAY DESIGNED FOR REDUCED REFLECTIONS | 1 |
Christof Landesberger | DE | Graefelfing | 2016-02-04 / 20160035611 - CARRIER WAFER, METHOD FOR HOLDING A FLEXIBLE SUBSTRATE AND METHOD FOR THE MANUFACTURE OF A CARRIER WAFER | 5 |
Christof Landesberger | DE | Grafelfing | 2009-06-25 / 20090162566 - METHOD FOR THE SELECTIVE COATING OF A SURFACE WITH LIQUID | 1 |
Yosef Landesman | US | Cambridge | 2012-01-19 / 20120016006 - Compositions And Methods For Increasing Cellular Uptake Of RNAi Via SID-1 | 1 |
Lior Landesman | US | Cupertino | 2011-06-16 / 20110143673 - AUTOMATIC POSITIONING OF DIVERSITY ANTENNA ARRAY | 1 |
Yosef Landesman | US | Brookline | 2015-01-15 / 20150018332 - Nuclear Transport Modulators and Uses Thereof | 1 |
Lori D. Landesman | US | Andover | 2014-01-02 / 20140006768 - SELECTIVELY ALLOWING CHANGES TO A SYSTEM | 1 |
Dalit Landesman-Milo | IL | Ramat Gan | 2014-12-11 / 20140363446 - POLYPEPTIDES AND POLYNUCLEOTIDES, AND USES THEREOF AS A DRUG TARGET FOR PRODUCING DRUGS AND BIOLOGICS | 4 |
Mark Landesmann | US | San Francisco | 2011-08-25 / 20110208653 - SYSTEM AND METHOD FOR GRANTING DEPOSIT-CONTINGENT E-MAILING RIGHTS | 2 |
Lior Landesmann | US | Cupertino | 2010-02-11 / 20100034133 - Systems and methods for efficiently positioning a directional antenna module to receive and transmit the most effective band width of wireless transmissions | 1 |
Mark Landesmann | US | Palo Alto | 2010-08-19 / 20100211450 - BUYER-DRIVEN TARGETING OF PURCHASING ENTITIES | 1 |
Augusto Landestoy | US | Mission Viejo | 2012-05-31 / 20120136534 - SOLAR POWER CHARGE AND DISTRIBUTION FOR A VEHICLE | 2 |
Oscar Landeta Elorz | ES | Zaragoza | 2010-02-04 / 20100025266 - BLISTERED RAPID DIAGNOSTIC TEST WITH INCORPORATED MOISTURE ABSORBENT MATERIAL | 1 |
Gregory T. Landeweer | US | Northbrook | 2011-11-17 / 20110278278 - Open Warming Cabinet | 1 |
Casey Landey | US | San Franciso | 2015-05-07 / 20150126908 - DEVICES AND METHODS FOR AIRWAY MEASUREMENT | 1 |
Alexander Landfester | DE | Darmstadt | 2009-01-01 / 20090000048 - Toothbrush | 1 |
Katharina Landfester | DE | Mainz | 2013-08-01 / 20130196395 - ENZYME-CONTAINING MINI-EMULSIONS | 1 |
Katharina Landfester | DE | Berlin | 2009-01-15 / 20090018262 - AQUEOUS POLYURETHANE DISPERSION | 1 |
Katharina Landfester | DE | Ulm | 2012-05-17 / 20120123012 - MICROENCAPSULATED PHOTOINITIATORS AND THE USE THEREOF FOR DENTAL MATERIALS. | 3 |
Philip W. Landfield | US | Lexington | 2013-05-16 / 20130123188 - METHODS FOR DIAGNOSING AND TREATING ALZHEIMER'S DISEASE (AD) USING THE MOLECULES THAT STABILIZE INTRACELLULAR CALCIUM (Ca2+) | 1 |
Joseph David Landford | US | Murrieta | 2014-05-01 / 20140116259 - INFUSING APPARATUS AND METHODS OF USE | 1 |
Per Landfors | SE | Gothenburg | 2014-07-24 / 20140207307 - VEHICLE DRIVER ASSIST ARRANGEMENT | 1 |
Per Landfors | SE | Goteborg | 2014-05-08 / 20140125205 - WHEEL HUB MOTOR ARRANGEMENT | 1 |
Per Landfors | SE | Goeteborg | 2013-10-31 / 20130289843 - VEHICLE YAW STABILITY CONTROL METHOD AND APPARATUS | 2 |
Gunther Landgraf | DE | Karlstadt | 2009-12-31 / 20090326680 - METHOD AND APPARATUS FOR OPTIMIZING, MONITORING, OR ANALYZING A PROCESS | 1 |
Dirk Landgraf | US | Boston | 2015-09-03 / 20150247790 - Microfluidic Assisted Cell Screening | 1 |
Peter Landgraf | DE | Herrenberg | 2016-03-03 / 20160061137 - METHOD FOR ADAPTING A COMMON-RAIL INJECTION SYSTEM OF AN INTERNAL COMBUSTION ENGINE | 1 |
Heike Landgraf | DE | Bruchkoebel | 2011-12-15 / 20110306216 - MASK HOLDING DEVICE | 4 |
Jurgen Landgraf | DE | Gutenberg | 2009-05-07 / 20090114812 - ION MOBILITY SPECTROMETER WITH SUBSTANCE COLLECTOR | 2 |
Erhard Landgraf | DE | Dresden | 2016-04-07 / 20160099311 - SEMICONDUCTOR STRUCTURE AND A METHOD FOR PROCESSING A CARRIER | 6 |
Guenther Landgraf | DE | Karlstadt | 2010-02-04 / 20100026102 - Apparatus And Method For Reducing The Energy Consumption Of A Machine Or System | 3 |
Rainer Landgraf | DE | Sinzing | 2014-09-04 / 20140249090 - PEPTIDES AND PHARMACEUTICAL COMPOSITIONS FOR USE IN THE TREATMENT BY NASAL ADMINISTRATION OF PATIENTS SUFFERING FROM ANXIETY AND SLEEP DISORDERS | 1 |
Bernd Landgraf | AT | St. Martin | 2016-05-12 / 20160133560 - Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof | 3 |
Aaron S. Landgraf | US | Baltimore | 2009-02-12 / 20090043600 - Video Enhanced electronic application | 1 |
Johannes Landgraf | DE | Karlsruhe | 2012-03-08 / 20120058569 - METHOD AND DEVICE FOR DETERMINING REFLECTION COEFFICIENTS ON FILTER ARRANGEMENTS HAVING THIN LAYERS | 1 |
Michael Marvin Landgraf | US | Huntington Woods | 2012-12-06 / 20120304607 - AIR CLEANER FOR MOTOR VEHICLES OPERATING IN EXTREME WEATHER CONDITIONS | 3 |
Heike Landgraf | DE | Bruchkobel | 2014-10-02 / 20140290861 - DEPOSITION PLATFORM FOR FLEXIBLE SUBSTRATES AND METHOD OF OPERATION THEREOF | 5 |
Scott Landgraf | US | Buffalo | 2011-05-26 / 20110123713 - POWDER COATING APPARATUS AND METHOD | 1 |
Guenther Landgraf | DE | Karlstadt-Karlburg | 2014-11-13 / 20140336961 - Machine, Computer Program Product for a Data-Display Device, and Method for Monitoring the Energy Use of a Machine | 3 |
Pablo Landgraf | DE | Duesseldorf | 2014-02-13 / 20140045258 - HUMAN MICRORNAS AND METHODS FOR INHIBITING SAME | 3 |
Wolfgang Landgraf | DE | Frankfurt | 2013-07-04 / 20130172246 - INSULIN GLARGINE VERSUS METFORMIN FOR THE FIRST-LINE TREATMENT OF EARLY TYPE-2 DIABETES | 1 |
Tassilo Landgraf | DE | Berlin | 2012-09-13 / 20120227561 - Slitter Tool | 3 |
Raymond Landgraf | US | Los Angeles | 2015-06-04 / 20150154717 - Leveraging Transaction data for Entity Verification and Credibility | 2 |
Kyle E. Landgraf | US | South San Francisco | 2013-04-18 / 20130096072 - Zymogen Activators | 1 |
Frank Landgraf | DE | Bad Salzdetfurth | 2014-10-02 / 20140294664 - METHOD FOR CASTING | 1 |
Ingo Landgraf | DE | Furth-Poppenreuth | 2012-08-23 / 20120213087 - Method for Performing Lifebeat Monitoring | 1 |
Michael William Landgraf | US | Saratoga | 2009-12-03 / 20090294498 - Aerodynamically shaped stowage receptacle for vehicles | 1 |
Frank Landgraf | AT | Weidling | 2012-05-17 / 20120119461 - SYSTEM AND METHOD FOR CASTING | 1 |
Andreas Landgraf | DE | Essen | 2012-08-09 / 20120202730 - ABRASIVE AGENT BASED ON NATURAL RAW INGREDIENTS, HAVING PROPERTIES IMPROVING RHEOLOGY | 1 |
Hermann Landgraf | DE | Lorsch | 2010-08-26 / 20100216088 - LASER HANDPIECE, EXCHANGEABLE FIBER-OPTIC INSERT AND CONTROL UNIT THEREFOR | 1 |
Johannes Landgraf | DE | Gaimersheim | 2016-01-07 / 20160006752 - MOTOR VEHICLE WITH A DRIVING BEHAVIOR WHICH CAN BE MODIFIED AT A LATER STAGE USING AN APPLICATION PROGRAM | 1 |
Kevin D. Landgrebe | US | Woodbury | 2015-01-22 / 20150021212 - STERILIZATION WRAP SYSTEM AND METHODS OF USE | 12 |
Thomas Christopher Landgrebe | AU | Pymble | 2015-08-13 / 20150227772 - DETECTION AND DECODING METHOD | 1 |
Kevin D. Landgrebe | US | Woodbury | 2015-01-22 / 20150021212 - STERILIZATION WRAP SYSTEM AND METHODS OF USE | 12 |
Achim Landgrebe | DE | Kassel | 2014-06-05 / 20140151142 - Vehicle and Method for Mounting a Drive Assembly | 1 |
James David Landgrebe | US | Cincinnati | 2015-12-17 / 20150360458 - METHOD FOR MAINTAINING A FASTENER IN A FOLDED CONFIGURATION | 6 |
Susanne Landgrebe | DE | Sulfeld | 2009-03-26 / 20090082791 - Self-anchoring tissue lifting device, method of manufacturing same and method of facial reconstructive surgery using same | 1 |
James David Landgrebe | US | Madeira | 2014-08-21 / 20140236116 - FASTENING SYSTEMS FOR USE WITH ABSORBENT ARTICLES | 2 |
Susanne Landgrebe | DE | Suelfeld | 2014-09-18 / 20140277576 - Randomly Uniform Three Dimensional Tissue Scaffold of Absorbable and Non-Absorbable Materials | 2 |
Craig R. Landgren | US | Billings | 2014-02-13 / 20140047344 - Systems, Computer Implemented Methods, Geographic Weather-Data Selection Interface Display, and Computer Readable Medium Having Program Products to Generate User-Customized Virtual Weather Data and User-Customized Weather-Risk Products Responsive Thereto | 3 |
Patricia Landgren | US | Plano | 2016-04-21 / 20160112462 - System and method for executing originating services in a terminating network for IMS and non-IMS applications | 10 |
Patricia A. Landgren | US | Plano | 2015-05-14 / 20150131489 - SYSTEM, METHOD, AND APPARATUS FOR USING ALTERNATIVE NUMBERS FOR ROUTING VOICE CALLS AND SHORT MESSAGES IN A COMMUNICATIONS NETWORK | 12 |
Ken Landgren | US | Houston | 2008-08-28 / 20080208475 - DRILLING COLLABORATION INFRASTRUCTURE | 1 |
Patricia A. Landgren | US | Plano | 2015-05-14 / 20150131489 - SYSTEM, METHOD, AND APPARATUS FOR USING ALTERNATIVE NUMBERS FOR ROUTING VOICE CALLS AND SHORT MESSAGES IN A COMMUNICATIONS NETWORK | 12 |
Patricia Landgren | US | Plano | 2016-04-21 / 20160112462 - System and method for executing originating services in a terminating network for IMS and non-IMS applications | 10 |
Siebe Landheer | NL | Eindhoven | 2016-05-05 / 20160124319 - LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS | 11 |
Siebe Landheer | NL | Eindhoven | 2016-05-05 / 20160124319 - LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS | 11 |
Cecilia Land Hensdal | SE | Karlstad | 2015-10-29 / 20150308017 - MIXING DRYING OF NANOFIBRILLATED POLYSACCHARIDE | 1 |
Cecelia Land Hensdal | SE | Forshaga | 2015-08-06 / 20150218757 - METHOD AND INTERMEDIATE FOR THE PRODUCTION OF HIGHLY REFINED OR MICROFIBRILLATED CELLULOSE | 1 |
Cecilia Land Hensdal | SE | Forshaga | 2015-11-26 / 20150337493 - METHOD OF PRODUCING MICROFIBRILLATED CELLULOSE | 2 |
Mike Landherr | US | Gilbert | 2012-12-27 / 20120326778 - CLOSED LOOP BIAS CONTROL | 1 |
Daniel Joseph Landherr | US | Wyoming | 2014-12-11 / 20140364714 - ANTENNAS FOR IMPLANTABLE MEDICAL DEVICES | 1 |
Adam Norbert Landherr | US | Honolulu | 2014-02-06 / 20140034180 - SYSTEM FOR RELIEVING STRESS AT PIPE CONNECTIONS USING EXTERNAL SLEEVE | 2 |
Kenneth Landherr | DE | Ludwigshafen | 2008-08-28 / 20080207837 - Method for Producing Polymer Powders | 1 |
Frank J. Landherr | US | Cary | 2010-07-01 / 20100168652 - METHODS AND APPARATUS FOR DELIVERING PERITONEAL DIALYSIS (PD) SOLUTION WITH A PERISTALTIC PUMP | 2 |
Kent L. Landholm | US | Elkhorn | 2014-12-11 / 20140365363 - SECURE INTEGRATIVE VAULT OF CONSUMER PAYMENT INSTRUMENTS FOR USE IN PAYMENT PROCESSING SYSTEM AND METHOD | 1 |
Kevin J. Landhuis | US | Ankeny | 2008-11-20 / 20080283132 - GASKET WITH INTERNAL SCREEN AND METHOD OF MANUFACTURING THE SAME | 1 |
Carol D. Landi | US | Devon | 2010-10-07 / 20100251932 - SELF-DISPERSING PARTICLES AND METHODS FOR MAKING AND USING THE SAME | 2 |
John J. Landi | US | Elkhart | 2010-10-07 / 20100255506 - PROCESS OF USING A TETRAZOLIUM SALT | 1 |
Michael R. Landi | US | Boston | 2010-06-03 / 20100132962 - EXTENDED COVERAGE PENDENT SPRINKLER | 1 |
Michael Landi | US | Glen Ridge | 2012-01-19 / 20120012119 - ATHLETIC MOUTHGUARD WITH CUSTOMIZABLE COLOR INSERT | 3 |
James Landi | US | Maplewood | 2012-01-19 / 20120012119 - ATHLETIC MOUTHGUARD WITH CUSTOMIZABLE COLOR INSERT | 2 |
William A. Landi | US | Devon | 2015-01-15 / 20150019248 - Gap in Care Determination Using a Generic Repository for Healthcare | 4 |
Vincent R. Landi | US | Phoenix | 2008-10-16 / 20080254313 - CIRCUIT MATERIALS, MULTILAYER CIRCUITS, AND METHODS OF MANUFACTURE THEREOF | 1 |
Felicetta Landi | BE | Brussels | 2016-05-05 / 20160122688 - HARD SURFACE CLEANERS COMPRISING ETHOXYLATED ALKOXYLATED NONIONIC SURFACTANTS | 1 |
Giacomo Landi | IT | Firenze | 2014-04-10 / 20140099184 - SEALING SYSTEMS FOR TURBOEXPANDERS FOR USE IN ORGANIC RANKINE CYCLES | 1 |
Michael Landi | US | Hughestown | 2015-05-21 / 20150138756 - LED LIGHTING DEVICE COMPATIBLE WITH NIGHT VISION DEVICES | 1 |
Christine Landi | US | Glen Allen | 2015-03-05 / 20150066623 - SYSTEMS AND METHODS FOR PROVIDING FLEXIBLE INCENTIVE REWARDS | 1 |
Abdolamir Landi | CA | Edmonton | 2015-08-06 / 20150219664 - Methods and Compositions for Diagnosis of Inflammatory Liver Disease | 1 |
William Landi | US | Devon | 2011-11-24 / 20110289008 - Use of Satellite-Based Geographical Positioning for Demarcating Real Estate Parcels Involved in Transactions | 1 |
Michael Charles Landi | US | Coral Springs | / - | 1 |
Francesco Landi | IT | Rome | 2016-01-07 / 20160004842 - ASSESSMENT AND ADVICE ON NUTRITION AND ENDURANCE | 1 |
Elena Landi | IT | Dozza | 2013-05-23 / 20130129634 - INTRINSICALLY MAGNETIC HYDROXYAPATITE | 1 |
Riccardo Landi | IT | Anghiari | 2011-06-23 / 20110151062 - MICROWAVEABLE LAMINATED DOUGH PRODUCTS AND METHODS FOR MAKING SAME | 1 |
Elena Landi | IT | Dozza-Toscanella | 2008-10-23 / 20080262121 - Plurisubstituted Hydroxyapatite and the Composite Thereof With a Natural and/or Synthetic Polymer, Their Preparation and Uses Thereof | 1 |
Michael T. Landi | US | Glen Ridge | 2012-11-01 / 20120272440 - ATHLETIC GARMENT WITH INTEGRAL CUP ASSEMBLY | 2 |
James J. Landi | US | Kenilworth | 2015-11-12 / 20150320119 - ATHLETIC GARMENT WITH INTEGRAL CUP ASSEMBLY | 3 |
Elena Landi | IT | Frazione Toscanella | 2009-09-17 / 20090232875 - CARTILAGINIFORM AND OSTEOCHONDRAL SUBSTITUTE COMPRISING A MULTILAYER STRUCTURE AND USE THEREOF | 1 |
Giacomo Landi | NO | Oslo | 2015-10-15 / 20150292349 - TURBOEXPANDER AND DRIVEN TURBOMACHINE SYSTEM | 1 |
Giacomo Landi | NO | Sandvika | 2015-11-19 / 20150330220 - METHOD FOR BALANCING THRUST, TURBINE AND TURBINE ENGINE | 3 |
Curtis L. Landi | US | San Jose | 2012-07-12 / 20120174748 - Lightweight armor and ballistic projectile defense apparatus | 1 |
Luca Landi | IT | Marino | 2009-11-12 / 20090281817 - SYSTEMS AND METHODS FOR PREDICTING WAIT TIME FOR SERVICE TRANSACTIONS | 1 |
Arturetto Landi | DE | Hoxter | 2010-10-07 / 20100252573 - PERFUME BOTTLE | 1 |
Sandra Marcela Landi | BR | Rio De Janeiro | 2008-12-04 / 20080296556 - Method For Dopant Calibration of Delta Doped Multilayered Structure | 1 |
Ernie Landi | US | Sunnyvale | 2016-04-21 / 20160112226 - SYSTEMS FOR ANALOG PHASE SHIFTING | 1 |
Alessandro Landi | IT | Bagnacavallo (ra) | 2013-09-19 / 20130243905 - MACHINE FOR FOLDING AN EDIBLE DOUGH | 1 |
Enrico Landi | IT | Bentivoglio | 2016-05-12 / 20160129510 - MACHINE AND METHOD FOR THE FINISHING OF GEARS | 1 |
Mike T. Landi | US | Glen Ridge | 2015-11-12 / 20150320119 - ATHLETIC GARMENT WITH INTEGRAL CUP ASSEMBLY | 1 |
Enzo Landi | IT | San Lazzaro Di Savena | 2014-07-10 / 20140190346 - Economizer Device For Linear Pneumatic Actuator | 1 |
Lapo Landi | IT | Calenzano (fi) | 2015-11-12 / 20150320839 - COMPOSITION FOR TOPICAL USE COMPRISING LACTOFERRIN | 1 |
Luca Landi | IT | Rome | 2016-04-28 / 20160119616 - MULTIDIRECTIONAL DISPLAY SYSTEM | 4 |
Giacomo Landi | IT | Florence | 2013-09-12 / 20130236295 - COMPACT IGV FOR TURBOEXPANDER APPLICATION | 3 |
James J. Landi | US | Maplewood | 2011-03-10 / 20110056004 - Protective anatomical pads and methods of making | 2 |
Brian J. Landi | US | Rochester | 2010-11-11 / 20100282496 - FREESTANDING CARBON NANOTUBE PAPER, METHODS OF ITS MAKING, AND DEVICES CONTAINING THE SAME | 3 |
Victoria M. Landicini | US | Vineland | 2012-12-20 / 20120317954 - Glove rake | 1 |
Robert I. Landies | US | Chardon | 2012-06-14 / 20120144993 - M60 RIFLE WITH SELECT FIRE MECHANISM FOR SELECTIVE FULLY-AUTOMATIC AND SEMI-AUTOMATIC OPERATION | 6 |
Joseph George Land Iii | US | Evans | 2008-09-25 / 20080230294 - Power train for a utility vehicle | 1 |
Joseph George Land, Iii | US | Tyler | 2016-04-28 / 20160116179 - APPARATUSES, METHODS AND SYSTEMS FOR CONFIGURING ELECTRONICALLY PROGRAMMABLE HVAC SYSTEM | 7 |
Donald T. Landin | US | Eagan | 2013-03-14 / 20130065490 - METHOD OF REFURBISHING VINYL COMPOSITION TILE | 1 |
Donald T. Landin | US | Saint Paul | / - | 1 |
Judith Landin | US | New Haven | 2009-02-19 / 20090047335 - Anti-angiogenic peptides and methods of use thereof | 2 |
Kevin Landin | US | Longmont | 2016-04-21 / 20160110296 - LBA BLOCKING TABLE FOR SSD CONTROLLER | 1 |
Hans Landin | US | Massillon | 2015-03-05 / 20150063738 - SEGMENTED BEARING RETAINER | 2 |
Trevan Landin | US | Hillsboro | 2015-11-19 / 20150330877 - METHOD FOR PREPARING SAMPLES FOR IMAGING | 1 |
Anders Landin | US | San Carlos | 2010-12-23 / 20100325374 - DYNAMICALLY CONFIGURING MEMORY INTERLEAVING FOR LOCALITY AND PERFORMANCE ISOLATION | 6 |
Susan Landin | US | Great Neck | 2010-08-19 / 20100211416 - METHOD AND APPARATUS FOR HEALTHCARE FUNDING EXCHANGE | 1 |
Pedro J. Landin | US | Miami | 2008-12-04 / 20080298495 - METHOD AND APPARATUS FOR GENERATING CORRECTED QUADRATURE PHASE SIGNAL PAIRS IN A COMMUNICATION DEVICE | 1 |
Steven M. Landin | US | Conifer | 2009-08-20 / 20090209412 - Attrition Resistant Fluidizable Reforming Catalyst | 1 |
Hope Landin | US | Livermore | 2009-07-09 / 20090172917 - Access door lever | 1 |
Richard Lee Landingham | US | Livermore | 2013-08-08 / 20130202881 - NOVEL CERMETS FROM MOLTEN METAL INFILTRATION PROCESSING | 3 |
Massimiliana Landini | IT | Pomezia | 2010-01-21 / 20100016324 - PHARMACEUTICAL COMPOSITIONS FOR THE TREATMENT OF CELLULITE | 1 |
Barbara E. Landini | US | Mesa | 2012-03-22 / 20120071737 - Breath Delivery System and Method | 3 |
Sauro Landini | US | San Jose | 2009-07-30 / 20090190422 - Electronic fuses | 1 |
Darren Landino | US | Newton | 2015-06-04 / 20150155765 - METHOD AND APPARATUS FOR CONNECTING AN ULTRACOMPACT, HIGH-PERFORMANCE MOTOR CONTROLLER TO AN ULTRACOMPACT, HIGH-PERFORMANCE BRUSHLESS DC MOTOR | 1 |
Christopher J. Landino | US | Sterling Heights | 2014-11-27 / 20140346004 - TRANSMISSION PARKING PAWL ACTUATION ASSEMBLY | 3 |
Frank Mario Landino | US | Shelton | 2008-08-28 / 20080201972 - ROTOR BLADE CUFF MEASURING TOOL | 1 |
Edward Robert Landino | US | Shelton | 2008-08-28 / 20080201972 - ROTOR BLADE CUFF MEASURING TOOL | 1 |
John Michael Landis | US | Camp Hill | 2011-05-19 / 20110117794 - INSULATION DISPLACEMENT CRIMP CONNECTOR | 4 |
Klaus Landis | DE | Heroldsberg | 2010-08-26 / 20100217320 - VERTEBRAL IMPLANT MADE FROM BONE MATERIAL FOR RELIEF OF A NARROWED VERTEBRAL CHANNEL | 1 |
David Landis | US | Redmond | 2014-12-25 / 20140380193 - SHOWING INTERACTIONS AS THEY OCCUR ON A WHITEBOARD | 1 |
Phillip A. Landis | US | Hilliard | 2015-04-02 / 20150091296 - RETENTION AND ANTI-ROATATION FOR BULKHEAD FITTINGS | 1 |
Stèfan Landis | FR | Voiron | 2015-03-12 / 20150069443 - LIGHT-EMITTING DIODE WITH LOCAL PHOTONIC CRYSTALS | 18 |
Ronald Landis | US | Austin | 2016-04-07 / 20160099607 - UNINTERRUPTED POWER SUPPLY SYSTEMS AND METHODS | 1 |
James P. Landis | US | Milwaukee | 2008-10-30 / 20080267251 - STACKED INDUCTION FURNACE SYSTEM | 1 |
David Landis | US | Cambridge | 2015-04-30 / 20150118742 - APPARATUS FOR EX VIVO MICROFLUIDIC ANALYSIS OF BIOLOGIC SAMPLES | 2 |
Patrick R. Landis | US | Washington | 2013-03-07 / 20130056963 - AIR BAG WITH PLEATED PORTION | 1 |
Jeffrey Lynn Landis | US | Waxhaw | 2015-12-31 / 20150377659 - Wire Seal for Detector Assembly | 4 |
Patrick Landis | US | Washington | 2015-10-01 / 20150274115 - AIR BAG WITH TEAR STITCHED TETHER | 1 |
Charles R. Landis | US | The Woodlands | 2016-02-25 / 20160052805 - ELECTRO-COAGULATION AND METAL SAND TREATMENT FOR WASTEWATER | 12 |
Michael A. Landis | US | San Diego | 2009-03-12 / 20090070140 - Visualizing the Documentation and Coding of Surgical Procedures | 1 |
Robert M. Landis | US | Mountainside | 2015-07-30 / 20150209543 - DEVICE FOR SECURING A BREATHING TUBE AND METHOD OF MANUFACTURING THE SAME | 13 |
Howard S. Landis | US | Essex Junction | 2012-06-07 / 20120144354 - PARAMETER VARIATION IMPROVEMENT | 1 |
Michael Landis | US | Gilbert | 2014-06-19 / 20140170761 - Glucose Monitoring Device in a Protective Smartphone Case | 2 |
Howard Smith Landis | US | Essex Junction | 2011-01-27 / 20110018060 - METHOD AND STRUCTURES FOR IMPROVING SUBSTRATE LOSS AND LINEARITY IN SOI SUBSTRATES | 1 |
Geoffrey A. Landis | US | Berea | 2012-10-18 / 20120261993 - Reverse Bias Protected Solar Array With Integrated Bypass Battery | 1 |
Howard S. Landis | US | Underhill | 2014-07-31 / 20140215417 - NEAR-NEIGHBOR TRIMMING OF DUMMY FILL SHAPES WITH BUILT-IN OPTICAL PROXIMITY CORRECTIONS FOR SEMICONDUCTOR APPLICATIONS | 11 |
Howard Smith Landis | US | Underhill | 2010-09-23 / 20100242012 - FORMATION OF MASKS/RETICLES HAVING DUMMY FEATURES | 3 |
Robert Paul Landis | US | Baltimore | 2009-06-18 / 20090155455 - System And Method For Protecting Electronic Device Display Screen | 1 |
Ronald N. Landis | US | Bellville | 2013-08-29 / 20130223478 - Exhaust Gas Temperature Sensor Including a Vibration Reducing and/or Modifying Sleeve | 4 |
John A. Landis | US | Malvern | 2016-04-21 / 20160112346 - NONSTOP COMPUTING FABRIC ARRANGEMENTS | 5 |
Geoffrey C. Landis | US | Carlsbad | 2009-08-13 / 20090202620 - POLYMER-STABILIZED LIPOSOMAL COMPOSITIONS AND METHODS OF USE | 1 |
David H. Landis | US | Barrington | 2010-02-04 / 20100028634 - METAL OXIDE COATINGS FOR ELECTRICALLY CONDUCTIVE CARBON NANOTUBE FILMS | 2 |
Jeffrey Kirk Landis | US | Birdsboro | 2010-05-20 / 20100125290 - GUARDED SURGICAL KNIFE HANDLE | 1 |
Jeffrey L. Landis | US | Waxhaw | 2010-06-10 / 20100139661 - AIR BREATHING HOSE WITH INTEGRATED ELECTRICAL WIRING | 2 |
John Landis | US | Brighton | 2012-03-22 / 20120072638 - SINGLE STEP PROCESSING OF MEMORY MAPPED ACCESSES IN A HYPERVISOR | 1 |
Shay Landis | IL | Hod-Hasharon | 2015-10-01 / 20150280906 - ALGEBRAIC MANIPULATION DETECTION CODES FROM ALGEBRAIC CURVES | 4 |
Paul Shelton Landis | US | Houston | 2014-05-01 / 20140118345 - SYSTEM AND METHOD FOR ANALYSIS OF TRAP INTEGRITY | 1 |
Kenneth M. Landis | US | Tampa | 2011-05-05 / 20110106610 - Systems and methods for providing and commercially exploiting online persona validation | 1 |
Shane Landis | US | Snohomish | 2010-06-17 / 20100147189 - DRYING ENHANCEMENT ADDITIVE AND METHOD OF USING SAME | 1 |
John A. Landis | US | Pipersville | 2012-05-03 / 20120110575 - SECURE PARTITIONING WITH SHARED INPUT/OUTPUT | 1 |
Kevin C. Landis | US | Telford | 2013-01-31 / 20130026275 - Apparatus and Method for Processing Recyclable Asphalt Materials | 2 |
Steven Landis | US | Kutztown | 2015-08-27 / 20150239305 - Pressure Check Tool And Method Of Operating The Same | 1 |
Terry Landis | US | Solana Beach | 2013-12-19 / 20130333697 - APPARATUS AND SYSTEM FOR A BATTERY PACK ASSEMBLY USED DURING MECHANICAL VENTILATION | 2 |
Jon Landis | US | San Jose | 2013-09-19 / 20130246524 - Sending Event-Triggered Messages and Gifts to Network Users | 1 |
Stefan Landis | FR | Voiron-France | 2011-03-17 / 20110062634 - THERMAL NANOIMPRINT LITHOGRAPHY MOULD, PROCESS FOR PRODUCING IT, AND THERMAL NANOIMPRINT PROCESS EMPLOYING IT | 1 |
William G. Landis | US | San Jose | 2011-05-12 / 20110112531 - DUAL MODE LESION FORMATION APPARATUS, SYSTEMS AND METHODS | 1 |
Evan Landis | US | Orlando | 2016-02-04 / 20160034650 - Vaccine Logistics Systems and Methods | 1 |
Stèfan Landis | FR | Voiron | 2015-03-12 / 20150069443 - LIGHT-EMITTING DIODE WITH LOCAL PHOTONIC CRYSTALS | 18 |
Stephan Landis | FR | Voiron | 2013-06-27 / 20130164442 - MOLD FOR NANOIMPRINT LITHOGRAPHY | 2 |
Adam Landis | US | Reynoldsburg | 2015-12-03 / 20150342449 - VIEW OPTIMIZER AND STABILIZER FOR USE WITH SURGICAL SCOPES | 8 |
Jeremy Landis | US | New York City | 2012-05-24 / 20120130646 - ANALYTE TESTING METHOD AND SYSTEM WITH HIGH AND LOW ANALYTE TRENDS NOTIFICATION | 1 |
Edward L. Landis | US | Scio | 2013-12-05 / 20130323005 - SYSTEM FOR CONVEYING DRILL CUTTINGS | 1 |
William Landis | US | Akron | 2015-10-01 / 20150273110 - APPARATUS AND METHOD FOR ELECTROSPINNING A NANOFIBER COATING ON SURFACES OF POORLY CONDUCTIVE THREE-DIMENSIONAL OBJECTS | 2 |
Charles R. Landis | US | The Woodlands | 2016-02-25 / 20160052805 - ELECTRO-COAGULATION AND METAL SAND TREATMENT FOR WASTEWATER | 12 |
Ron Landis | US | Austin | 2014-12-11 / 20140361624 - APPARATUS AND METHODS FOR CONTROL OF LOAD POWER QUALITY IN UNINTERRUPTIBLE POWER SYSTEMS | 2 |
Clark R. Landis | US | Madison | 2010-09-02 / 20100222583 - DIAZAPHOSPHACYCLE TRANSITION METAL COMPLEXES | 1 |
Stèfan Landis | FR | Voiron | 2010-09-09 / 20100227125 - METHOD TO FABRICATE A MOULD FOR LITHOGRAPHY BY NANO-IMPRINTING | 4 |
Heather Landis | US | Tigard | 2016-02-04 / 20160032453 - SYSTEMS AND METHODS FOR VAPOR DELIVERY | 2 |
Kenneth K. Landis | US | Tequesta | 2014-09-25 / 20140286751 - COOLED TURBINE RING SEGMENTS WITH INTERMEDIATE PRESSURE PLENUMS | 4 |
Charles Landis | US | Houston | 2016-03-10 / 20160068733 - WELLBORE FLUIDS COMPRISING MINERAL PARTICLES AND METHODS RELATING THERETO | 15 |
Jeremy Landis | US | New York | 2013-11-28 / 20130318439 - ANALYTE TESTING METHOD AND SYSTEM WITH HIGH AND LOW ANALYTE TRENDS NOTIFICATION | 1 |
Christian Landis | CH | Hombrechtikon | 2013-11-21 / 20130305822 - SENSING DEVICE | 1 |
Charles Landis | US | Houston | 2016-03-10 / 20160068733 - WELLBORE FLUIDS COMPRISING MINERAL PARTICLES AND METHODS RELATING THERETO | 15 |
Charles R. Landis | US | Houston | 2014-10-30 / 20140321925 - Methods for Strengthening Smectite Shale in Highwall Mines | 4 |
Robert M. Landis | US | Moutainside | 2012-11-01 / 20120272954 - ARTIFICIAL AIRWAY INTERFACES AND METHODS THEREOF | 2 |
Michael Eugene Landis | US | Mullica Hill | 2010-09-09 / 20100227783 - Method for reducing friction/wear of formulated lubricating oils by use of ionic liquids as anti-friction/anti-wear additives | 1 |
Edward Dwight Landis | US | Independence | 2014-09-11 / 20140257963 - TECHNIQUES FOR DELIVERING HOTEL SERVICES | 1 |
Michael K. Landis | US | Albemarle | 2014-10-09 / 20140299250 - TIRE WITH MULTIPLE BEAD CORES AND LOCKING INSERT | 1 |
Don Landis | US | Hampton | 2010-10-14 / 20100258292 - PROPELLANT FRACTURING SYSTEM FOR WELLS | 1 |
Michael E. Landis | US | Mullica Hill | 2011-09-22 / 20110230680 - LUBRICATING COMPOSITIONS CONTAINING ASHLESS CATALYTIC ANTIOXIDANT ADDITIVES | 3 |
Adam Landis | US | Reynoldsburg | 2015-12-03 / 20150342449 - VIEW OPTIMIZER AND STABILIZER FOR USE WITH SURGICAL SCOPES | 8 |
Robert M. Landis | US | Mountainside | 2015-07-30 / 20150209543 - DEVICE FOR SECURING A BREATHING TUBE AND METHOD OF MANUFACTURING THE SAME | 13 |
Elizabeth C. Landis | US | Madison | 2010-05-06 / 20100108490 - MOLECULAR AND BIOMOLECULAR FUNCTIONALIZATION OF METAL OXIDES | 1 |
Chad Landis | US | Oakmont | 2015-04-16 / 20150105534 - SYNTHESIS OF CONJUGATED POLYMERS VIA OXIDATIVE POLYMERIZATION AND RELATED COMPOSITIONS | 5 |
Frank Landis | US | Erie | 2011-01-06 / 20110001324 - System and Method for Gas Turbine Chilled Water Storage Discharge Control and/or Gas Turbine Output Control | 1 |
Howard S. Landis | US | Underhill | 2014-07-31 / 20140215417 - NEAR-NEIGHBOR TRIMMING OF DUMMY FILL SHAPES WITH BUILT-IN OPTICAL PROXIMITY CORRECTIONS FOR SEMICONDUCTOR APPLICATIONS | 11 |
Miles Landis | US | Seattle | 2015-05-14 / 20150133269 - JAW AND FACIAL MUSCLE EXERCISING DEVICE | 1 |
Gordon S. Landis | US | Stow | 2014-09-18 / 20140282756 - METHOD AND APPARATUS FOR PROVIDING TRICK-PLAY CAPABILITY WHEN PRESENTING LINEAR CONTENT | 2 |
John Landis | US | Malvern | 2014-12-04 / 20140358972 - INTERCONNECT PARTITION BINDING API, ALLOCATION AND MANAGEMENT OF APPLICATION-SPECIFIC PARTITIONS | 2 |
Shay Landis | IL | Ramat Gan | 2016-04-28 / 20160117256 - NONVOLATILE MEMORY DEVICES AND METHODS OF CONTROLLING THE SAME | 3 |
Amanda Landis-Hanna | US | Fairfax | 2015-07-02 / 20150182322 - Paired Thermometer Temperature Determination | 1 |
John Milton Landis, Iv | US | Cumming | 2011-05-19 / 20110119375 - Systems and Methods for Analyzing the Health of Networks and Identifying Points of Interest in Networks | 1 |
Lester H. Landis Jr | US | Houston | 2008-10-09 / 20080249906 - Reservoir Evaluation Methods | 1 |
David H. Landis, Jr. | US | Barrington | 2010-06-03 / 20100136224 - STABLE NANOTUBE COATINGS | 1 |
Wilhelmus Johannes Mathernus Landman | NL | Utrecht | 2011-06-23 / 20110150940 - DRY POWDER COMPOSITIONS AND SYSTEMS FOR POULTRY VACCINATION | 1 |
Benjamin M. Landman | US | Cedar Park | 2009-07-30 / 20090193509 - SYSTEMS, METHODS AND COMPUTER PROGRAM PRODUCTS FOR GENERATING ANONYMOUS ASSERTIONS | 5 |
Marc Landman | US | Bedford | 2014-05-15 / 20140132767 - Parking Information Collection System and Method | 2 |
Mark Landman | US | Sharon | 2012-04-12 / 20120089028 - METHOD AND SYSTEM FOR INTRABODY IMAGING | 2 |
Mark R. Landman | US | Holland | 2009-09-24 / 20090235711 - HEADREST FRAME AND METHOD | 1 |
Jaime Landman | US | New York | 2009-07-23 / 20090187146 - VACUUM ASPIRATION HANDLE | 1 |
Natalie Landman | US | Gilbert | 2008-12-18 / 20080312187 - PHOSPHOINOSITIDE MODULATION FOR THE TREATMENT OF ALZHEIMER'S DISEASE | 1 |
Mania Landman | IL | Petah Tikva | 2011-09-22 / 20110230833 - Device and Method for Injecting Fluids or Gels | 1 |
Ronald G. Landman | US | Fargo | 2012-11-08 / 20120284441 - ELECTRONIC MODULES WITH AUTOMATIC CONFIGURATION | 3 |
Avi Landman | IL | Kiryat Haim | 2008-11-06 / 20080272196 - Smart Identification Document | 1 |
Robert J. Landman | US | North Hampton | 2012-08-02 / 20120195016 - Selective Application by Electroless Plating of a Tin-Whisker Impenetrable Metal Cap to Metals on Electronic Assemblies | 1 |
Mathieu Landman | FR | Toulouse | 2009-02-26 / 20090052806 - PROCESS AND APPARATUS FOR ANNOTATING ELECTRONIC MAP DATA | 3 |
Bernardus Cornelis Johannes Landman | NL | Boskoop | 2014-10-16 / 20140305898 - PREFORM, CONTAINER AND METHOD FOR FORMING A CONTAINER | 8 |
Philip Landman | US | Davie | 2014-01-30 / 20140033112 - MANAGEMENT OF MULTIPLE WINDOW PANELS WITH A GRAPHICAL USER INTERFACE | 2 |
Evelyn Landman | IL | Haifa | 2015-02-19 / 20150050015 - High-reliability Active Optical CABLE (AOC) with Redundant Emitters | 3 |
Drew Landman | US | Norfolk | 2011-08-25 / 20110204677 - DAM SKIRT AERODYNAMIC FAIRING DEVICE | 1 |
Mark S. Landman | US | Sharon | 2015-01-15 / 20150018620 - INFLATABLE MEMBER FOR AN ENDOSCOPE SHEATH | 1 |
Sean R. Landman | US | Minneapolis | 2013-05-02 / 20130110834 - AGGREGATING CARDIAC RESYNCHRONIZATION THERAPY DATA | 2 |
Natalie Landman | US | New York | 2014-06-19 / 20140171380 - Screening Assays Using Stem Cells and Stem Cell-Derived Neurons from Mouse Models of Alzheimer's Disease | 1 |
Bernardus Cornelis Johannes Landman | NL | Boskoop | 2014-10-16 / 20140305898 - PREFORM, CONTAINER AND METHOD FOR FORMING A CONTAINER | 8 |
Jaime Landman | US | Corona Del Mar | 2013-03-14 / 20130066308 - ABLATION-BASED THERAPY FOR BLADDER PATHOLOGIES | 1 |
Frederic Landmann | US | Santa Cruz | 2013-12-26 / 20130345249 - Formulations for the prevention and treatment of Wolbachia-related disease | 1 |
Johannes Landmann | DE | Amorbach | 2016-05-12 / 20160130286 - METHOD FOR PRODUCING SALTS HAVING MONOFLUORO-TRICYANOBORATE ANIONS | 2 |
Fredric Landmann | US | Santa Cruz | 2014-09-18 / 20140274972 - Compositions and Methods employing Wolbachia FtsZ as a target for Albendazole sulfone | 1 |
Wolf S. Landmann | US | Fair Lawn | 2016-02-11 / 20160041056 - SELF-HEATED PRESSURE SENSOR ASSEMBLIES | 17 |
Alan Edgar Landmann | US | Woodinville | 2014-12-11 / 20140361120 - Flight Vehicle Fairing Having Vibration-Damping Blankets | 2 |
Wolf S. Landmann | US | Fair Lawn | 2016-02-11 / 20160041056 - SELF-HEATED PRESSURE SENSOR ASSEMBLIES | 17 |
Alan E. Landmann | US | Woodinville | 2016-02-04 / 20160034611 - METHODS AND SYSTEMS FOR DETERMINING A STRUCTURAL PARAMETER FOR NOISE AND VIBRATION CONTROL | 5 |
Wolf S. Landmann | US | 2014-03-20 / 20140076056 - SELF-HEATED PRESSURE SENSOR ASSEMBLIES | 1 | |
Thomas Landmann | FR | Eguisheim | 2010-09-23 / 20100236232 - Drive for a Hydraulic Excavator | 1 |
Wolf Landmann | US | Fair Lawn | 2016-05-05 / 20160123766 - SYSTEMS AND METHODS FOR ELECTRICALLY IDENTIFYING AND COMPENSATING INDIVIDUAL PRESSURE TRANSDUCERS | 7 |
Nicolay Landmark | GB | Hall Green | 2010-06-24 / 20100161390 - SYSTEM FOR PROVIDING STEP OUT COMMISSIONS AND COMPENSATION FOR RESEARCH BROKERS | 1 |
Joakim Landmark | SE | Uppsala | 2015-04-02 / 20150092823 - MODULAR RADIO TRANSCEIVER | 2 |
Nicolay Landmark | US | Stamford | 2012-08-02 / 20120197778 - CONTROLLING AN ORDER SLICER FOR TRADING A FINANCIAL INSTRUMENT | 2 |
Joakim Landmark | US | Phoenix | 2014-12-18 / 20140369452 - METHOD AND APPARATUS FOR ON-DEMAND INTERFERENCE REJECTION IN MULTI-BAND GNSS RECEIVERS | 5 |
Lynn Landmesser | US | Shaker Heights | 2010-01-14 / 20100009444 - SYSTEM AND METHOD FOR CONTROLLING G-PROTEIN COUPLED RECEPTOR PATHWAYS | 1 |
John E. Lando | US | Wayne | 2010-10-28 / 20100269826 - Liquid-Evaporate Delivery Device | 1 |
Robert N. Lando | US | Avon | 2015-12-31 / 20150373925 - HORTICULTURAL POT AND TRAY FILLING APPARATUS | 1 |
Paul Lando | US | Monkton | 2013-07-04 / 20130172159 - POWER DRIVE EXERCISE APPARATUS | 1 |
Peter Lando | US | Plano | 2012-06-21 / 20120158092 - STIMULATION SYSTEM AND METHOD TREATING A NEUROLOGICAL DISORDER | 3 |
David C. Lando | US | Overland Park | 2014-03-06 / 20140066003 - SYSTEM AND METHOD FOR EMERGENCY COMMUNICATIONS THROUGH A RESIDENTIAL GATEWAY | 4 |
Brian Z. Lando | US | West Orange | / - | 2 |
David Lando | US | West Orange | 2012-11-15 / 20120290698 - NETWORK IDENTITY MANAGEMENT SYSTEM AND METHOD | 3 |
Paul F. Lando | US | Red Lion | 2013-01-31 / 20130029811 - EXERCISE DEVICE HAVING ADJUSTABLE RESISTANCE FORCE | 1 |
Joshua B. Lando | US | San Francisco | 2015-12-03 / 20150350804 - Reflected Sound Rendering for Object-Based Audio | 2 |
Anthony V. Lando | US | Suwanee | 2015-07-16 / 20150196639 - TREATMENT INTERVALS FOR USE OF COMPOSITIONS COMPRISING ENERGY ABSORBING MATERIALS FOR DERMATOLOGICAL APPLICATIONS | 2 |
Jean-Louis Lando | FR | Saverdun | 2012-06-14 / 20120146403 - AIRCRAFT COMPRISING A REVERSIBLE ROTARY ELECTRICAL MACHINE | 5 |
Mordechai Lando | IL | Beer-Sheva | 2014-07-03 / 20140187300 - EDUCATIONAL ARITHMETIC SETS | 5 |
Noam Lando | IL | Kfar Saba | 2009-08-27 / 20090215504 - CONTENT DELIVERY SYSTEM AND METHOD FOR A MOBILE COMMUNICATION DEVICE | 2 |
Gilad Lando | IL | Rishon Lezion | 2015-03-12 / 20150068978 - ENHANCMENT OF MEMBRANE ROBUSTNESS BY TREATMENT WITH IONIC MATERIALS | 2 |
Ron Lando | US | Corte Madera | 2016-01-07 / 20160004092 - Collapsible Eyewear | 2 |
Malin Lando | NO | Oslo | 2016-02-18 / 20160046997 - BIOMARKERS FOR CERVICAL CANCER | 3 |
Peter R. Lando | US | Dallas | 2013-07-18 / 20130184793 - ELECTRICAL STIMULATION SYSTEM AND ASSOCIATED APPARATUS FOR SECURING AN ELECTRICAL STIMULATION LEAD IN POSITION IN A PERSON'S BRAIN | 3 |
Edward Lando | US | Philadelphia | 2015-02-26 / 20150055079 - EYEWEAR TECHNOLOGIES | 1 |
Joshua Brandon Lando | US | San Francisco | 2015-09-24 / 20150271620 - REFLECTED AND DIRECT RENDERING OF UPMIXED CONTENT TO INDIVIDUALLY ADDRESSABLE DRIVERS | 3 |
Jean-Louis Lando | FR | Toulouse | 2014-11-27 / 20140347019 - VOLTAGE-CONTROLLED DC LINK FOR VARIABLE FREQUENCY GENERATOR EXCITATION | 1 |
Pierfrancesco Landolfi | US | Newark | 2010-11-04 / 20100277312 - IN-LINE HIGH-THROUGHPUT CONTRABAND DETECTION SYSTEM | 2 |
Pierfrancesco Landolfi | US | Palo Alto | 2010-09-16 / 20100230242 - SYSTEMS AND METHOD FOR SCANNING A CONTINUOUS STREAM OF OBJECTS | 4 |
Nicholas F. Landolfi | US | Menlo Park | 2016-01-07 / 20160002335 - THERAPEUTIC USE OF ANTI-CS1 ANTIBODIES | 13 |
Nicholas F. Landolfi | US | Menlo Park | 2016-01-07 / 20160002335 - THERAPEUTIC USE OF ANTI-CS1 ANTIBODIES | 13 |
Mark Anthony Landolfi | US | Hanover | 2010-04-29 / 20100101128 - Ballcap Banner | 1 |
Santo Landolfo | IT | Torino | 2013-05-23 / 20130130970 - LACTADHERIN-DERIVED PEPTIDES AS ANTIVIRAL AGENTS | 7 |
Santo Landolfo | IT | Vercelli | 2010-04-29 / 20100105086 - DIFFERENTIAL DIAGNOSIS FOR SCLERODERMA | 1 |
Kevin P. Landolfo | US | Chapel Hill | 2009-09-03 / 20090221504 - DOSE OF AN ANGIOGENIC FACTOR AND METHOD OF ADMINISTERING TO IMPROVE MYOCARDIAL BLOOD FLOW | 1 |
Donald R. Landoll | US | Marysville | 2014-09-18 / 20140262379 - TANDEM CONDITIONING REELS FOR CULTIVATOR WITH BEARING SYSTEM | 9 |
Donald R. Landoll | US | Marysville | 2014-09-18 / 20140262379 - TANDEM CONDITIONING REELS FOR CULTIVATOR WITH BEARING SYSTEM | 9 |
David Scott Landoll | US | Austin | 2011-06-23 / 20110148462 - Post-Programming Functional Verification for Programable Integrated Circuits | 1 |
Phillip R. Landoll | US | Marysville | 2014-09-18 / 20140262379 - TANDEM CONDITIONING REELS FOR CULTIVATOR WITH BEARING SYSTEM | 5 |
Kim Landolt | US | Bristol | 2009-08-20 / 20090205558 - Bookmark | 1 |
Markus Landolt | CH | Zurich | 2014-02-06 / 20140037820 - DEVICE FOR PRODUCING AND DISPENSING BEVERAGES MIXED FROM MILK WITH LIQUID FLAVORING AGENTS | 3 |
Darian Landolt | US | Cary | 2009-06-11 / 20090150000 - SYSTEM AND METHOD OF MANAGING SUBSTANCES IN A PLANT ROOT ZONE | 1 |
Hans Landolt | CH | Aarau | 2009-08-13 / 20090202119 - Method for analyzing and processing fluorescent images | 1 |
Markus Landolt | CH | Hallau | 2015-05-21 / 20150136564 - FEEDING DEVICE FOR PACKAGING MACHINE | 1 |
Oliver Landolt | DE | Taufkirchen | 2016-05-19 / 20160139620 - Current source for the delivery of a first current and a second current | 5 |
Gabriele A. Landolt | US | Madison | 2010-03-11 / 20100062014 - H3 EQUINE INFLUENZA A VIRUS | 1 |
Gerrit Franciscus Landolt | BE | Mariakerke | 2010-09-09 / 20100226920 - MEDICAL DELIVERY DEVICE FOR THERAPEUTIC PROTEINS BASED ON SINGLE DOMAIN ANTIBODIES | 1 |
Mark William Landolt | US | Chicago | 2008-08-28 / 20080202951 - Dispensing closure for a liquid container | 1 |
Michael Landolt | CH | Reiden | 2014-12-04 / 20140353120 - CONVEYING ARRANGEMENT FOR USE WITH A TRANSPORT MEMBER | 1 |
Darian E. Landolt | US | Evanston | 2015-08-13 / 20150223391 - Multiple Seed-Type Planting System With On-Row Selective Delivery | 2 |
Andrin Landolt | CH | Zurich | 2015-12-24 / 20150367130 - INTERNAL PRESSURE MANAGEMENT SYSTEM | 1 |
Michael Landolt | CH | Oftringen | 2013-01-03 / 20130001051 - GUIDE ARRANGEMENT FOR USE WITH A TRANSPORT MEMBER | 3 |
Gabriele A. Landolt | US | Fort Collins | 2016-02-25 / 20160051662 - H3 INFLUENZA A VIRUS | 4 |
Armin Landolt | CH | Matten-Bei-Interlaken | 2012-11-08 / 20120279381 - LOCKING DEVICE FOR MOVABLE MOMENTUM CONNECTION IN SUPPRESSORS FOR SEMI-AUTOMATIC AND FULLY AUTOMATIC WEAPONS | 1 |
Stefan Landolt | CH | Obernau | 2015-02-12 / 20150040520 - Apparatus for Heat Shrinking a Package and Method for Heat Shrinking a Package | 1 |
Ryan L. Landon | US | Southaven | 2015-03-12 / 20150073562 - TIBIAL IMPLANT HAVING AN ANATOMIC STEM | 9 |
Thomas Landon | US | Marietta | 2015-12-17 / 20150362186 - METHODS FOR OPERATING A FURNACE | 2 |
Jason Lee Landon | US | Weatherford | 2015-10-22 / 20150298295 - FOLDING KNIFE WITH BUILT IN SHARPENER | 1 |
Shayne J. Landon | US | New York | 2012-03-15 / 20120065308 - MOISTURE CURABLE ORGANOPOLYSILOXANE COMPOSITION | 1 |
Bruce E. Landon | US | Newton | 2013-03-21 / 20130073313 - METHOD FOR USING PHYSICIAN SOCIAL NETWORKS BASED ON COMMON PATIENTS TO PREDICT COST AND INTENSITY OF CARE IN HOSPITALS | 1 |
David Glen Landon | US | Salt Lake City | 2012-07-26 / 20120188131 - MOBILE COMMUNICATIONS SYSTEMS AND METHODS RELATING TO POLARIZATION-AGILE ANTENNAS | 2 |
George V. Landon | US | Richmond | 2014-11-20 / 20140340485 - METHOD AND APPARATUS FOR 3D IMAGING A WORKPIECE | 1 |
Daniel Landon | US | Woodinville | 2013-04-11 / 20130087559 - CONTAINER FOR HOLDING AND DISPENSING SOLID OR LIQUID REFRESHMENT, AND RELATED SYSTEMS AND METHODS | 1 |
Trevor Landon | US | 2012-02-02 / 20120026668 - MASS STORAGE RETENTION, INSERTION, AND REMOVAL IN A CONDUCTION COOLED SYSTEM AND STACKING HARD DRIVE BACKPLANE | 1 | |
Ryan Landon | US | Redmond | 2016-05-19 / 20160136042 - CPR CHEST COMPRESSION MACHINE ADJUSTING MOTION-TIME PROFILE IN VIEW OF DETECTED FORCE | 1 |
Trevor Landon | US | Vienna | 2014-07-10 / 20140192188 - DEVICE AND METHOD FOR ENHANCING COVERT OPERATIONS IN HOSTILE ENVIRONMENTS BY REDUCING BANDWIDTH AND POWER REQUIREMENTS | 2 |
Andrew Landon | US | Richland | 2011-05-12 / 20110110837 - SEGMENTED REACTORS FOR CAPACITY AND ENRICHMENT CHANGES IN CONVERSION OF URANIUM HEXAFLUORIDE TO URANIUM DIOXIDE | 1 |
Gregory L. Landon | US | Shawano | 2015-11-19 / 20150327428 - WINDROW MERGER | 3 |
Trevor Landon | US | Kalamazoo | 2010-10-21 / 20100268224 - BIPOLAR ELECTROSURGICAL TOOL WITH ACTIVE AND RETURN ELECTRODES SHAPED TO FOSTER DIFFUSE CURRENT FLOW IN THE TISSUE ADJACENT THE RETURN ELECTRODE | 1 |
David Landon | US | Pella | 2015-09-24 / 20150266124 - AUTOMATIC SYSTEM FOR ABRASIVE HARDFACING | 2 |
Colin D. Landon | US | Cambridge | 2010-06-24 / 20100158392 - Systems and Methods for Determining Crystallographic Characteristics of a Material | 1 |
Ryan F. Landon | US | Redmond | 2012-05-03 / 20120105238 - DEFIBRILLATOR DELIVERING AUDIBLE PROMPTS TO EARPIECE | 1 |
Thomas E. Landon | US | Marietta | 2012-09-27 / 20120240833 - METHODS FOR OPERATING A FLUIDIZED-BED REACTOR | 3 |
Shayne J. Landon | US | Ballston Lake | 2011-06-23 / 20110151154 - INSULATED GLASS UNIT WITH SEALANT COMPOSITION HAVING REDUCED PERMEABILITY TO GAS | 4 |
Todd Landon | US | Mound | 2013-09-26 / 20130251852 - REDUCED-FAT NATURAL BUTTER PRODUCT AND METHODS OF MANUFACTURING THE SAME | 4 |
Michael Landon | US | Salt Lake City | 2009-08-13 / 20090201432 - System and Method for Advertising in Retail Environments with Video Displays Attached to Shelving | 1 |
Ryan L. Landon | US | Southaven | 2015-03-12 / 20150073562 - TIBIAL IMPLANT HAVING AN ANATOMIC STEM | 9 |
Shayne Landon | US | Ballston Lake | 2009-01-15 / 20090018260 - Curable silicon-containing compositions possessing high translucency | 1 |
Scott Landon | US | Orlando | 2008-11-06 / 20080272147 - FLASHNG BOTTLE POURER | 1 |
Shayne Joseph Landon | US | Ballston Lake | 2012-08-30 / 20120219802 - Copolycarbonates, Their Derivatives and the Use Thereof in Silicone Hardcoat Compositions | 3 |
Mark D. Landon | US | Idaho Falls | 2008-11-06 / 20080275677 - SYSTEM, METHODS, AND COMPUTER READABLE MEDIA, FOR PRODUCT DESIGN USING COUPLED COMPUTER AIDED ENGINEERING MODELS | 1 |
Robert S. Landon | US | Livingston | 2015-12-31 / 20150376333 - METHOD FOR EXTRACTING OIL FROM A POWDER | 1 |
Josie Lynn Landon | US | Caldwell | 2015-03-26 / 20150086703 - BAKED FRY PROCESS AND PRODUCT | 1 |
Christopher Landon | US | Portland | 2014-01-23 / 20140020514 - INLINE HANDLE TOOL WITH MID-TOOL CABLE-EXIT | 1 |
Jack W. Landon | US | Salt Lake City | 2013-11-28 / 20130317123 - LARGE DELETIONS IN HUMAN PMS2 GENE AND USE THEREOF | 2 |
Thomas Francis Landon | US | Evergreen | 2013-10-24 / 20130280030 - BYPASS FOIL | 1 |
Ryan Lloyd Landon | US | Southaven | 2015-08-13 / 20150223900 - PATIENT SPECIFIC IMPLANT TECHNOLOGY | 8 |
Chelsea D. Landon | US | Durham | 2011-11-24 / 20110287110 - COMBINATION CANCER TREATMENT | 1 |
Ryan L. Landon | US | Southhaven | 2012-04-12 / 20120089235 - IMPLANT COMPONENTS AND METHODS | 1 |
Gregory L. Landon | US | Marshfield | 2014-04-03 / 20140090348 - WINDROW MERGER | 2 |
Frank Landon | US | Santa Ana | 2012-03-08 / 20120055777 - Distillation Urine Recycling Systems and Methods | 1 |
Ryan Lloyd Landon | US | Southaven | 2015-08-13 / 20150223900 - PATIENT SPECIFIC IMPLANT TECHNOLOGY | 8 |
James Thomas Landon | US | Oro Valley | 2016-02-18 / 20160047657 - AUTONOMOUS RANGE-ONLY TERRAIN AIDED NAVIGATION | 1 |
Preston B. Landon | US | Rancho Palos Verdes | 2014-03-20 / 20140080198 - MOLECULAR ZIPPER TWEEZERS AND SPRING DEVICES | 1 |
Edward Landon | US | Slinger | 2016-02-25 / 20160052125 - TOOL BIT CASE WITH MODULAR COMPONENTS | 1 |
David Landon | US | Costa Mesa | 2015-08-27 / 20150238315 - PROSTHESIS, DELIVERY DEVICE AND METHODS OF USE | 1 |
Nicola Landoni | IT | Magnago | 2013-07-11 / 20130178644 - PROCESS FOR THE SYNTHESIS OF TAPENTADOL AND INTERMEDIATES THEREOF | 1 |
Alberto Landoni | IT | Rho | 2014-10-02 / 20140291203 - CATALYTIC SYSTEM AND PROCESS FOR THE TOTAL HYDROCONVERSION OF HEAVY OILS | 1 |
Alberto Landoni | IT | Fagnano | 2011-01-06 / 20110000413 - MACHINE AND METHOD FOR SEWING, EMBROIDERING, QUILTING AND/OR THE LIKE | 1 |
Alberto Landoni | IT | Fagnano Olona (va) | 2010-08-05 / 20100192822 - MACHINE AND METHOD FOR SEWING, EMBROIDERING, QUILTING AND/OR THE LIKE EMPLOYING CURVED SEWING NEEDLES WITH CORRESPONDING MOVEMENT OF NEEDLE BARS | 3 |
Giannino Landoni | IT | Fagnano Olona (va) | 2008-10-09 / 20080245283 - Automatic Multi-Function Multi-Needle Sewing Machine, and Relative Sewing Method | 1 |
Giovanni Guglielmo Landoni | IT | Milano | 2012-10-25 / 20120266885 - NON-INVASIVE VENTILATION MASK AND USE THEREOF | 1 |
Cristian Landoni | IT | Novara | 2014-06-12 / 20140161699 - Method and System for Anhydrous Ammonia Recovery | 2 |
Alberto Landoni | IT | Fagnano Olona | 2015-07-02 / 20150184320 - MACHINE AND METHOD FOR SEWING, EMBROIDERING, QUILTING AND/OR THE LIKE | 2 |
Giovanni Guglielmo Landoni | IT | Milano (mi) | 2013-11-07 / 20130295100 - MARKERS FOR ACUTE KIDNEY INJURY AND USES THEREOF | 1 |
Cristian Landoni | IT | Novara No | 2008-10-16 / 20080255497 - Getter Device for Active Systems for the Transdermal Release of Drugs | 1 |
Ernesto Landonio | IT | Rescaldina | 2008-12-11 / 20080306296 - Process for the resolution of racemic verapamil | 1 |
Ernesto Landonio | IT | Parma | 2011-12-15 / 20110305645 - CRYSTAL FORM OF GLYCOPYRRONIUM CHLORIDE | 1 |
William W. Landon, Jr. | US | Lebanon | 2009-03-12 / 20090066172 - MAGNETIC MOTOR | 1 |
Lucie Landouar-Arsivaud | FR | Montils | 2009-11-05 / 20090276917 - Gene Promotors Which Can be Used in Plants | 1 |
Aymen Landoulsi | DE | Reutlingen Bayern | 2013-10-17 / 20130271185 - ELECTRONIC DEVICE AND METHOD FOR LOW LEAKAGE SWITCHING | 1 |
Aymen Landoulsi | DE | Freising | 2010-08-12 / 20100201433 - Low Leakage Sampling Switch | 1 |
Kate Landow | US | Denver | 2015-09-24 / 20150271572 - APPARATUS, SYSTEMS AND METHODS FOR ACCESSING AND SYNCHRONIZING PRESENTATION OF MEDIA CONTENT AND SUPPLEMENTAL MEDIA RICH CONTENT | 6 |
William A. Landow | US | Denver | 2015-02-12 / 20150041343 - Presentation Package for Mixed Beverage Components | 1 |
Herbert Trask Landow | US | Binghamton | 2012-02-23 / 20120043431 - Railway switch apparatus using dual comb structures | 1 |
Brian Landow | US | Hauppage | 2009-05-14 / 20090123212 - Dual Dispenser Pen | 1 |
Kate Megan Carney Landow | US | Denver | 2015-07-16 / 20150201252 - LOCALLY STORED ADVERTISEMENTS | 7 |
Tabitha Landow | US | Bloomfield | 2014-04-17 / 20140102458 - TUBE SECUREMENT DEVICE | 1 |
Steven S. Landowski | US | Kenosha | 2010-05-06 / 20100107826 - MOLDING PROCESS AND PRODUCTS FORMED THEREBY | 2 |
Ralph Landowski | DE | Frittlingen | 2011-05-05 / 20110102534 - PRINT HEAD FOR A THERMAL PRINTER, METHOD FOR PRODUCTION THEREOF, AND THERMAL PRINTER INCORPORATING SAME | 1 |
Steven S. Landowski | US | Random Lake | 2014-06-19 / 20140165799 - Molding Process And Products Formed Thereby | 3 |
Roger Landowski | US | Erie | 2013-12-05 / 20130321722 - Method, apparatus and system on a chip for controlling a stereoscopic display device | 5 |
Christopher Landowski | FI | Helsinki | 2015-06-25 / 20150176044 - Production of Fucosylated Glycoproteins | 2 |
Steve Landowski | US | Paddock Lake | 2012-03-08 / 20120055296 - TORQUE LIMITING MECHANISM WITH LOCK BUSHING | 1 |
Donald Keith Landphair | US | Bettendorf | 2011-02-10 / 20110035163 - PARTICULATE FLOW SENSING FOR AN AGRICULTURAL IMPLEMENT | 4 |
Donald K. Landphair | US | Bettendorf | 2014-06-19 / 20140165891 - SEEDING MACHINE FOR PLANTING MULTIPLE SEED VARIETIES | 8 |
Donald K. Landphair | US | Bettendorf | 2014-06-19 / 20140165891 - SEEDING MACHINE FOR PLANTING MULTIPLE SEED VARIETIES | 8 |
Per Magnus Landqvist | SE | Lund | 2014-01-09 / 20140010391 - AMPLIFYING AUDIO-VISIUAL DATA BASED ON USER'S HEAD ORIENTATION | 1 |
Magnus Landqvist | SE | Lund | 2016-03-24 / 20160085298 - ULTRASOUND-BASED FACIAL AND MODAL TOUCH SENSING WITH HEAD WORN DEVICE | 9 |
Corinne Landra | FR | Le Rouret | 2013-01-17 / 20130018820 - Fare Invalidation Auditing SystemAANM LANDRA; CorinneAACI Le RouretAACO FRAAGP LANDRA; Corinne Le Rouret FRAANM DOURTHE; CedricAACI NiceAACO FRAAGP DOURTHE; Cedric Nice FRAANM CAMBERBEC; AurelieAACI GrasseAACO FRAAGP CAMBERBEC; Aurelie Grasse FR | 1 |
Arnaud Landragin | FR | Paris | 2014-07-10 / 20140190254 - COLD ATOM GRAVITY GRADIOMETER | 1 |
Thomas Landragin | FR | Valence | 2011-10-20 / 20110254707 - DEVICE FOR AIDING FLIGHT FOR AN AIRCRAFT | 1 |
Arnaud Landragin | FR | Orsay | 2011-03-31 / 20110073753 - COLD ATOM INTERFEROMETRY SENSOR | 2 |
Felix A. Landrau | US | Punta Gorda | 2013-02-07 / 20130035669 - SUSTAINED DELIVERY OF AN ACTIVE AGENT USING AN IMPLANTABLE SYSTEM | 3 |
Nelson Landrau | US | Marlborough | 2015-04-16 / 20150104861 - SYSTEM AND METHOD FOR DETECTION OF A CONTAMINATED BEVERAGE | 2 |
Jean-Francois Landre | FR | Riedisheim | 2012-11-29 / 20120302486 - Mixtures of Fibre-Reactive Dyes and Their Use in a Method for Di- or Trichromatic Dyeing or Printing | 1 |
Yannick Landre | FR | Domagne | 2016-01-07 / 20160007113 - HEADPHONE OR HEADSET AND AUDIO PRODUCING DEVICE TO WHICH THE HEADPHONE OR HEADSET IS INTENDED TO BE CONNECTED | 1 |
Jean-Francois Landre | FR | Mulhouse | 2013-10-31 / 20130283546 - Mixtures of Fibre-Reactive Dyes and Their Use in a Method for Trichromatic Dyeing or Printing | 1 |
Alexandre Landre | FR | Fontaines Sur Saone | 2013-12-12 / 20130330210 - COMPRESSION DEVICE, AND THERMODYNAMIC SYSTEM COMPRISING SUCH A COMPRESSION DEVICE | 1 |
Julien Landre | DE | Munchen | 2010-10-07 / 20100255474 - Method for Detecting Bacteria and Fungi | 1 |
Jacques Landre | FR | Mezieres Lez Clery | 2008-11-06 / 20080272539 - Intermediate Adjusting Module For A Scaling Machine | 1 |
Eric Landre | US | Bellevue | 2014-03-27 / 20140083106 - HEAT EXCHANGER SYSTEMS AND METHODS FOR CONTROLLING AIRFLOW COOLING | 1 |
Eric G. Landre | US | Bellevue | 2015-08-13 / 20150225090 - METHODS AND APPARATUS TO INCREASE A PAYLOAD CAPACITY OF AN AIRCRAFT | 3 |
Damien Landreau | FR | Geste | 2009-06-04 / 20090140069 - SECURED WATER AND/OR AIR DISPENSER FOR SNOWMAKING SYSTEM, EQUIPPED WITH AN ELECTRIC-ACTUATOR-DRIVEN VALVE | 1 |
Leslie E. Landree | US | Baltimore | 2009-05-14 / 20090124684 - Stimulation Of CPT-1 As A Means To Reduce Weight | 1 |
Fraser Landreneau | US | Baton Rouge | 2010-12-09 / 20100312251 - Pedicle Driver | 2 |
Newton Landreneau | US | Moss Bluff | 2015-04-30 / 20150120066 - SYSTEM AND METHOD FOR PREVENTING TOTAL PLANT SHUTDOWN DUE TO LOW TEMPERATURE EXCURSION | 1 |
Ronald R. Landreth | US | Fort Collins | 2016-05-05 / 20160121264 - Injection of Sorbents in Ductwork Feeding Wet Scrubbers for Mercury Emission Control | 1 |
Daniel Alexander Landreth | US | Johns Creek | 2014-01-30 / 20140033259 - SATELLITE SIGNAL DISTRIBUTION | 2 |
Paul C. Landreth | US | Scottsboro | 2009-12-03 / 20090295052 - Device For Displacing Jaws Of A Clamp | 1 |
Ronnie Landreth | US | Grand Prairie | 2015-10-29 / 20150306927 - Scooter and Cart Connection Device | 1 |
Daniel Landreth | US | Johns Creek | 2012-11-22 / 20120291901 - FLUID VALVE FITTING | 1 |
Gary E. Landreth | US | Shaker Heights | 2014-08-21 / 20140235676 - RXR AGONIST COMPOUNDS AND METHODS | 3 |
Keith Landreth | US | Raleigh | 2011-09-08 / 20110214484 - System and Method for Conditioning and Detection of Susceptibility to Moisture Damage in Asphalt Mixes | 2 |
Ronald R. Landreth | US | Baton Rouge | 2013-09-19 / 20130239806 - Reduction of Particulates in Gas Streams | 2 |
Mark A. Landreth | US | San Ramon | 2013-04-04 / 20130086439 - Systems and Methods for Parameter Selection Using Reliability Information | 2 |
Ronald Ray Landreth | US | Mantua | 2011-08-18 / 20110197791 - COMPOSITIONS AND METHODS TO SEQUESTER FLUE GAS MERCURY IN CONCRETE | 1 |
Rodney V. Landreth | US | Helotes | 2010-09-09 / 20100225529 - UNSWITCHED, ULTRA LOW POWER, LONG RANGE RADAR SYSTEM | 1 |
Steve K. Landreville | US | San Francisco | 2008-09-11 / 20080221562 - TISSUE TREATMENT SYSTEM AND METHOD FOR TISSUE PERFUSION USING FEEDBACK CONTROL | 1 |
Steven K. Landreville | US | Mountain View | 2010-10-28 / 20100274241 - SURFACE ELECTRODE MULTIPLE MODE OPERATION | 2 |
Steve Landreville | US | Mountain View | 2011-06-23 / 20110152996 - APPARATUS AND METHODS FOR DELIVERY OF MULTIPLE DISTRIBUTED STENTS | 1 |
Jean-Luc Landreville | CA | Granby | 2008-10-30 / 20080264606 - PISTON RESET APPARATUS FOR A MULTICHIP MODULE AND METHOD FOR RESETTING PISTONS IN THE SAME | 1 |
Jean-Luc Landreville | CA | Grandby | 2011-02-24 / 20110042784 - Mechanical Barrier Element for Improved Thermal Reliability of Electronic Components | 1 |
Jean-Luc Landreville | CA | Canton De Granby | 2009-12-03 / 20090294090 - MOLD SHAVE APPARATUS AND INJECTION MOLDED SOLDERING PROCESS | 1 |
Laure Landric-Burtin | FR | Paris | 2016-03-24 / 20160083454 - CONTINUOUS MULTISTEP PROCESS FOR PURIFYING ANTIBODIES | 2 |
Laure Landric-Burtin | FR | Athis Mons | 2010-12-09 / 20100311112 - METHOD FOR AMIDATING POLYPEPTIDES WITH BASIC AMINO ACID C-TERMINALS BY MEANS OF SPECIFIC ENDOPROTEASES | 1 |
Daniel Joseph Landrie | US | Green Bay | 2013-10-17 / 20130269191 - SYSTEM AND METHOD FOR HAIR CLIPPING AND REMOVAL | 2 |
Lauren C. Landrigan | US | Havre De Grace | 2013-11-28 / 20130318427 - SYSTEM AND METHOD FOR VIEWING AND MARKING MAPS | 1 |
Matthew D. Landrigan | US | Fort Wayne | 2015-12-24 / 20150366911 - METHODS FOR REJUVENATING RED BLOOD CELLS | 11 |
Matthew D. Landrigan | US | Fort Wayne | 2015-12-24 / 20150366911 - METHODS FOR REJUVENATING RED BLOOD CELLS | 11 |
Lauren C. Landrigan | US | Tinton Falls | 2011-04-28 / 20110096091 - SYSTEM AND METHOD FOR VIEWING AND MARKING MAPS | 1 |
Lawrence Landrith | US | Troy | 2009-03-19 / 20090072557 - Vehicle bumper system energy absorber | 1 |
Susan Marie Landrith | US | Boca Raton | 2015-01-08 / 20150007528 - Strap system for annimals to enable positioning of pouch holding cooling or warming medium in a targeted area of the chest | 2 |
James Ray Landrith, Ii | US | Humble | 2014-12-25 / 20140374118 - BLOWOUT PREVENTER ACTIVATOR AND METHOD OF USING SAME | 3 |
Peter Landrock | GB | Cambridge, Cambridgeshire | 2016-05-12 / 20160134424 - SYSTEM AND METHOD FOR ENCRYPTION | 1 |
Clinton Landrock | CA | North Vancouver | 2014-04-03 / 20140093688 - METHOD FOR FABRICATION OF NANO-STRUCTURES | 1 |
Clinton K. Landrock | CA | North Vancouver | 2016-04-28 / 20160116649 - NANOSTRUCTURE ARRAY DIFFRACTIVE OPTICS FOR MOTION AND ANIMATION DISPLAY | 9 |
Peter Landrock | GB | Combridge | 2008-11-20 / 20080285746 - Computationally Asymmetric Cryptographic Systems; | 1 |
Clinton K. Landrock | CA | North Vancouver | 2016-04-28 / 20160116649 - NANOSTRUCTURE ARRAY DIFFRACTIVE OPTICS FOR MOTION AND ANIMATION DISPLAY | 9 |
Peter Landrock | GB | Cambridgeshire | 2012-12-06 / 20120311321 - DATA CERTIFICATION METHOD AND SYSTEM | 1 |
Peter Landrock | GB | Cambridge | 2016-04-28 / 20160117673 - SYSTEM AND METHOD FOR SECURED TRANSACTIONS USING MOBILE DEVICES | 9 |
Andreas Landrock | DE | Dresden | 2010-12-09 / 20100308217 - Method and system for internal chemical ionization with water in ion mass spectrometry | 1 |
Peter Landrock | GB | Cambridge | 2016-04-28 / 20160117673 - SYSTEM AND METHOD FOR SECURED TRANSACTIONS USING MOBILE DEVICES | 9 |
Mads Landrok | US | San Jose | 2016-04-28 / 20160117673 - SYSTEM AND METHOD FOR SECURED TRANSACTIONS USING MOBILE DEVICES | 9 |
Mads Landrok | US | San Jose | 2016-04-28 / 20160117673 - SYSTEM AND METHOD FOR SECURED TRANSACTIONS USING MOBILE DEVICES | 9 |
Didier Landru | FR | Champ Pres Froges | 2016-02-25 / 20160056052 - METHOD FOR DISSOLVING A SILICON DIOXIDE LAYER | 25 |
Trampus Lee Landrum | US | Hickory | 2015-12-03 / 20150346450 - PIVOTABLY ATTACHABLE FIBER OPTIC HOUSING, MODULAR HOUSING SYSTEM AND METHOD | 3 |
Marc Rhone Landrum | US | Centerville | 2009-05-28 / 20090138391 - System and Method for Enhanced Transaction Security | 2 |
David L. Landrum | US | Odessa | 2015-10-15 / 20150292302 - SYSTEM, METHOD AND APPARATUS FOR PARAFFIN REMOVAL | 1 |
Brett A. Landrum | US | Shoreview | 2015-08-27 / 20150238094 - SEPARABLE MONITORING DEVICE AND METHOD | 4 |
Alfred Landrum | US | San Francisco | 2014-10-23 / 20140317081 - SYSTEM AND METHOD FOR SESSION DATA MANAGEMENT | 10 |
Michael T. Landrum | US | Rockford | 2016-04-07 / 20160099625 - Electric Motor With Radially Mounted Magnets | 12 |
John Thomas Landrum | US | Miami | 2009-01-01 / 20090002629 - RETINAL CAMERA FILTER FOR MACULAR PIGMENT MEASUREMENTS | 1 |
Gary S. Landrum | US | Houston | 2012-03-15 / 20120061536 - Mounting Assembly For Rack Equipment | 2 |
Charles Ray Landrum | US | Dunwoody | 2010-08-12 / 20100200371 - CONVEYOR WITH SELECTIVELY ACTUATED LUGS AND RELATED METHODS | 1 |
Thomas Landrum | US | Fayetteville | 2013-10-03 / 20130257315 - Light Switch and Control Device Having a Touch Screen Interface | 1 |
Alfred Landrum | US | Chicago | 2014-05-22 / 20140143306 - Address Manipulation to Provide for the Use of Network Tools Even When Transaction Acceleration is in Use Over a Network | 1 |
Gregory Lee Landrum | US | Mabank | 2014-06-12 / 20140157784 - SPLIT FUEL CONTROL MODULE | 3 |
Paul C. Landrum | US | San Diego | 2016-05-19 / 20160139031 - Testing a Peel Force of an Adhesive Medium | 5 |
Brett Landrum | US | Shoreview | 2013-10-17 / 20130274584 - HEURISTIC MANAGEMENT OF PHYSIOLOGICAL DATA | 1 |
Michael T. Landrum | US | Rockford | 2016-04-07 / 20160099625 - Electric Motor With Radially Mounted Magnets | 12 |
Adam J. Landrum | US | Greenville` | 2010-06-17 / 20100148928 - PAYMENT SKIN WITH CONTACTLESS CHIP | 1 |
John F. Landrum | US | New Orleans | 2015-07-02 / 20150183584 - CONVEYOR BELT FOR PRODUCT STABILIZATION | 3 |
Brownell Talbert Landrum | US | Atlanta | 2008-09-25 / 20080230990 - Non-competitive, multiplayer game and associated methods | 1 |
Alfred Landrum | US | San Francisco | 2014-10-23 / 20140317081 - SYSTEM AND METHOD FOR SESSION DATA MANAGEMENT | 10 |
Michael Landrum | US | Rockford | 2014-12-25 / 20140373928 - Pressure Balanced Hydraulic Device And Method | 1 |
Rebecca Joy Landrum | US | Antioch | 2015-02-12 / 20150045288 - COMPOSITION | 1 |
Evan C. Landrum | US | Charlotte | 2014-10-09 / 20140301834 - TURBINE CYLINDER CAVITY HEATED RECIRCULATION SYSTEM | 4 |
Scott C. Landrum | US | Southlake | 2016-02-25 / 20160053740 - Wind Turbine Blade Railroad Transportation System and Method | 3 |
Josh Landrum | US | Fort Collins | 2012-10-04 / 20120247192 - Apparatus for Determining Deformation Response | 2 |
Keith Landrum | US | Ovett | 2011-11-17 / 20110278336 - PIVOTING HITCH-MOUNTED CARGO CARRIER | 1 |
Gary S. Landrum | US | Montgomery | 2008-08-28 / 20080208531 - MODULAR NETWORKED SENSOR ASSEMBLY | 1 |
Michael Landry | US | Austin | 2016-03-03 / 20160058570 - EXPANDABLE INTERBODY FUSION DEVICE | 8 |
Gregg W. Landry | US | Gloucester | 2016-01-28 / 20160023357 - REMOTE CONTROL SCHEDULER AND METHOD FOR AUTONOMOUS ROBOTIC DEVICE | 10 |
David Matthew Landry | US | Austin | 2011-12-01 / 20110293123 - Data Storage System, Hearing Aid, and Method of Selectively Applying Sound Filters | 8 |
John M. Landry | US | Jupiter | 2008-12-04 / 20080301088 - SYSTEM AND METHOD FOR APPLICATION OF LAWS | 1 |
Gregg W. Landry | US | Gloucester | 2016-01-28 / 20160023357 - REMOTE CONTROL SCHEDULER AND METHOD FOR AUTONOMOUS ROBOTIC DEVICE | 10 |
Christopher C. Landry | US | Burlington | 2009-09-03 / 20090220791 - Mesoporous Inorganic Oxide Spheres and Method of Making Same | 1 |
Michael Landry | US | Austin | 2016-03-03 / 20160058570 - EXPANDABLE INTERBODY FUSION DEVICE | 8 |
Nelson Landry | CA | St-Augustin-De-Desmaures | 2010-12-02 / 20100305416 - NON-INVASIVE PRESSURED PROBING DEVICE | 1 |
Frederic Landry | CA | Montreal | 2009-01-01 / 20090000771 - Micro-tube/multi-port counter flow radiator design for electronic cooling applications | 1 |
Charles F. Landry | US | Fitchburg | 2016-02-25 / 20160051703 - METHODS AND COMPOSITIONS FOR IMPROVING SLEEP AND MEMORY | 2 |
Karin Landry | US | Cambridge | 2014-05-22 / 20140142991 - PROGRAM FOR ALTERNATIVE FUNDING OF EMPLOYEE AND RETIREE BENEFITS | 8 |
Marc Landry | US | Golden | 2012-12-13 / 20120315405 - HOT WIRE CHEMICAL VAPOR DEPOSTION (HWCVD) WITH CARBIDE FILAMENTS | 1 |
Blake Jude Landry | US | Champaign | 2013-01-17 / 20130016355 - Systems and methods for measuring particle concentrationAANM Landry; Blake JudeAACI ChampaignAAST ILAACO USAAGP Landry; Blake Jude Champaign IL USAANM Palmer, III; James EmoryAACI LafayetteAAST LAAACO USAAGP Palmer, III; James Emory Lafayette LA US | 2 |
Karine Landry | FR | Grenoble | 2014-01-16 / 20140015023 - SUBSTRATE HAVING A CHARGED ZONE IN AN INSULATING BURIED LAYER | 3 |
Nathalie Landry | CA | Quebec | 2015-08-06 / 20150216961 - ROTAVIRUS-LIKE PARTICLE PRODUCTION IN PLANTS | 2 |
David Christopher Landry | CA | North York | 2010-08-19 / 20100208587 - METHOD AND APPARATUS FOR DISTRIBUTING CREDITS TO MULTIPLE SHAPERS TO ENABLE SHAPING TRAFFIC TARGETS IN PACKET COMMUNICATION NETWORKS | 1 |
Robin J. Landry | US | Raleigh | 2009-12-03 / 20090299858 - SYSTEMS AND METHODS FOR ENCOURAGING CONTENT DISTRIBUTION WITHOUT RESTRICTIONS | 1 |
David Landry | CA | Saint-Chrysostome | 2015-10-01 / 20150272765 - HIGH TORQUE ACTIVE MECHANISM FOR ORTHOTIC AND/OR PROSTHETIC DEVICES | 4 |
Douglas Landry | CA | London | 2010-06-03 / 20100132276 - Flexible cover for boards of a deck structure | 1 |
Véronic Landry | CA | Quebec | 2013-03-14 / 20130061774 - COATINGS CONTAINING NANOCRYSTALLINE CELLULOSE, PROCESSES FOR PREPARATION AND USE THEREOF | 1 |
Joseph C. Landry | US | Dallas | 2014-01-09 / 20140012541 - REMOTE IDENTIFICATION OF NON-LAMBERTIAN MATERIALS | 5 |
Carmon Landry | CA | Iie Perrot | 2009-09-17 / 20090230652 - Seat Supporting Assembly and Wheelchair Including Same | 1 |
Serge R. Landry | CA | Saint-Jerome | 2014-04-03 / 20140094609 - PROCESS FOR THE PREPARATION OF AN HIV INTEGRASE INHIBITOR | 1 |
Serge R. Landry | CA | Laval | 2014-10-02 / 20140296228 - INHIBITORS OF HUMAN IMMUNODEFICIENCY VIRUS REPLICATION | 3 |
Serge Landry | CA | Laval | 2013-06-13 / 20130150350 - Derivatives of 1-Phenyl-1,5-Dihydro-Benzo[B] [1,4]Diazepine-2,4-Dione as Inhibitors of HIV Replication | 1 |
Eddy J. Landry | US | Sealy | 2014-03-06 / 20140060813 - EXPANDABLE FRACTURE PLUG SEAT APPARATUS | 1 |
David Mathew Landry | US | Austin | 2011-09-08 / 20110216928 - MEDIA PLAYER AND ADAPTER FOR PROVIDING AUDIO DATA TO A HEARING AID | 2 |
Jean-Bernard Landry | CA | Montreal | 2012-11-08 / 20120279417 - RAMP FOR EVACUATION DEVICE | 1 |
Carmon Landry | CA | Vaudreuil-Dorion | 2010-12-02 / 20100301576 - WHEELCHAIR BASE | 1 |
Kyle L. Landry | US | Winter Park | 2010-12-23 / 20100319350 - Flashback Resistant Fuel Injection System | 3 |
Michel Landry | CA | Richmond | 2015-07-09 / 20150190291 - Wheelchair Grip Ring and Method for Making Same | 2 |
Karin Landry | US | Cambridge | 2014-05-22 / 20140142991 - PROGRAM FOR ALTERNATIVE FUNDING OF EMPLOYEE AND RETIREE BENEFITS | 8 |
Edward T. Landry | US | Plymouth | 2014-05-08 / 20140126870 - FIBER DISTRIBUTION HUB WITH OUTSIDE ACCESSIBLE GROUNDING TERMINALS | 3 |
Marc S. Landry | US | Vernon | 2013-09-26 / 20130248740 - CINCH VALVE WITH ELASTIC ELEMENTS | 5 |
Lawrence Brian Landry | US | Mountain View | 2015-12-10 / 20150358689 - SYSTEMS AND METHODS FOR PREFETCHING ONLINE CONTENT ITEMS FOR LOW LATENCY DISPLAY TO A USER | 1 |
David Landry | CA | St-Jean-Chrysostome | 2016-02-25 / 20160051381 - DORSI-PLANTAR PROSTHETIC ANKLE MODULE | 3 |
D. Matthew Landry | US | Austin | 2011-10-20 / 20110254619 - Powered Device Including a Multi-Use Detection Resistor | 10 |
Jonathan Landry | CA | Montreal | 2015-10-22 / 20150300586 - ELECTRONIC LUMINARY DEVICE WITH SIMULATED FLAME | 1 |
James T. Landry | CA | Quebec City | 2011-02-17 / 20110038021 - STIFFNESS COMPENSATION IN OPTO-MECHANICAL MECHANISMS | 1 |
Walter F. Landry | US | Morris | 2010-01-07 / 20100003386 - SYSTEM, METHOD AND COMPOSITIONS FOR DISPENSING A LIQUID BEVERAGE CONCENTRATE | 1 |
John Stephen Landry | CA | Fredericton | 2013-10-31 / 20130289448 - LIMB STRENGTH MEASUREMENT DEVICE | 1 |
Rachel R. Landry | US | Erath | 2011-01-20 / 20110011017 - FOLDING STORM SHUTTERS | 2 |
Jerry Ross Landry | US | Youngsville | 2011-03-03 / 20110053458 - Method and Apparatus for Through-Cut Verification | 1 |
Shane J. Landry | US | Woodinville | 2016-03-17 / 20160078868 - SUGGESTING INTENT FRAME(S) FOR USER REQUEST(S) | 2 |
Samuel J. Landry | US | New Orleans | 2015-02-05 / 20150037371 - COMPOSITIONS, METHODS, AND KITS FOR ENHANCING THE IMMUNOGENICITY OF PATHOGENIC ANTIGENS | 2 |
Ali Landry | US | Los Angeles | 2015-10-08 / 20150287092 - SOCIAL NETWORKING CONSUMER PRODUCT ORGANIZATION AND PRESENTATION APPLICATION | 1 |
Brian M. Landry | US | Oakland | 2013-02-14 / 20130041837 - Online Data And In-Store Data Analytical System | 1 |
Jo-Ann Landry | US | Clemmons | 2009-05-07 / 20090113630 - CRIB SAFETY SHEET/BLANKET | 1 |
Jean-Marc Landry | CA | Richmond | 2015-07-09 / 20150190291 - Wheelchair Grip Ring and Method for Making Same | 2 |
Robin J. Landry | US | Apex | 2009-05-21 / 20090132917 - METHODS AND SYSTEMS FOR GENERATING A VISUAL USER INTERFACE | 1 |
Stephanie Landry | US | Albuquerque | 2011-04-28 / 20110094009 - Application of Bolo Ties to Personal and Decorative Articles | 1 |
Clark Landry | US | Santa Monica | 2012-02-16 / 20120041822 - METHODS AND SYSTEMS FOR PROCESSING METADATA | 1 |
Daniel Landry | US | Troy | 2010-06-10 / 20100144231 - METHOD OF MATCHING COLOR IN LIGHTING APPLICATIONS | 2 |
David Landry | CA | Saint-Jean-Chrysostome | 2013-10-10 / 20130268093 - ACTUATED LEG PROSTHESES FOR AMPUTEES | 1 |
David Landry | US | Essex | 2012-02-16 / 20120040333 - Methods to Distinguish Different Disaccharide Products after Digestion with Heparinases | 1 |
Michel Landry | CA | Stoke | 2010-12-23 / 20100320037 - Wheelchair safety device | 1 |
Roland F. Landry | US | Lewiston | 2016-03-03 / 20160058105 - PROTECTIVE GARMENT HAVING A THERMALLY REFLECTIVE LAYER | 2 |
Greg Landry | US | Merrimack | 2013-03-28 / 20130080109 - ACCURACY IN A CAPACITIVE SENSE ARRAY | 3 |
Lawrence B. Landry | US | Victor | 2013-08-15 / 20130208109 - WIRELESS SECURITY CAMERA SYSTEM | 12 |
Michael Christopher Joseph Landry | CA | Kitchener | 2016-03-03 / 20160065524 - Systems and Methods for Temporarily Postponing Messages | 1 |
Chase Michael Landry | US | Houma | 2011-09-08 / 20110217152 - Rotatable Bucket for Attachment to Three Point Hitch of Tractor | 1 |
Harold Wayne Landry | US | Lafayette | 2015-11-05 / 20150315869 - WELLHEAD SAFETY VALVE ASSEMBLY | 1 |
Aaron Landry | US | Alpharetta | 2016-03-03 / 20160063425 - APPARATUS FOR PREDICTING FUTURE VENDOR PERFORMANCE | 1 |
Daniel R. Landry | US | Brookfield | 2014-09-18 / 20140271141 - PUMP SEALING SYSTEM WITH THROTTLE BUSHING | 1 |
Daniel Landry | CA | Caraquet | 2015-06-04 / 20150154325 - VESSEL STABILITY SIMULATOR | 1 |
Felix Landry | CA | Pontiac | 2012-07-12 / 20120177028 - RADIUS GATEWAY ON POLICY CHARGING AND RULES FUNCTION (PCRF) FOR WIRELINE/WIRELESS CONVERGED SOLUTION | 1 |
Brian Landry | US | Phoenix | 2016-03-24 / 20160084032 - Well Casing Heads, Systems, and Methods for Removing Fluid from Earth about an Underground Platform | 1 |
Shane Jeremy Landry | US | Woodinville | 2016-03-17 / 20160077793 - GESTURE SHORTCUTS FOR INVOCATION OF VOICE INPUT | 1 |
Joseph W. Landry | US | North Reading | 2016-05-05 / 20160123630 - MULTI-DEWAR COOLING SYSTEM | 1 |
Jerome Landry | US | Atlanta | 2015-08-20 / 20150231410 - METHODS, SYSTEMS AND COMPUTER READABLE STORAGE MEDIA FOR DETERMINING OPTIMAL RESPIRATORY PHASE FOR TREATMENT | 1 |
Gregory Landry | US | Portland | 2016-05-05 / 20160120446 - HAND FUNCTION DIAGNOSTIC AND THERAPEUTIC SYSTEM | 1 |
Forrest Landry | US | Rancho Santa Fe | 2010-12-23 / 20100322599 - AROMATIC VAPORIZER | 2 |
Kevin Landry | US | Irvine | 2014-03-27 / 20140089775 - Synchronizing Book Annotations With Social Networks | 1 |
Thomas Barry Landry | US | Boulder | 2013-11-14 / 20130304080 - Cesarean Delivery Incision Template | 1 |
Christian Landry | US | Sugar Land | 2008-08-21 / 20080199370 - Efficient infrared-based reaction vessel | 1 |
Stan Landry | US | Euless | 2008-11-20 / 20080282640 - CABLE ANCHOR | 1 |
Christian Jason Landry | US | Sugar Land | 2008-12-11 / 20080306376 - MARKERS FOR INTERVENTIONAL DEVICES IN MAGNETIC RESONANT IMAGE (MRI) SYSTEMS | 1 |
Mark Landry | US | Austin | 2010-02-11 / 20100032956 - SYSTEM AND METHOD FOR HARNESSING WIND POWER AT VARIABLE ALTITUDES | 2 |
Gary Landry | US | Allen | 2014-07-17 / 20140198817 - Lasers With InGaAsP Quantum Wells And GaAsP Barrier Layers | 6 |
David Charles Landry | US | Madisonville | 2009-01-15 / 20090013697 - Simultaneous Underground Cavern Development and Fluid Storage | 1 |
Jed Landry | US | Breaux Bridge | 2015-02-19 / 20150047837 - Multi-Zone Single Trip Well Completion System | 2 |
Stanley A. Landry | US | Euless | 2016-02-11 / 20160040449 - BARRIER CABLE ANCHOR RAIL | 5 |
Dana Landry | US | Boulder | 2010-12-23 / 20100324555 - Thermal Barrier for Suction Coagulator | 1 |
Charles Landry | US | Fitchburg | 2012-04-19 / 20120093865 - COMPOSITIONS COMPRISING REDUCED GENOME BACTERIA FOR USE IN TREATMENT OF SEPSIS | 2 |
Paul Edward Landry | CA | Milton | 2015-07-16 / 20150199195 - METHOD, SYSTEM AND APPARATUS FOR INSTALLING SOFTWARE ON A MOBILE ELECTRONIC DEVICE VIA A PROXY SERVER | 4 |
Eric Landry | CA | Montreal | 2015-04-30 / 20150115053 - Eyelet for Steam Humidification System | 2 |
Paul Edward Landry | CA | Waterloo | 2012-12-27 / 20120330891 - METHOD AND APPARATUS FOR MANAGING SYNCHRONIZATION CONFIGURATION FILES | 3 |
Kenneth Troy Landry | US | Sugar Land | 2012-01-19 / 20120016779 - SYSTEM AND METHOD FOR ASSISTING A CONTRACTOR TO EFFICIENTLY SERVICE AN EQUIPMENT | 1 |
Felix Landry | CA | Gatineau | 2012-09-13 / 20120233335 - AUXILIARY HOST AND SESSIONS | 1 |
Ken Landry | US | Menifee Lakes | 2009-01-15 / 20090017943 - Golf green reader | 1 |
Vincent Denis Landry | CA | Rosemere | 2010-04-15 / 20100089540 - Tissue products containing softness | 1 |
David Matthew Landry | US | Austin | 2011-12-01 / 20110293123 - Data Storage System, Hearing Aid, and Method of Selectively Applying Sound Filters | 8 |
Alain Landry | CA | Beaconsfield | 2013-01-10 / 20130011586 - SYSTEM AND METHOD FOR FABRICATING A COMPOSITE MATERIAL ASSEMBLY | 1 |
Brian K. Landry | US | Phoenix | 2008-12-25 / 20080314640 - Hydrocarbon recovery drill string apparatus, subterranean hydrocarbon recovery drilling methods, and subterranean hydrocarbon recovery methods | 1 |
Nathalie Landry | CA | Saint-Romuald | 2011-08-04 / 20110191915 - INFLUENZA VIRUS IMMUNIZING EPITOPE | 1 |
Kirk Ryan Landry | US | Breaux Bridge | 2009-10-22 / 20090260710 - Automated apparatus and method for tire pressure maintenance | 1 |
Blake J. Landry | US | Champaign | 2013-08-29 / 20130220443 - APPARATUS AND METHOD FOR FLOW CONTROL | 1 |
Brian Michael Landry | US | Atlanta | 2008-12-11 / 20080306925 - METHOD AND APPARATUS FOR AUTOMATIC MULTIMEDIA NARRATIVE ENRICHMENT | 1 |
Stephenie Landry | US | Seattle | 2015-09-10 / 20150254758 - WEIGHT-BASED SHIPPING SYSTEM | 2 |
Nathalie Landry | CA | St-Romuald | 2013-07-18 / 20130183341 - INFLUENZA VIRUS-LIKE PARTICLES (VLPS) COMPRISING HEMAGGLUTININ PRODUCED WITHIN A PLANT | 4 |
Markita P. Landry | US | Cambridge | 2015-02-12 / 20150047074 - NANOBIONIC ENGINEERING OF ORGANELLES AND PHOTOSYNTHETIC ORGANISMS | 1 |
Nathalie Landry | CA | St. Jean-Chrysostome | 2010-09-23 / 20100239610 - INFLUENZA VIRUS-LIKE PARTICLES (VLPS) COMPRISING HEMAGGLUTININ PRODUCED WITHIN A PLANT | 2 |
David Landry | CA | Concord | 2014-07-31 / 20140209132 - UMBRELLA ANCHORING DEVICE | 1 |
Véronic Landry | CA | Quebec | 2013-03-14 / 20130061774 - COATINGS CONTAINING NANOCRYSTALLINE CELLULOSE, PROCESSES FOR PREPARATION AND USE THEREOF | 1 |
Martin Landry | CA | Quebec | 2008-09-18 / 20080225536 - ROTOR BLADE VISUAL LIGHTS | 1 |
Lawrence B. Landry | US | 2013-08-15 / 20130212233 - METHOD FOR CONFIGURING A WIRELESS DEVICE | 1 | |
Brian K. Landry | US | Sheridan | 2013-02-07 / 20130032408 - Hydrocarbon Recovery Drill String Apparatus, Subterranean Hydrocarbon Recovery Drilling Methods, and Subterranean Hydrocarbon Recovery Methods | 3 |
Michael R. Landry | US | Wolcott | 2014-04-03 / 20140093650 - METHOD OF MANUFACTURING A COMPOSITE LIGHT GUIDE | 12 |
Edward F. Landry | US | Waterford | 2012-02-09 / 20120034470 - Low Coefficient of Friction Coating for Metallic Surfaces | 1 |
Chad James Landry | US | Breaux Bridge | 2009-05-14 / 20090123248 - Pickup Truck Window, Bed, and Cab Protector | 1 |
Jason Landry | CA | St. Peters | 2011-09-22 / 20110227352 - Door shipping clip | 1 |
Ronnie Joseph Landry | US | Houma | 2011-09-08 / 20110217152 - Rotatable Bucket for Attachment to Three Point Hitch of Tractor | 1 |
Daniel Landry | US | Clifton Park | 2012-06-28 / 20120161101 - WATER STABLE III-V SEMICONDUCTOR NANOCRYSTAL COMPLEXES AND METHODS OF MAKING SAME | 2 |
Paul Landry | US | Cheraw | 2011-05-26 / 20110120995 - CUP HOLDER TRAY | 1 |
Martin Landry | CA | St. Jerome | 2010-12-09 / 20100308156 - Split Pivot Fitting for Helicopter Landing Gear | 1 |
Michel Landry | CA | Mascouche | 2011-11-10 / 20110271431 - MULTIPLE LENS GEOMETRIES FOR SAFETY GLASSES | 2 |
Lawrence B. Landry | US | Victor | 2013-08-15 / 20130208109 - WIRELESS SECURITY CAMERA SYSTEM | 12 |
Rene James Landry | US | New Iberia | 2008-09-11 / 20080217228 - Oil-water separator | 1 |
Francis Landry | CA | Saint-Hyacinthe | 2009-07-02 / 20090166360 - ASSEMBLY FOR A WASTE DISPOSAL BIN | 1 |
Daniel P. Landry | US | Clifton Park | 2010-11-04 / 20100275807 - PHOTOLUMINESCENT NANOCRYSTAL BASED TAGGANTS | 1 |
Donald W. Landry | US | New York | 2016-05-05 / 20160122362 - INHIBITORS OF PLASMODIUM FALCIPARUM EQUILIBRATIVE NUCLEOSIDE TRANSPORTER TYPE I AS ANTI-PARASITIC COMPOUNDS | 39 |
Daniel Landry | US | Redondo Beach | 2014-11-20 / 20140342254 - Photo-catalytic Systems for Production of Hydrogen | 15 |
Pierre Landry | CA | Saint-Adele | 2015-07-30 / 20150214709 - CABLE RETAINER DEVICE AND METHOD FOR RETAINING CABLE IN AN AIRCRAFT | 2 |
Serge R. Landry | CA | St-Jerome | 2011-02-03 / 20110028464 - INHIBITORS OF HUMAN IMMUNODEFICIENCY VIRUS REPLICATION | 3 |
Jean-Marc Landry | CA | Valcourt | 2010-12-23 / 20100320037 - Wheelchair safety device | 1 |
Gregg W. Landry | US | Glouester | 2009-02-12 / 20090038089 - Debris Sensor for Cleaning Apparatus | 1 |
René Jr. Landry | CA | Montreal | 2011-10-27 / 20110261805 - UNIVERSAL ACQUISITION AND TRACKING APPARATUS FOR GLOBAL NAVIGATION SATELLITE SYSTEM (GNSS) | 1 |
Daniel Landry | CA | St-Hyacinthe | 2015-12-24 / 20150372673 - PASSIVE DRIVE CONTROL CIRCUIT FOR AC CURRENT | 4 |
Donald Landry | US | New York | 2015-04-23 / 20150111908 - METHODS OF DIAGNOSING, PREVENTING, AND TREATING BONE MASS DISEASES | 11 |
Cody Landry | CA | Sackville | 2015-05-07 / 20150126409 - NANOSTRUCTURED MICROBIAL SENSORS | 1 |
Luc Landry | CA | La Pocatière | 2016-03-03 / 20160063846 - HOSPITAL BED WITH PATIENT WEIGHT AND DISPLACEMENT SENSORS | 1 |
Garrett Landry | CA | Dartmouth | 2015-05-07 / 20150125324 - ROTARY COMPRESSOR | 1 |
Edward T. Landry | US | Brooklyn Park | 2011-10-06 / 20110242735 - FIBER DISTRIBUTION HUB WITH OUTSIDE ACCESSIBLE GROUNDING TERMINALS | 1 |
Dany Landry | CA | Quebec | 2015-10-01 / 20150273545 - SALT-IMPACTED SOIL TREATMENT PROCESS AND SYSTEM FOR REMEDIATING A SALT-IMPACTED SOIL | 1 |
Michael R. Landry | US | Wolcott | 2014-04-03 / 20140093650 - METHOD OF MANUFACTURING A COMPOSITE LIGHT GUIDE | 12 |
Sylvain Landry | CA | Lasalle | 2009-04-02 / 20090085313 - Assembly and frame for blading boot | 1 |
Eric S. Landry | US | West Hartford | 2015-11-19 / 20150330718 - INTEGRATED BLOWER DIFFUSER-FIN SINGLE PHASE HEAT EXCHANGER | 2 |
Luc Landry | CA | Longueuil | 2016-03-10 / 20160069255 - COMPOUND CYCLE ENGINE | 3 |
Thomas E. Landry | US | Canton | 2011-10-06 / 20110240828 - ELEVATOR SYSTEM AND INSTALLATION METHOD | 1 |
Phuong Ha-Uyen Landry | CA | Ottawa | 2009-04-02 / 20090089722 - Method and System for Mapping Source Elements to Destination Elements as Interconnect Routing Assignments | 1 |
Gregory Landry | US | Merrimack | 2015-07-09 / 20150193049 - ACCURACY IN A CAPACITIVE SENSE ARRAY | 1 |
John Landry | US | Spring | 2015-05-28 / 20150149827 - IDENTIFYING A CHANGE TO INDICATE A DEGRADATION WITHIN A COMPUTING DEVICE | 3 |
Allen James Landry | US | Napoleonville | 2015-07-09 / 20150191314 - GRANULAR MATERIAL SHOOTER | 1 |
Michael E. Landry | US | Austin | 2016-05-12 / 20160128835 - BALL AND SOCKET ASSEMBLY | 65 |
Michaël Landry | CA | Le Bic | 2012-02-02 / 20120026824 - BLENDING SCALE | 1 |
Daniel Landry | US | Redondo Beach | 2014-11-20 / 20140342254 - Photo-catalytic Systems for Production of Hydrogen | 15 |
Joel Landry | US | Colorado Springs | 2013-10-17 / 20130271180 - FPGA RAM BLOCKS OPTIMIZED FOR USE AS REGISTER FILES | 5 |
Benoit S. Landry | CA | L'Acadie | 2008-09-25 / 20080233574 - Map-Based Genome Mining Method for Identifying Regulatory Loci Controlling the Level of Gene Transcripts and Products | 1 |
James R. Landry | US | Maple Park | 2014-09-18 / 20140270884 - Thermal Transfer and Dye Sublimation Ribbons Utilizing Plasma Treatment to Replace Back Coat | 1 |
Gerald Landry | CA | Ottowa | 2009-06-04 / 20090139254 - Thermodynamic closed loop desiccant rotor system and process | 1 |
Clet Antoine Landry | US | Katy | 2012-06-21 / 20120155222 - Method and apparatus for terminating rope and its application to seismic streamer strength members | 1 |
Chris Landry | US | Palmetto | 2010-01-14 / 20100005769 - LAWN MOWER ATTACHMENT | 1 |
Shane Landry | US | Woodinville | 2015-10-01 / 20150278765 - INFORMATION COLLECTIONS | 3 |
Serge Landry | CA | St. Jerome | 2011-09-22 / 20110230465 - VIRAL POLYMERASE INHIBITORS | 2 |
David Landry | CA | Quebec | 2014-09-04 / 20140249651 - PROSTHETIC KNEE | 3 |
Benoit Landry | CA | Quebec City | 2009-04-30 / 20090113304 - Method and System for Handling Media in an Instant Messaging Environment | 1 |
John A. Landry | US | Spring | 2015-11-05 / 20150317402 - DYNAMICALLY GENERATING AN INFORMATION CODE | 7 |
Michael Ross Landry | CA | Regina | 2012-06-14 / 20120145517 - Conveyor Assembly | 1 |
Daniel Landry | CA | Terrebonne | 2011-07-14 / 20110168488 - Kit and method for emergency descent from a height | 2 |
William G. Landry | US | Canandaigua | 2015-12-31 / 20150375319 - SLIDE ROLLING PROCESS FOR THE GENERATION OF BEVEL GEARS | 2 |
Robin Joel Landry | US | Apex | 2010-04-22 / 20100100772 - SYSTEM AND METHOD FOR VERIFYING COMPATIBILITY OF COMPUTER EQUIPMENT WITH A SOFTWARE PRODUCT | 1 |
Jason Daniel Landry | US | Seattle | 2014-07-10 / 20140195890 - BROWSER INTERFACE FOR ACCESSING SUPPLEMENTAL CONTENT ASSOCIATED WITH CONTENT PAGES | 2 |
Jo-Ann Landry | US | Advance | 2010-09-30 / 20100242173 - CRIB SAFETY SHEET/BLANKET | 1 |
Donald Landry | US | New York | 2015-04-23 / 20150111908 - METHODS OF DIAGNOSING, PREVENTING, AND TREATING BONE MASS DISEASES | 11 |
Paul Edward Landry | CA | Burlington | 2008-10-30 / 20080271136 - METHOD AND SYSTEM FOR CONTROLLING SOFTWARE LOADS ON A THIRD-PARTY MOBILE STATION | 1 |
Christine Joanne Landry-Coltrain | US | Fairport | 2016-04-21 / 20160108526 - FORMING ARTICLES AND DEVICES WITH CARBON-COATED METAL PARTICLES | 15 |
Christine J. Landry-Coltrain | US | Fairport | 2014-03-27 / 20140087139 - METHOD FOR PROVIDING PATTERNS OF FUNCTIONAL MATERIALS | 12 |
Christine J. Landry-Coltrain | US | Fairport | 2014-03-27 / 20140087139 - METHOD FOR PROVIDING PATTERNS OF FUNCTIONAL MATERIALS | 12 |
Darrell W. Landry, Jr. | US | Beaumont | 2013-05-16 / 20130123414 - Polymeric Blends and Methods of Using Same | 1 |
Donald Scott Lands | US | Clayton | 2011-05-05 / 20110101586 - AUTOMOBILE ROTISSERIE | 1 |
Will Lands | US | Albuquerque | 2010-02-04 / 20100029241 - Rf filter/resonator with protruding tabs | 1 |
Michael J. Lands | US | Louisville | 2008-09-04 / 20080215051 - Laparoscopic Bipolar Electrosurgical Instrument | 1 |
Larry Lands | CA | Hampstead | 2010-10-21 / 20100267833 - Compositions and methods for preventing or treating an inflammatory response | 1 |
Paul John Landsberg | US | Durham | 2012-02-02 / 20120030686 - THERMAL LOAD MANAGEMENT IN A PARTITIONED VIRTUAL COMPUTER SYSTEM ENVIRONMENT THROUGH MONITORING OF AMBIENT TEMPERATURES OF ENVIRNOMENT SURROUNDING THE SYSTEMS | 1 |
Inbal Landsberg | IL | Herzlia | 2015-09-24 / 20150269865 - SYSTEMS AND METHODS FOR PERSONALIZED NUTRIMERS | 1 |
Wayne Landsberg | ZA | Durban | 2014-08-21 / 20140236085 - DEVICE FOR A SYRINGE | 1 |
Wayne Landsberg | US | 2014-08-21 / 20140236085 - DEVICE FOR A SYRINGE | 1 | |
Paul J. Landsberg | US | Raleigh | 2014-01-16 / 20140015952 - Automatic Un-Muting of a Telephone Call | 1 |
Andrew K. Landsberg | US | Eagle-Vail | 2013-10-10 / 20130267547 - PRODRUGS UTILIZING A TRANSPORTER-DIRECTED UPTAKE MECHANISM | 1 |
Jeroen Landsbergen | NL | Best | 2009-08-13 / 20090202790 - Article Having a Relatively Soft Support Material and a Relatively Hard Decorative Layer and Also a Method For Its Manufacture | 1 |
Kurt Landsberger | US | Verona | 2010-10-21 / 20100263115 - Urine splash guards and splash guard assembly for toilets | 1 |
Hans Thomas Landsberger | US | Greenwich | 2014-09-25 / 20140289663 - Producing Artwork Based on an Imported Image | 4 |
Matthew L. Landsberger | US | Moore | 2014-02-06 / 20140039506 - SUBTALAR JOINT PROSTHESIS AND INSTALLATION DEVICE | 1 |
David Landsberger | US | Caldwell | 2011-07-14 / 20110168107 - Animal Restraining Device | 10 |
Joshua Landsberger | US | Elk Grove Village | 2012-06-07 / 20120138114 - Retractable Enclosure Assembly and Method of Using the Same | 1 |
Leslie M. Landsberger | CA | Westmount | 2011-12-22 / 20110314435 - ETCHING TECHNIQUE FOR CREATION OF THERMALLY-ISOLATED MICROSTRUCTURES | 3 |
Leslie M. Landsberger | CA | Montreal | 2012-07-12 / 20120176180 - PASSIVE RESISTIVE-HEATER ADDRESSING NETWORK | 7 |
David Frederick Landsberry | AU | North Sydney | 2011-02-17 / 20110040634 - Media trading | 1 |
Assaf Landschaft | DE | Munich | 2009-08-20 / 20090209270 - LOCATION-BASED SEARCH-RESULT RANKING FOR BLOG DOCUMENTS AND THE LIKE | 1 |
Assaf Landschaft | DE | Muenchen | 2010-07-08 / 20100174973 - EXTRACTION OF VALUES FROM PARTIALLY-CORRUPTED DATA PACKETS | 4 |
Assaf Landschaft | DE | Munchen | 2011-05-26 / 20110123012 - CONTROLLING A CALL SETUP PROCESS | 1 |
Eric Van Landschoot | CA | Lynden | 2012-05-17 / 20120121848 - Composite materials for sports articles and method of manufacturing the composite materials | 1 |
Bernadette Landschreiber | DE | Heuchelheim | 2013-08-29 / 20130220178 - Re-Dispersible Metal Oxide Nanoparticles and Method of Making Same | 1 |
Egon Landschützer | AT | Graz | 2011-03-24 / 20110067483 - DETECTION OF THE PRESENCE OR ABSENCE OF A GAS BUBBLE BY DYNAMIC SENSOR RESPONSE | 1 |
Egon Landschützer | AT | Graz | 2011-03-24 / 20110067483 - DETECTION OF THE PRESENCE OR ABSENCE OF A GAS BUBBLE BY DYNAMIC SENSOR RESPONSE | 1 |
Herwig Landschützer | AT | Linz | 2015-07-16 / 20150198367 - PROCESS FOR DRYING POLYMERIC MATERIALS | 1 |
Michael Lee Landsdale | US | La Selva Beach | 2011-10-20 / 20110257465 - Stress relief harmonizing device and method | 1 |
Jay C. Landsiedel | US | St. Joseph | 2008-09-04 / 20080210274 - Multiple Wash Zone Dishwasher | 1 |
Nathan Landsiedel | US | Fresno | 2015-12-17 / 20150361791 - System And Method For Controlled Pumping In A Downhole Sampling Tool | 8 |
Jay C. Landsiedel | US | Saint Joseph | 2015-05-21 / 20150136187 - DISHWASHER | 12 |
Jay C. Landsiedel | US | Kewanee | 2015-01-29 / 20150027402 - THRUST PLATE | 1 |
Nathan Landsiedel | US | Houston | 2013-03-21 / 20130068463 - Fluid Sample Cleanup | 3 |
Jay C. Landsiedel | US | Saint Joseph | 2015-05-21 / 20150136187 - DISHWASHER | 12 |
Nathan Landsiedel | US | Fresno | 2015-12-17 / 20150361791 - System And Method For Controlled Pumping In A Downhole Sampling Tool | 8 |
Kai Landskron | US | Bethlehem | 2014-06-19 / 20140166499 - SUPERCAPACITIVE SWING ADSORPTION | 5 |
Robert Landskron | DE | Monheim Am Rhein | 2009-11-05 / 20090272869 - MOTOR DRIVEN LONGITUDINAL ADJUSTMENT DEVICE FOR AN AUTOMOTIVE VEHICLE SEAT | 1 |
Robert Landskron | DE | Monheim | 2014-12-25 / 20140374560 - SEAT RAIL FOR A MOTOR VEHICLE SEAT | 4 |
Kai Manfred Martin Landskron | US | Bethlehem | 2009-05-21 / 20090130412 - Method of transformation of bridging organic groups in organosilica materials | 1 |
Reiner Landskron | DE | Berlin | 2012-06-21 / 20120156023 - Radial Compressor And Method For Producing A Radial Compressor | 1 |
David Justin Landskroner | US | Philadelphia | 2014-09-18 / 20140261857 - FLAVOR AND PORTION CONTROLLER | 1 |
Richard Landsman | US | Scotts Valley | 2014-06-12 / 20140164904 - CONTENT MANAGEMENT SYSTEM | 3 |
Rick Landsman | US | Cortlandt Manor | 2014-05-01 / 20140120864 - Cross-Channel User Tracking Systems, Methods and Devices | 1 |
Kelly M. Landsman | US | Fitchburg | 2013-11-21 / 20130305577 - RECIPIENT VERIFICATION SYSTEM AND METHODS OF USE, INCLUDING RECIPIENT IDENTIFICATION | 1 |
Todd Lawrence Landsman | US | College Station | 2015-08-06 / 20150216688 - Intravascular Medical Device Release System | 1 |
Richard A. Landsman | US | Scotts Valley | 2015-12-31 / 20150379298 - DATA PROTECTION SYSTEMS AND METHODS | 20 |
William Landsman | US | Porter Ranch | 2009-06-18 / 20090152300 - Multi-Compartment Devices Having Dispensing Tips | 1 |
Richard M. Landsman | US | Scotts Valley | 2010-06-03 / 20100138444 - FEDERATED CHALLENGE CREDIT SYSTEM | 1 |
Richard A. Landsman | US | Scotts Valley | 2015-12-31 / 20150379298 - DATA PROTECTION SYSTEMS AND METHODS | 20 |
Kelly M. Landsman | US | Milwaukee | 2012-02-16 / 20120036753 - Patient Identification Systems and Methods of Use, Including Recipient Verification | 1 |
Stephen Landsman | US | Baltimore | 2015-09-17 / 20150258410 - ELECTRONIC GOLFING ALIGNMENT AID AND CORRESPONDING METHOD | 1 |
Rick Landsman | US | Cortland Manor | 2010-03-04 / 20100057843 - USER-TRANSPARENT SYSTEM FOR UNIQUELY IDENTIFYING NETWORK-DISTRIBUTED DEVICES WITHOUT EXPLICITLY PROVIDED DEVICE OR USER IDENTIFYING INFORMATION | 1 |
Kelly M. Landsman | US | Chicago | 2011-02-24 / 20110042933 - RECIPIENT VERIFICATION SYSTEMS AND METHODS OF USE, INCLUDING PATIENT IDENTIFICATION | 2 |
Andrew Landsman | US | Chicago | 2010-09-16 / 20100234094 - INTERACTION WITH 3D SPACE IN A GAMING SYSTEM | 2 |
Tanya Landsman | US | Brookline | 2010-06-24 / 20100159455 - RECEPTOR FAMILY PROFILING | 1 |
Kelly Landsman | US | Milwaukee | 2013-08-29 / 20130226114 - DRAINAGE SYSTEM | 4 |
David Landsman | US | Mercer Island | 2009-10-15 / 20090259784 - PERIPHERAL DEVICE LOCKING MECHANISM | 1 |
Gerhard Landsmann | DE | Roedern | 2015-05-14 / 20150128588 - METHOD FOR THE LOAD-DEPENDENT OPENING AND CLOSING OF A BLOW-OFF VALVE FLAP OF AN INTERNAL COMBUSTION ENGINE WITH A TURBOCHARGER | 7 |
Gerhard Landsmann | DE | Rodern | 2011-04-14 / 20110083642 - METHOD AND DEVICE FOR CLOSED-LOOP COMBUSTION CONTROL FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Oyvind Landsnes | NO | Mandal | 2009-08-13 / 20090204257 - System And Method For Visualization Of Process Errors | 1 |
Todd M. Landstad | US | Redmond | 2011-09-08 / 20110216028 - Methods For Allowing Applications To Filter Out Or Opt Into Tablet Input | 1 |
Dorit Landstein | IL | Moshav Bitzaron | 2014-06-19 / 20140170138 - HUMANIZED ANTIBODIES SPECIFIC FOR HSP65-DERIVED PEPTIDE-6, METHODS AND USES THEREOF | 3 |
Dorit Landstein | IL | Mashav Bitzaron | 2012-06-28 / 20120165206 - Method and Assay for Glycosylation Pattern Detection Related to Cell State | 1 |
Susan Oliver Landstrom | US | Johns Creek | 2009-03-26 / 20090083078 - DAMAGE INPUT MATRIX REDESIGN | 1 |
Sara Landstrom | SE | Lulea | 2016-04-28 / 20160119915 - A User Equipment and a Method for Transmitting Sounding Reference Signals | 16 |
Susan Landstrom | US | Alpharetta | 2012-03-15 / 20120066143 - PROVIDING PACKAGING RECOMMENDATION TO SHIPPING CUSTOMERS | 2 |
Eric Landstrom | SE | Stockholm | 2015-11-12 / 20150323475 - METHOD AND APPARATUS FOR MEASUREMENT OF CONCENTRATION OF A SPECIFIC ANALYTE IN A BIOLOGICAL MATERIAL | 2 |
Marene Inga-Britt Landstrom | SE | Alunda | 2014-12-25 / 20140377268 - CLEAVAGE INHIBITORS OF TRANSFORMING GROWTH FACTOR BETA TYPE I RECEPTOR AND USES THEREOF IN CANCER THERAPY | 2 |
Jacob Landstrom | SE | Norrala | 2013-09-19 / 20130239840 - Manually Operated Arrangement | 1 |
Sara Landstrom | SE | Lulea | 2016-04-28 / 20160119915 - A User Equipment and a Method for Transmitting Sounding Reference Signals | 16 |
Sara Landström | SE | Lulea | 2013-10-24 / 20130279478 - Methods and Arrangements in a Cellular Communication System | 10 |
Jeremy Landt | US | Santa Fe | 2015-10-15 / 20150293217 - SYSTEM AND METHOD FOR MICROWAVE RANGING TO A TARGET IN PRESENCE OF CLUTTER AND MULTI-PATH EFFECTS | 5 |
Michael Landt | US | Chicago | 2013-06-27 / 20130160631 - Jab Saw Accessory Tool for an Oscillating Tool | 1 |
Jeff Landt | US | New Richmond | 2014-09-18 / 20140279696 - ASSET DATA MANAGEMENT SYSTEM AND METHOD | 1 |
Andreas Landt | DE | Lubeck | 2015-04-16 / 20150105008 - SINEW REMOVAL DEVICE, PROCESSING DEVICE HAVING SUCH A SINEW REMOVAL DEVICE, AND METHOD FOR THE AUTOMATIC REMOVAL OF SINEWS AND/OR SINEW PORTIONS SITUATED ON INNER BREAST FILLETS | 4 |
Yvonne Landt | US | St. Louis | 2012-01-26 / 20120021534 - MARKERS FOR BRAIN DAMAGE | 1 |
Andreas Landt | DE | Luebeck | 2014-11-27 / 20140349558 - DEVICE AND METHOD FOR COMPLETELY SEPARATING FROM THE CARCASS OF A GUTTED POULTRY BODY BREAST FILLETS THAT HAVE ALREADY BEEN PARTIALLY DETACHED FROM THE CARCASS | 1 |
Michael E. Landt | US | Chicago | 2013-06-13 / 20130145636 - Accessory Attachment System for an Oscillating Power Tool | 2 |
Jeremy A. Landt | US | Santa Fe | 2011-08-04 / 20110187600 - SYSTEM AND METHOD FOR MEASUREMENT OF DISTANCE TO A TAG BY A MODULATED BACKSCATTER RFID READER | 1 |
Olfert Landt | DE | Berlin | 2011-06-09 / 20110136178 - BASE-MODIFIED PRIMER OLIGOMERS FOR MULTIPLEX RT-PCR | 1 |
Mike Landt | US | Chicago | 2014-03-13 / 20140069676 - Slide Switch for a Power Tool | 1 |
William Andrew Landtbom | US | San Francisco | 2015-12-10 / 20150351946 - ORTHOPEDIC SUPPORT WITH MAGNETS | 2 |
Markus Landthaler | DE | Berlin | 2015-02-12 / 20150045237 - METHOD FOR IDENTIFICATION OF THE SEQUENCE OF POLY(A)+RNA THAT PHYSICALLY INTERACTS WITH PROTEIN | 1 |
Marcus Landthaler | US | New York | 2015-12-10 / 20150353923 - Method for Identifying RNA Segments Bound by RNA-Binding Proteins or Ribonucleoprotein Complexes | 1 |
Marcus Landthaler | DE | Berlin | 2011-11-24 / 20110287412 - Methods for Identifying RNA Segments Bound by RNA-Binding Proteins or Ribonucleoprotein Complexes | 1 |
Markus Landthaler | US | New York | 2014-11-13 / 20140335605 - Anti-MicroRNA Oligonucleotide Molecules | 5 |
John G. Landthrip | US | Katy | 2012-01-12 / 20120006557 - MADE-UP FLANGE LOCKING CAP | 3 |
Jeffrey E. Landtroop | US | Vicksburg | 2011-01-13 / 20110005365 - Musical Instrument String with Hyper Elliptical Wound Cover Wire | 1 |
Richard William Landuyt | US | Arlington Heights | 2011-09-29 / 20110234377 - Method and apparatus for identifying an RFID type | 1 |
Peter Landuyt | BE | Brussels | 2014-07-10 / 20140190227 - FERTILIZER FOR FOLIAR USE | 1 |
Alex Landuyt | BE | Wieze | 2011-05-05 / 20110104335 - PROCESS AND CONFECTIONERY PRODUCT PRODUCED THEREBY | 1 |
Bart Landuyt | BE | Landen | 2010-11-11 / 20100284935 - MARKERS AND DIAGNOSTIC METHODS FOR METASTASIS | 1 |
Alex Landuyt | BE | Lebbeke-Wieze | 2013-09-26 / 20130251858 - COMPOSITION | 3 |
Koen Landuyt | BE | Maldegem | 2009-04-30 / 20090111548 - Residue splitter | 2 |
Koen Landuyt | BE | Adegem | 2013-07-25 / 20130186125 - AIR CONDITIONING SYSTEM HAVING IMPROVED CONDENSATE DRAINAGE | 1 |
Dag Landvik | SE | Saltsjo Boo | 2009-10-22 / 20090260160 - PRODUCT DEMONSTRATION SYSTEM AND METHOD FOR USING THE SAME | 2 |
Sara Landvik | DK | Bagsvaerd | 2016-02-25 / 20160053245 - Polypeptides Having Glucoamylase Activity And Polynucleotides Encoding Same | 5 |
Dag Landvik | SE | Saltsjo-Duvnas | 2009-12-03 / 20090293199 - LAMINATED VISCO-ELASTIC SUPPORT | 2 |
Sara Landvik | DK | Copenhagen | 2016-02-25 / 20160053336 - POLYPEPTIDES HAVING DEXTRANASE ACTIVITY AND POLYNUCLEOTIDES ENCODING SAME | 4 |
Sara Landvik | DK | Vedbaek | 2016-01-07 / 20160002683 - Polypeptides Having Peroxygenase Activity and Polynucleotides Encoding Same | 21 |
Timothy Landvik | US | Port Angeles | 2010-04-29 / 20100102141 - Support apparatus for water features and installation method | 1 |
Sara Landvik | DK | Vedbaek | 2016-01-07 / 20160002683 - Polypeptides Having Peroxygenase Activity and Polynucleotides Encoding Same | 21 |
Dag Landvik | SE | Oregrund | 2012-12-27 / 20120328437 - FLOATING ENERGY PRODUCING PLANT | 1 |
Sara Landvik | DK | Holte | 2016-02-18 / 20160046919 - Polypeptides Having Cellobiohydrolase I Activity and Polynucleotides Encoding Same | 6 |
Sara Landvik | DK | Vedbake | 2012-08-23 / 20120214197 - Polypeptides Having Glucoamylase Activity and Polynucleotides Encoding Same | 1 |
Timothy Paul Landvik | US | Port Angeles | 2014-03-06 / 20140060396 - Table apparatus for air movement | 1 |
Greg D. Landweber | US | Rhinebeck | 2013-10-10 / 20130265306 - Real-Time 2D/3D Object Image Composition System and Method | 1 |
Heinz-Carsten Landwehr | DE | Lemgo | 2010-12-23 / 20100321844 - VOLTAGE PROTECTION ARRANGEMENT FOR AN ELECTRONIC DEVICE | 1 |
Brian R. Landwehr | US | Minnetonka | 2013-12-12 / 20130332616 - INTERACTIVE SESSIONS WITH PARTICIPANTS AND PROVIDERS | 1 |
Sean E. Landwehr | US | Westmoreland | 2010-05-20 / 20100122903 - Readily-Densified Titanium Diboride and Process for Making Same | 1 |
Boris Landwehr | US | Thousand Oaks | 2010-12-02 / 20100304795 - Multiple orientation apparatus | 1 |
James M. Landwehr | US | Summit | 2014-01-16 / 20140019560 - PERFORMANCE STRESS EVALUATION OF MULTI-MODAL NETWORK NOTIFICATION SERVICE | 5 |
Val R. Landwehr | US | Minneapolis | 2009-06-18 / 20090153659 - SYSTEM AND METHOD FOR DETECTING AND CLASSIFYING OBJECTS IN IMAGES, SUCH AS INSECTS AND OTHER ARTHROPODS | 1 |
Marco Landwehr | US | Pasadena | 2008-11-27 / 20080293101 - Engineered microorganisms for increasing product yield in biotransformations, related methods and systems | 1 |
Marco Landwehr | DE | Magdeburg | 2015-10-29 / 20150307904 - Methods for the Economical Production of Biofuel from Biomass | 2 |
Heiko Landwehr | DE | Barnstorf | 2016-04-07 / 20160096338 - Device and Method for Erecting Predominantly Plane Material Blanks | 1 |
Frank Landwehr | DE | Dortmund | 2011-01-13 / 20110006609 - PHOTOVOLTAIC POWER SYSTEM | 2 |
John Landwehr | US | San Mateo | 2014-01-30 / 20140029031 - PRINT POLICY COMMANDS | 1 |
Birger Landwehr | DE | Lubeck | 2015-09-17 / 20150258290 - PROCESS AND DEVICE FOR GENERATING AN ALARM DURING A MACHINE-ASSISTED PATIENT VENTILATION | 1 |
Sean E. Landwehr | US | Greensburg | 2012-07-19 / 20120183363 - Monolithic Ceramic End Mill | 1 |
Erik Landwehr | DE | Saarbrucken | 2015-01-22 / 20150024351 - System and Method for the Relevance-Based Categorizing and Near-Time Learning of Words | 1 |
Thomas Jay Landwehr | US | Green Bay | 2014-12-04 / 20140352156 - CUTTING HAND TOOL | 4 |
Tim Landwehr | US | Eaton | 2011-07-14 / 20110168032 - COOKING MEDIUM SYSTEMS HAVING A SINGLE MECHANICAL LEVER AND CONTROL ASSISTED FILTERING AND DRAINING | 1 |
Sean Landwehr | US | Avon | 2016-04-14 / 20160101561 - DUAL-WALLED CERAMIC MATRIX COMPOSITE (CMC) COMPONENT WITH INTEGRAL COOLING AND METHOD OF MAKING A CMC COMPONENT WITH INTEGRAL COOLING | 2 |
Jochen Landwehr | DE | Trier | 2009-05-28 / 20090135404 - METHOD FOR OPERATING A TIME-OF-FLIGHT IMAGER PIXEL | 1 |
Jay William Landwehr | US | Villa Hills | 2012-08-02 / 20120197043 - METHOD OF PURIFYING A DICARBOXYLIC ACID | 1 |
Martin Landwehr | DE | Paderborn | 2016-01-07 / 20160005254 - CASH BOX HAVING A MOVABLE BASE TRAY | 4 |
Wilhelm Landwehr | DE | Wilhelmshaven | 2009-06-18 / 20090154991 - CLAMPING GAP NUT | 2 |
Felix C. Landwehr | DE | Lohne | 2009-07-23 / 20090183576 - WIND TURBINE ANEMOMETRY COMPENSATION | 1 |
Dustin I. Landwehr | US | Kewanee | 2015-10-22 / 20150300228 - REDUCTANT FILL SYSTEM | 1 |
Horst Landwehr | DE | Hanau | 2010-01-21 / 20100011870 - Pressure Gauge | 1 |
Michael J. Landwehr | US | Brookfield | 2016-02-25 / 20160055775 - APPARATUS FOR DISPLAYING INFORMATION | 1 |
Michael Landwehr | US | Derby | 2016-02-18 / 20160046313 - Cover for Alternate Shopping Cart Handle Enveloping and Compact Storage | 1 |
Sean E. Landwehr | US | Avon | 2016-04-14 / 20160102555 - COATING SYSTEM INCLUDING ALTERNATING LAYERS OF AMORPHOUS SILICA AND AMORPHOUS SILICON NITRIDE | 8 |
Sean Erin Landwehr | US | Greensburg | 2014-09-11 / 20140255113 - MONOLITHIC CERAMIC END MILL | 3 |
John T. Landwehr | US | Vienna | 2016-05-05 / 20160127131 - Distributed Validation of Digitally Signed Electronic Documents | 2 |
Tim A. Landwehr | US | West Alexandria | 2015-05-14 / 20150129509 - PRESSURE ASSIST FEATURE FOR PRESSURE FRYER | 4 |
Sean E. Landwehr | US | Avon | 2016-04-14 / 20160102555 - COATING SYSTEM INCLUDING ALTERNATING LAYERS OF AMORPHOUS SILICA AND AMORPHOUS SILICON NITRIDE | 8 |
Tim Landwehr | US | West Alexandria | 2010-12-30 / 20100326288 - SELECTOR VALVE FOR SHORTENING MANAGEMENT SYSTEM | 1 |
John Thomas Landwehr, Jr. | US | San Mateo | 2014-10-09 / 20140304823 - Multi-dimensional rights scheme | 1 |
Donald M. Landwirth | US | Atherton | 2009-08-13 / 20090200373 - System and Method for Implementing an Automated Vault Machine | 1 |
Jessica Hope Landy | US | Los Angeles | 2009-02-26 / 20090055513 - METHOD AND SYSTEM FOR COMMUNICATING CARPOOL INFORMATION | 2 |
Patrick J. Landy | US | Melbourne | 2012-04-12 / 20120085822 - FINGER SENSING DEVICE INCLUDING DIFFERENTIAL MEASUREMENT CIRCUITRY AND RELATED METHODS | 1 |
John Landy | US | Billerica | 2015-07-09 / 20150190274 - Hyperthermia, system, method, and components | 3 |
Charles Landy | US | Fitchburg | 2011-07-07 / 20110165680 - CLEAN GENOME BACTOFECTION | 1 |
James Landy | US | Cape Canaveral | 2011-10-27 / 20110262209 - Fluid Application Device | 1 |
Harvey Landy | US | Simi Valley | 2015-11-12 / 20150326820 - DIGITAL CONTENT CONVERSION QUALITY CONTROL SYSTEM AND METHOD | 2 |
Scott J. Landy | US | Grayslake | 2010-11-25 / 20100294852 - Methods of Dispensing Two or More Volatile Materials | 1 |
Mark Joseph Landy | US | Montvale | 2012-06-14 / 20120150797 - METHOD AND SYSTEM FOR SAFELY TRANSPORTING LEGACY DATA TO AN OBJECT SEMANTIC FORM DATA GRID | 1 |
Patrick J. Landy | US | Cupertino | 2014-09-18 / 20140270416 - ELECTRONIC DEVICE INCLUDING INTERLEAVED BIOMETRIC SPOOF DETECTION DATA ACQUISITION AND RELATED METHODS | 3 |
Hal Landy | US | Dover | 2010-11-25 / 20100297119 - BONE TARGETED ALKALINE PHOSPHATASE, KITS AND METHODS OF USE THEREOF | 1 |
Mark Landy | US | Atlanta | 2011-03-03 / 20110054595 - METHOD OF COATING MEDICAL DEVICES | 2 |
John Landy | US | Cohasset | 2015-10-29 / 20150310188 - SYSTEMS AND METHODS OF SECURE DATA EXCHANGE | 2 |
Gregg W. Landy | US | Gloucester | 2014-10-02 / 20140289991 - NAVIGATIONAL CONTROL SYSTEM FOR A ROBOTIC DEVICE | 1 |
Nathan Ingle Landy | US | Mercer Island | 2015-12-24 / 20150372389 - MODULATION PATTERNS FOR SURFACE SCATTERING ANTENNAS | 6 |
Elliott Landy | US | Woodstock | 2010-02-18 / 20100040349 - SYSTEM AND METHOD FOR REAL-TIME SYNCHRONIZATION OF A VIDEO RESOURCE AND DIFFERENT AUDIO RESOURCES | 2 |
James F. Landy | US | Cape Canaveral | 2015-07-30 / 20150212021 - APPARATUS AND METHOD FOR MONITORING AND QUANTIFYING PROGRESSION OF A STRUCTURAL ANOMALY IN A HIGH TEMPERATURE ENVIRONMENT OF A COMBUSTION TURBINE ENGINE | 3 |
Robin Landy | GB | London | 2013-05-02 / 20130110585 - Data Processing | 2 |
Thomas E. Lane | US | Irvine | 2015-04-16 / 20150104866 - IP-10 ANTIBODIES AND THEIR USES | 3 |
John W. Lane | US | San Jose | 2014-09-18 / 20140269156 - COMPACT DEVICE FOR ENHANCING THE MIXING OF GASEOUS SPECIES | 15 |
Chris Lane | US | San Jose | / - | 1 |
Barton Lane | US | Pleasanton | 2011-07-21 / 20110177625 - METHOD AND APPARATUS FOR IDENTIFYING THE CHEMICAL COMPOSITION OF A GAS | 3 |
Brian Lane | GB | Tyne & Wear | 2010-10-14 / 20100257827 - SEPARATOR ASSEMBLY | 2 |
John Michael Lane | US | Morgan | 2011-09-15 / 20110219868 - APPARATUS FOR DETECTING SNOW DEPTH | 1 |
Charlotte Alice Louise Lane | GB | Sandwich | 2010-10-28 / 20100273758 - Sulfonamide Derivatives For The Treatment Of Diseases | 6 |
Mark Lane | US | San Diego | 2010-04-15 / 20100093279 - ELECTRONIC DEVICES FOR COMMUNICATION UTILIZING ENERGY DETECTION AND/OR FREQUENCY SYNTHESIS | 1 |
Gregg A. Lane | US | San Diego | 2014-05-01 / 20140118449 - WHITE PRE-TREATMENT COMPOSITION | 7 |
Stephen M. Lane | US | Davis | 2010-09-23 / 20100241357 - Single-Cell Raman Spectroscopy for the Non-Destructive, Non-Invasive Analysis of Cells and Cellular Components | 1 |
Mark Vernon Lane | US | San Diego | 2016-02-04 / 20160036512 - APPARATUS AND METHOD FOR MULTIPLE WIRELESS SERVICE COEXISTENCE | 5 |
Courtney C. Lane | US | Ventura | 2015-06-04 / 20150151111 - LEADS WITH NON-CIRCULAR-SHAPED DISTAL ENDS FOR BRAIN STIMULATION SYSTEMS AND METHODS OF MAKING AND USING | 3 |
Steve Lane | US | Morgan Hill | 2010-09-23 / 20100239411 - DUAL-MODE WIND MACHINE | 1 |
Mark K. Lane | US | Key Largo | 2015-06-11 / 20150159776 - SYSTEM, METHOD AND APPARATUS FOR SUBSEA INSTALLATION OF BUOYANCY MODULES | 3 |
R. Kerry Lane | US | Pryor | 2013-12-12 / 20130330565 - Coating Material for Achieving Sound-Dampening and Method for the Same | 3 |
Mark Lane | US | Franklin | 2014-09-04 / 20140247164 - Obstruction Light Having Wireless Status Transmission Capability | 1 |
T. Randall Lane | US | Lebanon | 2013-05-23 / 20130128921 - Critical Temperature Indicator | 6 |
Steve Lane | US | Orange | 2016-04-14 / 20160105598 - VIDEO CAMERA WITH PRIVACY | 2 |
Michael T. Lane | US | Brooklyn | 2015-10-01 / 20150273431 - Oxygen Scavenging System For A Container | 18 |
Christopher Lane | US | San Jose | 2014-09-11 / 20140258956 - APPARATUS AND METHODS FOR POWER MANAGEMENT IN INTEGRATED CIRCUITS | 6 |
Sean Lane | US | Columbia | 2012-06-28 / 20120164885 - APPARATUS AND SYSTEM FOR ELECTRONIC DEVICE INTERROGATION AND DATA EXTRACTION | 2 |
Brandon Scott Lane | US | Hamilton | 2014-08-07 / 20140216495 - Method of Treating Hair with Foam | 11 |
Jonathan W. Lane | US | Boulder | 2015-10-22 / 20150299182 - CRYSTALLINE FORMS OF (1S)-1-[5-(AMINO)-1,2,4-THIADIAZOL-3-YL]ETHANE-1,2-DIOL | 3 |
John P. Lane | US | Mentor | 2009-05-07 / 20090117295 - Pressure sensitive adhesive photo mount system | 1 |
Daniel Lane | US | Los Angeles | 2009-02-19 / 20090047469 - DEVICE AND METHOD FOR INDICATING PATRON USAGE OF A DRINK AND/OR SEAT | 1 |
Rodney Paul Lane | GB | Salisbury | 2012-08-02 / 20120197343 - APPARATUS FOR FUNCTIONAL ELECTRICAL STIMULATION OF THE BODY | 1 |
David Lane | GB | Edinburgh | 2010-12-02 / 20100306588 - Intelligent Integrated Diagnostics | 1 |
Fred P. Lane | US | Mosinee | 2012-08-02 / 20120193912 - Thermal Transformer | 1 |
Henry Welling Lane | US | San Luis Obispo | 2009-01-15 / 20090015783 - Clip-on sunglasses and method of manufacture thereof | 1 |
Richard Lane | US | Fort Wayne | 2012-12-13 / 20120316567 - SYSTEMS AND METHODS FOR JOINT REPLACEMENT | 2 |
Christopher Lane | GB | Winchester | 2010-09-09 / 20100228680 - DETERMINING RELATIVE PERFORMANCE | 1 |
William Leonard Lane | US | Viburnum | 2015-04-30 / 20150114183 - PROCESSES FOR RECOVERING NON-FERROUS METALS FROM SOLID MATRICES | 1 |
Frank Lane | US | North Andover | 2014-08-28 / 20140244349 - SYSTEM AND METHOD FOR MOBILE ANALYTICS PLATFORM | 1 |
Troy R. Lane | US | Phoenix | 2014-09-18 / 20140259982 - Decorative Curbing Apparatus for Deploying Devices in a Landscaping Setting | 1 |
David Phillip Lane | GB | Dundee | 2011-01-27 / 20110021529 - P53 ACTIVATING COMPOUNDS | 1 |
Scott Lane | US | Rehoboth | 2014-08-28 / 20140240753 - Methods, Apparatuses, And Computer Program Products For Implementing Cloud Connected Printers And An Adaptive Printer-Based Application Framework | 1 |
Christopher Andrew Lane | CA | Kitchener | 2012-08-30 / 20120216596 - Systems And Methods For Impact Testing | 1 |
Michael K. Lane | US | Newton | 2012-08-30 / 20120217242 - VENTED TRASH RECEPTACLE | 1 |
Ellen Birgitte Lane | US | 2012-08-30 / 20120219981 - MECHANICAL STRETCHING DEVICE | 1 | |
John A. Lane | US | Raleigh | 2014-08-28 / 20140237707 - IMPACT DIVERSION SYSTEM | 1 |
Michael H. Lane | US | Clifton Park | 2016-03-10 / 20160069724 - HIGH-SPEED VOLUME MEASUREMENT SYSTEM AND METHOD | 2 |
Richard D. Lane | US | San Diego | 2012-08-30 / 20120219013 - JOINT TRANSMISSION OF MULTIPLE MULTIMEDIA STREAMS | 1 |
David C. Lane | US | Franklin | 2013-10-10 / 20130268605 - METHOD AND SYSTEM FOR PROVIDING LIVE REAL-TIME COMMUNICATION VIA TEXT BETWEEN MOBILE USER DEVICES | 2 |
Elizabeth Lane | US | San Francisco | 2009-01-01 / 20090004433 - Fluid Absorbing and/or Disinfecting Surfacing Materials | 1 |
Sanford Lane | US | Sherborn | 2014-03-13 / 20140074077 - Method for Reducing the Absorption of Nutrients Through the Gastrointestinal Tract | 2 |
Melinda Sue Lane | GB | Clacton-On-Sea | / - | 1 |
Dale Lane | CA | Victoria | 2014-09-18 / 20140271600 - NON-CELLULAR BANDAGE, METHOD OF USING THE SAME, AND METHOD OF PREPARING THE SAME | 1 |
Christopher Lane | US | Ridgewood | 2014-11-27 / 20140347214 - METHOD AND SYSTEM FOR GNSS ASSISTANCE DATA OR LTO DATA DOWNLOAD OVER A BROADCAST BAND | 4 |
Stephen H. Lane | US | Princeton | 2011-01-13 / 20110009241 - VIRTUAL LOCOMOTION CONTROLLER APPARATUS AND METHODS | 1 |
Christopher C. Lane | US | Princeton | 2013-10-03 / 20130261195 - MICRONIZED STARCH AND METHODS FOR MANUFACTURING SAME | 3 |
Frank Lane | US | Asbury | 2014-09-25 / 20140286153 - APPARATUS AND METHODS FOR UPDATE OF SYMBOL INFORMATION | 5 |
Frank A. Lane | US | Asbury | 2013-12-26 / 20130343283 - WIRELESS COMMUNICATION METHODS AND APPARATUS SUPPORTING DIFFERENT TYPES OF WIRELESS COMMUNCIATION APPROACHES | 6 |
Marivn Lane | US | Wheeling | 2015-05-07 / 20150122688 - SYSTEM AND METHODS FOR MANAGING A CONTAINER OR ITS CONTENTS | 1 |
Peter Drysdale Lane | GB | Littleborough | 2014-08-21 / 20140230895 - METHOD OF MAKING A STRUCTURE COMPRISING COATING STEPS AND CORRESPONDING STRUCTURE AND DEVICES | 1 |
Christopher T. Lane | US | San Jose | 2014-03-20 / 20140079514 - LOAD LOCK CHAMBER DESIGNS FOR HIGH-THROUGHPUT PROCESSING SYSTEM | 2 |
Sophie Elizabeth Lane | NZ | Christchurch | 2012-09-13 / 20120228079 - SPEED DEPENDENT CLUTCH | 1 |
Richard L. Lane | US | Columbus | 2014-05-15 / 20140131988 - PROJECT PLANNING METHOD | 1 |
Richard Doil Lane | US | San Diego | 2015-11-12 / 20150326328 - SYSTEM AND METHOD FOR CONTROLLING BROADCAST MULTIMEDIA USING PLURAL WIRELESS NETWORK CONNECTIONS | 4 |
Christopher F. Lane | US | San Jose | 2014-07-31 / 20140210515 - PLD ARCHITECTURE FOR FLEXIBLE PLACEMENT OF IP FUNCTION BLOCKS | 4 |
Heidi A. Lane | CH | Biel-Benken | 2011-08-11 / 20110195072 - NON-NEUROENDOCRINE CANCER THERAPY | 1 |
Jeffrey Lane | US | Los Angeles | 2014-02-27 / 20140057672 - CONTACT MANAGEMENT | 2 |
Timothy Saul Lane | US | Irvine | 2013-06-13 / 20130145520 - BIB TYPE UNDER-GARMENT HAVING SUPPORT FOR OUTER-GARMENT(S) | 6 |
David P. Lane | GB | Dundee | 2013-11-14 / 20130302892 - THERAPEUTIC APPLICATIONS OF P53 ISOFORMS IN REGENERATIVE MEDICINE, AGING AND CANCER | 2 |
Annette Lane | US | Morgan Hill | 2010-09-23 / 20100239411 - DUAL-MODE WIND MACHINE | 1 |
Lisa Lane | US | Elk Grove | 2016-04-28 / 20160115101 - METHODS AND APPARATUSES FOR REFORMING OF HYDROCARBONS INCLUDING RECOVERY OF PRODUCTS USING MIXING DEVICES | 1 |
Amy L. Lane | US | Jacksonville | 2011-08-04 / 20110190338 - Compounds and Compositions Useful in the Treatment of Malaria | 1 |
Andrew Nicholas Lane | US | Louisville | 2013-05-02 / 20130109592 - METHODS FOR DETECTING CANCER | 2 |
Frank A. Lane | US | San Diego | 2010-08-19 / 20100210266 - Methods and Apparatus of Improving Inter-Sector and/or Inter Cell Handoffs In a Multi-Carrier Wireless Communications System | 1 |
Daniel Lane | US | North Potomac | 2013-05-16 / 20130124424 - SYSTEM AND METHOD FOR POINT-TO-POINT ENCRYPTION WITH ADJUNCT TERMINAL | 2 |
Troy R. Lane | US | Dover | 2011-08-04 / 20110185586 - Vision System | 1 |
Ernest Lane | US | Huntington Beach | 2012-09-06 / 20120226348 - Conformable Prostheses For Implanting Two-Piece Heart Valves and Methods for Using Them | 7 |
Stephen M. Lane | US | Oakland | 2015-03-26 / 20150087543 - Device, Array, And Methods For Disease Detection And Analysis | 5 |
Nancy Lane | US | Needham | 2014-04-17 / 20140103258 - CHROMIC LUMINESCENT COMPOSITIONS AND TEXTILES | 3 |
Ronald T. Lane | US | Redding | 2010-04-22 / 20100096018 - INSTANT HOT WATER DELIVERY SYSTEM | 1 |
Darin L. Lane | US | Hollister | 2012-01-05 / 20120001430 - FLOW DEVELOPMENT AND COGENERATION CHAMBER | 2 |
Timothy Saul Lane | US | Aliso Viejo | 2009-11-26 / 20090289436 - AERODYNAMIC TIME TRIAL BIKE | 2 |
Elizabeth Lane | US | Oakland | 2009-11-05 / 20090272682 - Filtered Water Enhancements | 1 |
Steven Lane | US | San Jose | 2015-12-24 / 20150371824 - METHOD OF MULTIPLE ZONE SYMMETRIC GAS INJECTION FOR INDUCTIVELY COUPLED PLASMA | 2 |
Tammy L. Lane | US | San Diego | 2009-08-27 / 20090214331 - Curved tooth coupling for a miniature gas turbine engine | 1 |
Christopher T. Lane | US | Los Gatos | 2013-07-04 / 20130171757 - ADVANCED PLATFORM FOR PASSIVATING CRYSTALLINE SILICON SOLAR CELLS | 4 |
Frederick J. Lane | US | Ceres | 2009-06-25 / 20090160464 - METHOD AND APPARATUS FOR MAKING A DETERMINATION RELATING TO RESISTANCE OF PROBES | 1 |
Steven Lane | US | Orange | 2015-04-23 / 20150107767 - OVERLAY APPLICATOR, APPLICATOR MACHINE, AND CRADLE, AND METHOD OF PROVIDING AND USING THE SAME | 10 |
John W. Lane | US | Santa Clara | 2009-03-19 / 20090072009 - Method of preventing bonding between a load distribution block and a plate set of stacked sheets during diffusion bonding of a fluid flow structure | 2 |
Preston Lane | US | Granada Hills | 2008-10-23 / 20080258882 - POWERLINE CONTROL SYSTEM AND METHOD | 1 |
Heidi Lane | CH | Basel | 2014-04-17 / 20140105895 - TREATMENT OF SOLID TUMORS WITH RAPAMYCIN DERIVATIVES | 9 |
Stuart Lane | GB | Stratford | 2014-06-12 / 20140163847 - METHOD OF CONTROLLING A FUEL SUPPLY SYSTEM OF AN ENGINE OF A MOTOR VEHICLE | 1 |
Malcolm D. Lane | CA | Vancouver | 2012-09-27 / 20120241992 - Collection of Process Data Using In-Situ Sensors | 1 |
Karen Lane | US | Jackson | / - | 1 |
Richard Lane | GB | Cottenham | 2010-02-18 / 20100040176 - Channel Impulse Response Estimate Management | 1 |
Barton Lane | US | Austin | 2016-03-10 / 20160071701 - RESONANT STRUCTURE FOR A PLASMA PROCESSING SYSTEM | 8 |
Samuel L. Lane | US | Baton Rouge | 2012-10-04 / 20120252971 - Coating Composition | 1 |
Richard Lane | US | Boise | 2014-01-30 / 20140030869 - SELF-ALIGNED SEMICONDUCTOR TRENCH STRUCTURES | 4 |
Michael Joseph Lane | US | Bellevue | 2016-05-19 / 20160143170 - Graphic Formation via Material Ablation | 9 |
Richard G. Lane | US | Goleta | 2012-10-04 / 20120249863 - BORESIGHT ALIGNMENT STATION | 1 |
Richard Oliver Lane | GB | Malvern | 2010-08-19 / 20100207804 - RADAR METHOD AND APPARATUS SUITABLE FOR USE IN MULTIPATH ENVIRONMENTS | 1 |
Richard Lane | US | Neosho | 2009-12-31 / 20090320195 - PLATFORM ASSEMBLY FOR TOILET | 1 |
Richard Lane | NL | Voorschoten | 2010-06-10 / 20100139707 - WASHABLE FILMIC LAMINATES | 1 |
Benjamin S. Lane | US | Lynnfield | 2014-12-18 / 20140371450 - PROCESSES FOR PREPARING ISOQUINOLINONES AND SOLID FORMS OF ISOQUINOLINONES | 2 |
Richard Lane | US | Chicago | 2015-01-15 / 20150019399 - TRADING CIRCLES | 10 |
Amin R. Lane | GB | Croydon | 2010-07-22 / 20100184054 - BINDING OF PATHOLOGICAL FORMS OF PRION PROTEINS | 1 |
Heidi Alexandra Lane | CH | Therwil | 2014-03-20 / 20140080872 - USE OF PHOSPHO-AKT AS A BIOMARKER OF DRUG RESPONSE | 5 |
Rodney J. Lane | AU | New South Walves | 2011-10-20 / 20110257577 - SYSTEMS, METHODS AND DEVICES FOR CIRCULATORY ACCESS | 1 |
Charles Lane | US | Somerest | 2014-06-26 / 20140179272 - AUTOMATIC ARCHIVING OF AN APPLICATION ON A MOBILE DEVICE | 1 |
Charles Lane | US | Somerset | 2015-12-10 / 20150358520 - Systems and Methods for Supporting a Video Call in a Dark or Low Light Environment | 2 |
Rodney Jay Lane | US | Southington | 2013-10-24 / 20130276271 - ADHERING FASTENING STRIP WITH GRIP AREA | 1 |
John Lane | US | Weedsport | 2011-06-30 / 20110160597 - Suprasystolic Measurement in a Fast Blood-Pressure Cycle | 1 |
Brian Lane | US | S. Russell | 2010-06-24 / 20100154372 - FILTER ASSEMBLY | 2 |
William Joseph Lane | US | Bakersfield | 2012-06-28 / 20120164281 - Packaged fresh diced garlic and process for making same | 2 |
Richard Lee Lane | US | Cincinnati | 2016-04-21 / 20160106294 - KIT HAVING A PACKAGE CONTAINING CLEANING IMPLEMENTS, PACKAGE THEREFOR AND BLANK THEREFOR | 1 |
Joseph Lane | US | Cambridge | 2014-09-18 / 20140265580 - INERTIAL ENERGY GENERATOR FOR SUPPLYING POWER TO A DOWNHOLE TOOL | 2 |
Tim A. Lane | US | Concord Township | 2010-11-04 / 20100275525 - Retrofit Roof System and a Clip Therefor | 1 |
William Allan Lane | IE | Waterfall | 2016-05-12 / 20160133816 - WAFER SCALE THERMOELECTRIC ENERGY HARVESTER HAVING INTERLEAVED, OPPOSING THERMOELECTRIC LEGS AND MANUFACTURING TECHNIQUES THEREFOR | 9 |
Thomas M. Lane | US | Chardon | 2009-05-07 / 20090115238 - Truck Bed Lifting Device and Method | 1 |
Anne Lane | CA | Westmount | 2009-02-12 / 20090041731 - Treatment for Diabetes | 1 |
John A. Lane | CA | Vancouver | 2009-04-23 / 20090101686 - WEB PROCESSING APPARATUS | 1 |
Miriam Lane | CA | Dollard-Des-Ormeaux | 2009-07-16 / 20090182319 - ENDOVASCULAR CRYOTREATMENT CATHETER | 3 |
Pierre M. Lane | CA | Vancouver | 2009-10-01 / 20090244272 - METHODS AND APPARATUS FOR IMAGING USING A LIGHT GUIDE BUNDLE AND A SPATIAL LIGHT MODULATOR | 1 |
John Lane | US | Muskegon | 2012-07-19 / 20120180778 - MULTIPLE FUEL TANK SYSTEM | 1 |
Dean Vincent Lane | CA | Chilliwak | 2009-10-29 / 20090266782 - STACKABLE RIBBED BOTTLE SYSTEM | 1 |
Aimee Amanda Lane | CA | Breslau | 2010-04-15 / 20100095234 - MULTI-TOUCH MOTION SIMULATION USING A NON-TOUCH SCREEN COMPUTER INPUT DEVICE | 1 |
Christopher Lane | CA | Waterloo | 2010-04-15 / 20100095234 - MULTI-TOUCH MOTION SIMULATION USING A NON-TOUCH SCREEN COMPUTER INPUT DEVICE | 1 |
Darryl Lane | CA | Toronto | 2010-04-15 / 20100089275 - MASS TRANSIT SYSTEM | 1 |
Terry Lynn Lane | US | Westminster | 2013-12-26 / 20130346687 - MODULE SELF-DISCOVERY IN A STORAGE LIBRARY | 1 |
David Lane | SG | Singapore | 2013-12-26 / 20130344140 - NOVEL PHARMACEUTICAL COMBINATIONS AND METHODS FOR TREATING CANCER | 1 |
Terry L. Lane | US | Broomfield | 2015-03-26 / 20150083794 - TARGET DECODING AND ROBOT POSITIONING IN A STORAGE LIBRARY | 1 |
Randy Lane | CA | Langley | 2011-12-01 / 20110295363 - Prosthetic Heart Valve And Transcatheter Delivered Endoprosthesis Comprising A Prosthetic Heart Valve And A Stent | 2 |
Jay Lane | US | Mooresville | 2014-09-11 / 20140255680 - ENVIRONMENTAL BARRIER COATING-BASED THERMAL BARRIER COATINGS FOR CERAMIC MATRIX COMPOSITES | 2 |
Richard Lee Lane | US | Columbus | 2015-08-27 / 20150238005 - CLEANING DEVICE HAVING ONBOARD REPLACEABLE CLEANING PAD AND ONBOARD REPLACEABLE CLEANING SOLUTION | 5 |
Pierre Lane | CA | Vancouver | 2010-11-18 / 20100292580 - SEPTIC BARRIERS AND OTHER AIDS FOR AUTOFLUORESCENCE VISUALIZATION AND OTHER OPTICAL INTERROGATION | 1 |
William David Lane | CA | Summerland | 2014-02-06 / 20140041079 - GENETICALLY MODIFIED REDUCED-BROWNING FRUIT-PRODUCING PLANT AND PRODUCED FRUIT THEREOF, AND METHOD OF OBTAINING SUCH | 2 |
Steven Godfrey Lane | GB | Cambridge | 2015-07-16 / 20150197568 - Compounds | 3 |
Christopher John Leslie Lane | GB | Bristol | 2014-01-02 / 20140000369 - METHOD OF MEASURING A CRYSTALLOGRAPHIC ORIENTATION OF AN OBJECT | 1 |
John W. Lane | US | San Jose | 2014-09-18 / 20140269156 - COMPACT DEVICE FOR ENHANCING THE MIXING OF GASEOUS SPECIES | 15 |
Stephen Lane | US | Oakland | 2014-09-18 / 20140273064 - Portable Blood Count Monitor | 2 |
David Phillip Lane | GB | St. Andrews | 2013-11-21 / 20130310382 - P53 ACTIVATING COMPOUNDS | 1 |
Steven Lane | GB | Cambridge | 2014-01-02 / 20140004128 - ANTI-IL-18 ANTIBODIES AND THEIR USES | 1 |
Christopher Lane | US | Princeton | 2016-05-12 / 20160128352 - LOW 4-METHYLIMIDAZOLE CARAMEL COLOR CLASS IV PRODUCTION | 1 |
Jay E. Lane | US | Mims | 2012-05-24 / 20120125585 - CMC WALL STRUCTURE WITH INTEGRAL COOLING CHANNELS | 9 |
Jonathan Douglas Lane | GB | Berkshire | 2009-11-05 / 20090274717 - Genes and proteins, and their use | 2 |
Moody Lane | GB | Grimsby | 2009-09-03 / 20090220190 - Leak Detector Using an Optical Fibre | 1 |
Ben Lane | GB | London | 2015-03-19 / 20150076008 - BIOSENSOR DEVICE AND SYSTEM | 1 |
Sean L. Lane | US | Sykesville | 2012-06-28 / 20120164985 - System And Method To Dynamically Authenticate Mobile Devices | 5 |
Donald M. Lane | US | Lee'S Summit | 2012-11-08 / 20120281424 - ILLUMINATION LAMP WITH DUAL BEAM FUNCTIONS | 1 |
Robert M. Lane | US | Auburn | 2011-06-09 / 20110138373 - METHOD AND APPARATUS FOR GLOBALLY OPTIMIZING INSTRUCTION CODE | 1 |
Tony T. Lane | CA | Ottawa | 2014-02-13 / 20140046954 - SOFTWARE TOOL FOR CREATION AND MANAGEMENT OF DOCUMENT REFERENCE TEMPLATES | 1 |
Hsien-Yuan Lane | TW | Taichung | 2015-09-24 / 20150265559 - BENZOATES FOR USE IN TREATING DEMENTIA | 4 |
Nicholas D. Lane | CN | Beijing | 2014-06-19 / 20140170978 - MOBILE COMPUTING DEVICE APPLICATION SHARING | 1 |
George Hamilton Lane | AU | Clayton | 2014-05-08 / 20140125292 - LITHIUM ENERGY STORAGE DEVICE | 1 |
Matthew T. Lane | US | Bowling Green | 2011-06-09 / 20110135832 - METHOD AND COMPOSITION FOR REFINISH COATINGS | 1 |
Ian Richard Lane | US | Sunnyvale | 2014-05-22 / 20140142942 - UTILIZING MULTIPLE PROCESSING UNITS FOR RAPID TRAINING OF HIDDEN MARKOV MODELS | 1 |
Robert Clinton Lane | US | San Jose | 2014-07-31 / 20140212695 - FLEXIBLE PRINTED CIRCUIT AS HIGH VOLTAGE INTERCONNECT IN BATTERY MODULES | 1 |
Michael W. Lane | US | Glade Spring | 2010-07-29 / 20100187689 - SEMICONDUCTOR CHIPS INCLUDING PASSIVATION LAYER TRENCH STRUCTURE | 1 |
Alfred T. Lane | US | Los Altos | 2015-08-20 / 20150232535 - Production and Delivery of a Stable Collagen | 3 |
Rodney Paul Lane | GB | Southampton | 2015-06-25 / 20150173640 - APPARATUS FOR USE FOR PROVIDING INFORMATION ON AT LEAST ONE MUSCLE IN A PATIENT | 1 |
Malcolm D. Lane | US | Chicago | 2009-10-01 / 20090243128 - COLLECTION OF PROCESS DATA USING IN-SITU SENSORS | 1 |
Azmee Carlton Lane | JP | Tokyo | 2010-06-10 / 20100146544 - CONCURRENT INTERACTIVE TELEVISION FOR NETWORK CONNECTED DEVICES | 1 |
David E. Lane | US | Albuquerque | 2012-07-05 / 20120171074 - METHOD AND APPARATUS FOR DESTRUCTION OF BIOLOGICAL AND CHEMICAL AGENTS | 1 |
David Lane | FR | Toulouse | 2014-02-13 / 20140045262 - CONSTRUCTS AND METHOD FOR REGULATING GENE EXPRESSION OR FOR DETECTING AND CONTROLLING A DNA LOCUS IN EUKARYOTES | 1 |
Steven Lane | NL | Houten | 2011-03-31 / 20110073222 - Heat-Treatment Process for a Steel | 2 |
Heidi Lane | CH | Biel-Benken | 2012-05-24 / 20120129881 - COMBINATIONS OF THERAPEUTIC AGENTS FOR TREATING CANCER | 8 |
Rodney James Lane | AU | Castlecrag | 2010-10-14 / 20100262062 - Peripheral Access Devices and Systems | 1 |
Steven Lane | US | Porterville | 2016-02-18 / 20160048111 - METHOD OF REAL TIME IN-SITU CHAMBER CONDITION MONITORING USING SENSORS AND RF COMMUNICATION | 17 |
Lisa Lane | US | Elk Grove Village | 2016-04-28 / 20160115097 - METHODS AND APPARATUSES FOR REFORMING OF HYDROCARBONS INCLUDING RECOVERY OF PRODUCTS USING A RECOVERY ZONE AND A PRESSURE SWING ADSORPTION ZONE | 2 |
Robert Lane | AU | Brighton | 2009-12-03 / 20090295537 - VEHICLE TRANSFER PROCESS | 2 |
Mike Lane | US | Huntington Beach | 2014-04-24 / 20140109902 - Respirator-Attachable Lamp Unit | 1 |
Rodney James Lane | AU | New South Wales | 2009-10-29 / 20090270972 - ENDOVENOUS VALVE TRANSFER STENT | 1 |
Carlton Lane | JP | Tokyo | 2009-10-01 / 20090249426 - SUPPLEMENTING BROADCAST SERVICE WITH NETWORK CONTENT | 1 |
Jay E. Lane | US | Mooresville | 2014-10-23 / 20140311163 - METHOD OF MANUFACTURING A TURBOMACHINE COMPONENT, AN AIRFOIL AND A GAS TURBINE ENGINE | 9 |
Deborah Louise Lane | GB | Cambridge | 2015-03-19 / 20150079092 - BINDING MEMBERS FOR INTERLEUKIN-4 RECEPTOR ALPHA (IL-4Ra) - 173 | 4 |
Michelle T. Lane | AU | Hawthorndene | 2009-07-30 / 20090191534 - SYSTEM AND SEQUENTIAL CULTURE MEDIA FOR IN VITRO FERTILIZATION | 1 |
Geoffrey Alexander Lane | NZ | Palmerston North | 2011-10-27 / 20110262401 - GRASS ENDOPHYTES | 2 |
Majella Lane | GB | London | 2013-01-31 / 20130028986 - Pharmaceutical Compositions | 2 |
Brett Lane | US | Hinsdale | 2016-02-11 / 20160041332 - Multimode Optical Fiber and Methods of Use and Design Thereof | 10 |
Gary Lane | GB | Radlett | 2012-07-05 / 20120167781 - MILK FROTHING DEVICE | 1 |
Amzee Carlton Lane | JP | Tokyo | 2009-04-30 / 20090112915 - Class configuration for locally cached remote data binding | 1 |
Heidi Lane | CH | Basel | 2014-04-17 / 20140105895 - TREATMENT OF SOLID TUMORS WITH RAPAMYCIN DERIVATIVES | 9 |
Robert C. Lane | US | San Francisco | 2013-11-21 / 20130309908 - CONSOLIDATED POWER TIPS | 1 |
Todd Lane | US | Ballwin | 2012-12-06 / 20120310700 - SYSTEM AND METHOD FOR EVALUATING COMPLIANCE OF AN ENTITY USING ENTITY COMPLIANCE OPERATIONS | 1 |
Kerry Lane | US | West Palm Beach | 2014-04-24 / 20140112940 - MYCOTOXIN DIAGNOSTICS AND METHODS THEREOF | 1 |
Scott E. Lane | US | Rehoboth | 2014-11-06 / 20140327927 - MOBILE PRINTER NETWORKING AND INTERFACING | 2 |
Jonathan Andrew Lane | US | Amherst | 2014-02-13 / 20140044604 - ELECTROCHEMICAL CARBON MONOXIDE PRODUCTION | 4 |
Michael Joseph Lane | US | Bellevue | 2016-05-19 / 20160143170 - Graphic Formation via Material Ablation | 9 |
Marvin Lane | US | Wheeling | 2015-10-08 / 20150284138 - HINGED DUAL CHAMBER STORAGE CONTAINER | 6 |
Kimberly Terry Lane | US | Christiansburg | 2012-12-20 / 20120322797 - SELECTIVE BETA-GLUCURONIDASE INHIBITORS AS A TREATMENT FOR SIDE EFFECTS OF CAMPTOTHECIN ANTINEOPLASTIC AGENTS | 1 |
Mira Lane | US | Redmond | 2014-07-10 / 20140195521 - TABLE OF CONTENTS FOR SEARCH QUERY REFINEMENT | 8 |
Andrew B. Lane | US | Ypsilanti | 2011-12-01 / 20110291376 - Independent Rear Suspension | 1 |
Ryan Lane | US | San Diego | 2011-05-19 / 20110115064 - Hybrid Package Construction With Wire Bond And Through Silicon Vias | 1 |
Sarah L. Lane | US | Wappingers Falls | 2010-02-04 / 20100028695 - LOW k DIELECTRIC CVD FILM FORMATION PROCESS WITH IN-SITU IMBEDDED NANOLAYERS TO IMPROVE MECHANICAL PROPERTIES | 1 |
Kristine Lane | US | Duvall | 2015-02-26 / 20150058929 - SYSTEM AND METHOD FOR TRUSTED MOBILE COMMUNICATIONS | 1 |
Jonathan Andrew Lane | US | Snyder | 2009-01-29 / 20090029040 - MANUFACTURING METHOD AND CURRENT COLLECTOR | 1 |
Michael W. Lane | US | Cortlandt Manor | 2013-08-15 / 20130207263 - SEMICONDUCTOR CHIPS INCLUDING PASSIVATION LAYER TRENCH STRUCTURE | 6 |
Michael W. Lane | US | Cortland Manor | 2008-08-21 / 20080197513 - BEOL INTERCONNECT STRUCTURES WITH IMPROVED RESISTANCE TO STRESS | 1 |
Roger Michael Lane | US | New York | 2013-10-10 / 20130266633 - Transdermal Therapeutic System | 5 |
Michael J. Lane | US | Baldwinsville | 2011-07-07 / 20110165559 - Lateral flow based methods and assays for rapid and inexpensive diagnostic tests | 2 |
John Michael Lane | US | New York | 2011-05-26 / 20110125072 - Posture improvement device | 2 |
John William Lane | US | Baltimore | 2014-01-02 / 20140003226 - SYSTEM AND METHOD FOR RECOVERY OF PACKETS IN OVERLAY NETWORKS | 2 |
Michael Wayne Lane | US | Cortlandt Manor | 2009-12-10 / 20090304951 - ULTRALOW DIELECTRIC CONSTANT LAYER WITH CONTROLLED BIAXIAL STRESS | 2 |
Joseph P. Lane | US | Amherst | 2009-10-15 / 20090255295 - Double helix band with attachable ornamental elements | 1 |
Philip R. Lane | US | Pella | 2016-01-07 / 20160002989 - DRILL ROD TALLYING SYSTEM AND METHOD | 8 |
Michael Lane | US | Cortlandt Manor | 2009-02-05 / 20090035480 - STRENGTHENING OF A STRUCTURE BY INFILTRATION | 2 |
Randy Matthew Lane | CA | Langley | 2015-09-17 / 20150257878 - TRANSCATHETER MITRAL VALVE PROSTHESIS | 9 |
Ryan David Lane | US | San Diego | 2016-02-18 / 20160049349 - SYSTEMS AND METHODS FOR THERMAL DISSIPATION | 15 |
Jonathan A. Lane | US | Snyder | 2016-04-07 / 20160096150 - COMPOSITE OXYGEN ION TRANSPORT MEMBRANE | 4 |
Frank Lane | US | Easton | 2015-05-28 / 20150149042 - SYSTEM AND METHOD FOR CONFIGURING AN INTERIOR OF A VEHICLE BASED ON PREFERENCES PROVIDED WITH MULTIPLE MOBILE COMPUTING DEVICES WITHIN THE VEHICLE | 4 |
Rita A. Lane | US | San Diego | 2014-10-16 / 20140310400 - Communication Assets Survey and Mapping Tool | 1 |
Christopher David Lane | AU | Victoria | 2015-08-06 / 20150218936 - DOWN-HOLE MONITORING AND SURVEY SYSTEM | 1 |
George Hamilton Lane | AU | St. Helens | 2014-04-17 / 20140106214 - LAYERED MATERIALS WITH IMPROVED MAGNESIUM INTERCALATION FOR RECHARGEABLE MAGNESIUM ION CELLS | 6 |
Richard Anthony Lane | GB | Cambridge | 2015-09-17 / 20150261700 - INTERRUPT SIGNAL ARBITRATION | 2 |
Brett Lane | US | Hinsdale | 2016-02-11 / 20160041332 - Multimode Optical Fiber and Methods of Use and Design Thereof | 10 |
Christi Lane | US | Austin | 2014-07-24 / 20140207039 - WRIST SUPPORT DEVICE | 1 |
Richard N. Lane | US | Westford | 2015-08-13 / 20150226551 - PASSIVE ALTIMETER | 1 |
Christopher Lane | US | Brooklyn | 2015-04-16 / 20150106436 - Method and Apparatus Providing Synchronization and Control for Server-Based Multi-Screen Videoconferencing | 2 |
Benjamin Lane | US | Grafton | 2015-08-13 / 20150226830 - CHIP-SCALE STAR TRACKER | 1 |
Douglas Copeland Lane | US | Westport | 2010-02-18 / 20100042994 - Transportation of a Workspace from One Machine to Another in a Virtualized Computing Environment without Installing an Operating System | 9 |
Courtney Lane | US | Ventura | 2016-03-17 / 20160074651 - DEEP BRAIN STIMULATION CURRENT STEERING WITH SPLIT ELECTRODES | 25 |
Bruce Ray Lane | US | Tempe | 2009-07-23 / 20090183905 - Circuit board configuration | 1 |
Scott Lane | US | Gilbert | 2008-09-25 / 20080230714 - APPARATUS AND METHODS OF FORMING A GAS CLUSTER ION BEAM USING A LOW-PRESSURE SOURCE | 1 |
Michael Brian Lane | US | Niles | 2010-04-15 / 20100094530 - Method of Developing Traffic Messages | 1 |
Glenn Harold Lane | US | Chandler | 2010-03-04 / 20100050796 - HIGH LOAD LIFT AND SHOCK LINEAR ACTUATOR | 1 |
Glenn H. Lane | US | Chandler | 2010-02-04 / 20100025610 - LOW PROFILE VALVE ACTUATOR HAVING HIGH TORQUE OUTPUT | 2 |
Alan Lane | US | Prescott | 2013-05-30 / 20130134251 - Reel Lock for Passenger Restraint Having Dual Locking Positions | 2 |
Glenn Lane | US | Chandler | 2009-11-19 / 20090284089 - COMPACT, ELECTROMAGNETICALLY BRAKED ACTUATOR ASSEMBLY | 1 |
Scott Lane | US | Chandler | 2009-01-08 / 20090010814 - EFFLUENT GAS STREAM TREATMENT SYSTEM HAVING UTILITY FOR OXIDATION TREATMENT OF SEMICONDUCTOR MANUFACTURING EFFLUENT GASES | 1 |
Ken Lane | US | Cary | 2012-06-21 / 20120156652 - VIRTUAL SHOOT WALL WITH 3D SPACE AND AVATARS REACTIVE TO USER FIRE, MOTION, AND GAZE DIRECTION | 1 |
Katrina Lane | US | New York | 2015-08-20 / 20150235255 - SYSTEM AND METHOD FOR FREQUENCY BASED REWARDS | 1 |
William Allan Lane | IE | Waterfall | 2016-05-12 / 20160133816 - WAFER SCALE THERMOELECTRIC ENERGY HARVESTER HAVING INTERLEAVED, OPPOSING THERMOELECTRIC LEGS AND MANUFACTURING TECHNIQUES THEREFOR | 9 |
Dale Lane | GB | Hampshire | 2011-10-27 / 20110264709 - Capturing Image Data | 2 |
Dale Lane | GB | Eastleigh | 2008-12-25 / 20080320131 - Method, Apparatus or Software for Managing Propagation of the Performance of a Node in a Network | 1 |
Janet Lee Lane | US | Haddonfield | 2013-01-24 / 20130021607 - Method for Predicting Haze in Lubricant Base Stocks | 1 |
Troy R. Lane | US | Myrtle Beach | 2014-03-20 / 20140075770 - VISION SYSTEM | 1 |
Frank Anton Lane | US | Easton | 2016-04-14 / 20160105908 - RANDOM ACCESS PROCEDURE IN A CELLULAR INTERNET OF THINGS SYSTEM | 8 |
Thomas E. Lane | US | Spanish Fork | 2014-06-12 / 20140164504 - SYSTEM AND METHOD FOR PROVIDING CUSTOMIZABLE COMMUNICATIONS | 2 |
Laurie Kay Lane | US | Rochester | 2014-03-13 / 20140074359 - SYSTEM AND METHOD FOR ANIMAL CRASH AVOIDANCE | 1 |
Thomas Edward Lane | US | Spanish Fork | 2013-01-24 / 20130024524 - TARGETED MESSAGING SYSTEM AND METHOD | 1 |
Ian R. Lane | US | Sunnyvale | 2015-05-07 / 20150127321 - LEXICON DEVELOPMENT VIA SHARED TRANSLATION DATABASE | 3 |
William H. Lane | US | Princeton | 2014-10-09 / 20140298729 - BONDED ABRASIVE ARTICLE AND METHOD OF GRINDING | 1 |
David Phillip Lane | SG | Singapore | 2015-09-03 / 20150246946 - PEPTIDES AND METHODS FOR TREATING CANCER | 1 |
Ron Lane | US | Spring Valley | 2013-01-31 / 20130026329 - COLLAPSIBLE STAND FOR SUPPORTING A PORTABLE ELECTRONIC DEVICE | 1 |
Joseph K. Lane | US | Cambridge | 2013-01-31 / 20130026978 - POWER SUPPLY FOR DOWNHOLE INSTRUMENTS | 1 |
Nicholas Donald Atkins Lane | CN | Beijing | 2014-09-18 / 20140282425 - APPLICATION TESTING AND ANALYSIS | 2 |
Kenneth B. Lane | US | Haskell | 2014-06-19 / 20140169933 - Vertical Axis Windmill with Passive Over-Speed Protection | 1 |
Barton Lane | US | Austin | 2016-03-10 / 20160071701 - RESONANT STRUCTURE FOR A PLASMA PROCESSING SYSTEM | 8 |
Dennis Lane | US | Zionsville | 2013-02-07 / 20130032068 - AGRICULTURAL FUMIGATION USING A MULTILAYER FILM INCLUDING A PVDC VAPOR BARRIER | 1 |
Charlotte Alice Louise Lane | GB | Kent | 2008-12-18 / 20080312235 - Pyridine Derivatives | 1 |
Ben Lane | US | Hydes | 2016-02-25 / 20160051985 - SYSTEM AND METHOD FOR SERIAL PROCESSING OF MULTIPLE NUCLEIC ACID ASSAYS | 11 |
Leah Colleen Lane | US | Carlsbad | 2014-06-05 / 20140154989 - WIRELESS HANDS FREE DEVICE FOR EQUESTRIAN RIDING ACTIVITIES | 1 |
David Philip Lane | GB | St. Andrews | 2012-06-14 / 20120149778 - COMPOUNDS | 1 |
Geoff Lane | GB | Southampton | 2008-12-11 / 20080307191 - METHOD, SYSTEM AND COMPUTER PROGRAM PRODUCT FOR MANAGING THE STORAGE OF DATA | 2 |
David P. Lane | GB | London | 2012-06-14 / 20120150574 - CONTENT FEEDBACK IN A MULTIPLE-OWNER CONTENT MANAGEMENT SYSTEM | 2 |
Steven Lane | US | Orange | 2015-04-23 / 20150107767 - OVERLAY APPLICATOR, APPLICATOR MACHINE, AND CRADLE, AND METHOD OF PROVIDING AND USING THE SAME | 10 |
Jonathan Douglas Lane | GB | Surrey | 2008-10-30 / 20080269222 - Use of Delmopinol in the Treatment of Acne | 1 |
David Philip Lane | GB | Dundee | 2008-10-09 / 20080249025 - Methods and Means For Inhibition of CDK4 Activity | 1 |
Nicholas D. Lane | US | Hanover | 2011-12-22 / 20110313954 - COMMUNITY MODEL BASED POINT OF INTEREST LOCAL SEARCH | 2 |
John Lane | US | Manchester | 2015-06-11 / 20150157480 - STENT DELIVERY SYSTEM HAVING RETENTION STRUCTURE | 7 |
Lisa M. Lane | US | Elk Grove Village | 2014-10-30 / 20140323781 - APPARATUSES AND METHODS FOR REFORMING OF HYDROCARBONS | 2 |
John Lane | US | Atlantic Beach | 2015-02-05 / 20150039330 - EPISODE OF CARE BUILDER METHOD AND SYSTEM | 1 |
William C. Lane | US | The Woodlands | 2016-03-24 / 20160084063 - SMARTER SLUG FLOW CONDITIONING AND CONTROL | 3 |
Dawn Lane | US | Raceland | 2015-12-31 / 20150375987 - LARGE ANIMAL HEADGEAR INSTRUCTIONAL APPARATUS AND METHOD | 3 |
Glenn E. Lane | US | Summerfield | 2016-01-28 / 20160029467 - CHARGED PARTICLE INDUCTION FROM IONOSPHERE TO GROUND | 4 |
John A. Lane | US | Weedsport | 2015-10-01 / 20150272452 - SINGLE SITE VITALS | 51 |
Stephanie A. Lane | US | Fort Collins | 2014-05-22 / 20140137626 - VIBRATORY FLOW METER AND ZERO CHECK METHOD | 1 |
Daniel C. Lane | US | Holt | 2011-12-29 / 20110314753 - SOLAR ROOFING SYSTEM AND METHOD OF INSTALLING THE SAME | 1 |
William S. Lane | US | Palmdale | 2014-03-13 / 20140069432 - PAP SYSTEM BLOWER | 1 |
Maureen Lane | US | Mt. Vernon | 2014-05-15 / 20140134190 - BONE MARROW-DERIVED HEMATOPOIETIC PROGENITOR CELLS AND ENDOTHELIAL PROGENITOR CELLS AS PROGNOSTIC INDICATORS FOR CANCER | 1 |
Ian R. Lane | US | Moffet Field | 2015-09-10 / 20150254238 - System and Methods for Maintaining Speech-To-Speech Translation in the Field | 1 |
Scott Lane | US | New Boston | 2014-10-02 / 20140294485 - JOINT ASSEMBLY FOR CRASH TEST DUMMY | 1 |
Roger Lane | US | New York | 2014-05-15 / 20140134230 - TRANSDERMAL THERAPEUTIC SYSTEM | 1 |
Tim Alan Lane | US | Ravenna | 2014-05-15 / 20140130425 - RETROFIT ROOF SYSTEM FOR CORRUGATED AND LOW PROFILE RIBBED METAL ROOF PANELS AND CLIP THEREFOR | 1 |
Robert Charles Lane | US | Larkspur | 2015-09-17 / 20150257562 - UTENSIL CARRYING CASE | 2 |
Richard Lane | US | Southport | 2013-01-03 / 20130003981 - Calibration of Headphones to Improve Accuracy of Recorded Audio Content | 1 |
Benjamin F. Lane | US | Grafton | 2016-02-11 / 20160041265 - Star Tracker | 9 |
Harley Lane | CA | Stittsville | 2015-09-24 / 20150264872 - Plant Protector | 1 |
Randy Matthew Lane | CA | Langley | 2015-09-17 / 20150257878 - TRANSCATHETER MITRAL VALVE PROSTHESIS | 9 |
Stephen Lane | US | Shoreview | 2013-06-27 / 20130165850 - COMBINED COAXIAL AND BIMANUAL IRRIGATION/ASPIRATION APPARATUS | 2 |
Alan Lane | US | Birchwood | 2012-02-02 / 20120030115 - SYSTEMS AND METHODS FOR PREVENTING FRAUDULENT BANKING TRANSACTIONS | 2 |
Christopher Andrew Lane | CA | Waterloo | 2012-06-07 / 20120142305 - EMERGENCY COMMUNICATION USING IMAGES | 1 |
John Lane | US | Beverly Hills | / - | 1 |
Jay E. Lane | US | Mooresville | 2014-10-23 / 20140311163 - METHOD OF MANUFACTURING A TURBOMACHINE COMPONENT, AN AIRFOIL AND A GAS TURBINE ENGINE | 9 |
Jay E. Lane | US | Mims | 2012-05-24 / 20120125585 - CMC WALL STRUCTURE WITH INTEGRAL COOLING CHANNELS | 9 |
Mark Lane | US | Fresno | 2015-12-31 / 20150379820 - METHOD AND APPARATUS THAT FACILITATES POOLING LOTTERY WINNINGS VIA A RELATIONAL STRUCTURE | 2 |
Michael F. Lane | US | Mashpee | 2013-08-08 / 20130202000 - BANDWIDTH-ON-DEMAND SYSTEMS AND METHODS | 2 |
Mary E. Lane | US | Burlington | 2009-10-08 / 20090250373 - METHODS AND SYSTEMS FOR STORAGE AND FILING OF ELECTRONIC DEVICES AND RELATED ACCESSORIES | 1 |
Keith Jeffrey Lane | US | Somerville | 2014-12-11 / 20140364475 - COMPOSITIONS FOR THE TREATMENT AND PREVENTION OF EYELID SWELLING | 4 |
Benjamin Lane | US | Chelsea | 2015-03-19 / 20150080568 - COMPOUNDS USEFUL AS RAF KINASE INHIBITORS | 5 |
Eoin Lane | US | Littletown | 2009-07-30 / 20090193096 - SYSTEM AND PRODUCT FOR ROLE-BASED TAG MANAGEMENT FOR COLLABORATIVE SERVICES INTEGRATED WITHIN AN SOA | 1 |
Joseph P. Lane | US | Methuen | 2016-04-21 / 20160106838 - METHOD AND APPARATUS FOR TREATING BONE FRACTURES, AND/OR FOR FORTIFYING AND/OR AUGMENTING BONE, INCLUDING THE PROVISION AND USE OF COMPOSITE IMPLANTS | 14 |
Thomas Lane | US | San Francisco | 2014-09-04 / 20140250062 - Systems and Methods for Facilitating the Development of an Application that Accesses Data | 2 |
Roger Michael Lane | GB | Warwickshire | 2014-03-06 / 20140062143 - IN HEAVY GOODS VEHICLES | 1 |
Terran Lane | US | Somerville | 2013-12-05 / 20130326625 - INTEGRATING MULTIPLE DATA SOURCES FOR MALWARE CLASSIFICATION | 1 |
Daniel G. J. Lane | US | Salisbury | 2014-03-06 / 20140061421 - IV ORGANIZER AND LOCKING DEVICE | 1 |
Joseph P. Lane | US | Methuen | 2016-04-21 / 20160106838 - METHOD AND APPARATUS FOR TREATING BONE FRACTURES, AND/OR FOR FORTIFYING AND/OR AUGMENTING BONE, INCLUDING THE PROVISION AND USE OF COMPOSITE IMPLANTS | 14 |
David Philip Lane | SG | Singapore | 2016-03-24 / 20160083479 - MAB 2 ANTI-MET ANTIBODY | 3 |
Mark Lane | US | Honolulu | 2012-01-12 / 20120008916 - APPARATUS, SYSTEM, AND METHOD FOR AN IMPROVED VIDEO STREAM | 1 |
Amin Reza Lane | GB | Surrey | 2012-01-12 / 20120009595 - BINDING OF AGGREGATED FORMS OF PROTEINS | 1 |
Teresa Lane | US | Hallendale Beach | 2011-01-13 / 20110005544 - Hair Addition | 2 |
Neill Lane | US | Athens | 2010-12-02 / 20100299924 - Involute Foil Regenerator | 1 |
Teresa Jo Lane | US | Hallandale Beach | 2010-09-16 / 20100229883 - Partial Cap Hair Accessory | 1 |
Pamela A. Lane | US | Cooper City | 2009-08-06 / 20090194976 - Protective cover device for attachment over foot rest brackets on a wheelchair | 1 |
James D. Lane | US | Dunedin | 2014-04-17 / 20140104713 - FILTER WHEEL SYSTEM FOR COLOR CHANGING LIGHTING FIXTURES | 2 |
Glenn Lane | US | Ocala | 2011-12-29 / 20110315867 - SPATIAL SEGREGATION OF PLASMA COMPONENTS | 3 |
Patrick Lane | US | Lake Mary | 2011-04-14 / 20110083814 - CORD-OPERATED ROMAN SHADE | 2 |
Mark Lane | US | Key Largo | 2010-10-21 / 20100263389 - Dockside Ship-To-Ship Transfer of LNG | 1 |
Tom Lane | US | Boca Raton | 2008-10-09 / 20080244949 - Electronic image frame system | 1 |
Adam Lane | US | San Jose | 2013-09-12 / 20130238740 - Caching of Fragmented Streaming Media | 1 |
Jonathan A. Lane | US | Amherst | 2014-10-23 / 20140311346 - COMPOSITE OXYGEN TRANSPORT MEMBRANE | 3 |
Ryan David Lane | US | San Diego | 2016-02-18 / 20160049349 - SYSTEMS AND METHODS FOR THERMAL DISSIPATION | 15 |
Ann Lane | US | Upper Arlington | 2015-10-22 / 20150298992 - Process Water Treatment Using Liquid-Liquid Extraction Technology | 1 |
Flint A. Lane | US | Princeton Jct. | 2013-03-21 / 20130073455 - ELECTRONIC BILL PRESENTATION AND PAYMENT METHOD AND SYSTEM | 1 |
Janet Elizabeth Lane | US | San Anselmo | 2013-03-28 / 20130074958 - Control Scheme For Enhanced Filtered Water Systems | 1 |
Katrina Lane | US | Henderson | / - | 2 |
John Evan Lane | US | Simpsonville | 2014-05-08 / 20140125133 - LED-BASED EMERGENCY LIGHTING EQUIPMENT AND METHODOLOGY | 1 |
Mark James Lane | US | Norco | 2014-01-23 / 20140021144 - Filtering Device and Method | 1 |
Daniel J. Lane | US | North Potomac | 2014-09-04 / 20140249940 - ELECTRONIC AUTHORIZATION SYSTEM AND METHOD | 2 |
Philip Robert Lane | US | Pella | 2014-04-17 / 20140102799 - Dual Drive Directional Drilling System | 1 |
Steven Lane | US | Porterville | 2016-02-18 / 20160048111 - METHOD OF REAL TIME IN-SITU CHAMBER CONDITION MONITORING USING SENSORS AND RF COMMUNICATION | 17 |
Thomas Lane | US | Spanish Fork | 2014-12-04 / 20140358632 - SYSTEM AND METHOD FOR ENHANCED EVENT PARTICIPATION | 3 |
Kimberly Lane | US | Advance | 2013-12-05 / 20130324831 - NON-INVASIVE IMAGING FOR DETERMINATION OF GLOBAL TISSUE CHARACTERISTICS | 1 |
William Charles Lane | US | Houston | 2013-11-28 / 20130312977 - APPARATUSES, SYSTEMS, AND METHODS FOR FORMING IN-SITU GEL PILLS TO LIFT LIQUIDS FROM HORIZONTAL WELLS | 1 |
Brandon Scott Lane | US | Hamilton | 2014-08-07 / 20140216495 - Method of Treating Hair with Foam | 11 |
William Henry Lane | US | Chillicothe | 2009-07-23 / 20090186741 - Hybrid engine system with transient load assistance | 1 |
Miriam Lane | US | Willsboro | 2012-02-02 / 20120029493 - DUAL INJECTION TUBE CRYOCATHETER AND METHOD FOR USING SAME | 1 |
Flint Lane | US | Hamilton | 2015-11-12 / 20150324873 - SYSTEM AND METHOD FOR AUTOMATICALLY TRANSITIONING CUSTOMERS FROM ONE SERVICE TO ANOTHER SERVICE | 1 |
Marvin Lane | US | Round Lake Beach | 2013-12-05 / 20130319966 - BEVERAGE BOTTLE AND LID WITH BACK BUTTON RELEASE AND BUTTON LOCK | 11 |
Tanya Ellen Lane | US | West Springfield | 2013-12-26 / 20130346326 - PAY TO PLAY COMPLIANCE SYSTEM AND METHOD | 1 |
Philip R. Lane | US | Pella | 2016-01-07 / 20160002989 - DRILL ROD TALLYING SYSTEM AND METHOD | 8 |
Richard A. Lane | GB | Cambridge | 2013-11-07 / 20130294547 - Control Channel Demodulating and Decoding Method and Communication Apparatus Using the Same | 2 |
David M. Lane | US | Sammamish | 2014-02-20 / 20140049471 - Adjustment of an Optical Tracking Device | 11 |
Jason R. Lane | US | Fort Worth | 2016-02-04 / 20160033272 - SYSTEMS AND METHODS FOR NORTHFINDING | 1 |
William A. Lane | IE | Waterfall | 2008-08-28 / 20080202209 - Sensor | 1 |
Cameron T. Lane | US | Decatur | 2013-03-07 / 20130057053 - Braking System for an Off-Highway Machine Involving Electric Retarding Integrated with Service Brakes | 7 |
Heidi Lane | CH | Biel-Benken | 2012-05-24 / 20120129881 - COMBINATIONS OF THERAPEUTIC AGENTS FOR TREATING CANCER | 8 |
William Allan Lane | IE | Cork | 2015-11-26 / 20150340440 - BIPOLAR TRANSISTOR | 12 |
John Lane | IE | Dublin | 2015-09-24 / 20150269140 - DYNAMIC SOFTWARE LOCALIZATION | 2 |
John Gerard Lane | IE | Dublin | 2013-03-21 / 20130073955 - Dynamic Screentip Language Translation | 2 |
Kristine Kay Lane | US | Duvall | 2009-05-28 / 20090138874 - Software Maintenance Tool | 1 |
Mira Lane | US | Seattle | 2009-06-25 / 20090164266 - CATEGORY AGGREGATED OPINION DATA | 1 |
Clark E. Lane | US | Spokane Valley | 2010-03-18 / 20100070375 - Personal Information Applications, Personal Information Access Devices, and Methods of Accessing Personal Information | 1 |
Mira Lane | US | Redmond | 2014-07-10 / 20140195521 - TABLE OF CONTENTS FOR SEARCH QUERY REFINEMENT | 8 |
David K. Lane | US | Lexington | 2008-09-11 / 20080218773 - Image scaling for an electrophotographic device to obtain various media output speeds | 1 |
Jeffrey S. Lane | US | Moore | 2008-10-09 / 20080248705 - Processes for generating halamine compounds on textile substrates to produce antimicrobial finish | 1 |
Theodore P. Lane | US | Clinton | 2014-11-06 / 20140327427 - COATING DEFECT DETECTION APPARATUS AND METHOD FOR CUT-TO-LENGTH CATHETER SHAFTS | 1 |
David Michael Lane | US | Sammamish | 2008-12-18 / 20080310127 - Enhanced packaging for PC security | 1 |
Luther Williams Lane | US | Malden | 2008-10-23 / 20080258428 - Extension trailer | 1 |
John R. Lane | US | Forest | 2008-11-13 / 20080280573 - Linear RF amplifier with polar feedback | 1 |
Tracy Leonard Lane | US | Princeton | 2014-03-27 / 20140086706 - LOCKING TWIST PIN SCREEN PANEL RETAINER | 4 |
Eoin Lane | US | Littleton | 2015-03-05 / 20150067569 - Method of Using Graphical Index Maps to Provide Automated Relationship Discovery and Impact Analyses | 33 |
John Lane | US | Austin | 2008-12-11 / 20080305736 - SYSTEMS AND METHODS OF UTILIZING MULTIPLE SATELLITE TRANSPONDERS FOR DATA DISTRIBUTION | 1 |
Shawn Lane | US | Chesapeake | 2009-02-26 / 20090049603 - Examination Table | 1 |
Jeffrey Lane | US | Corvallis | 2009-03-05 / 20090058987 - Video camera calibration system and method | 2 |
Philip Lane | US | Colorado Springs | 2009-06-04 / 20090139844 - TUNABLE ELECTRICAL TRANSIENT GENERATOR FOR ELECTROMAGNETIC PULSER | 1 |
Suzannah Lane | US | Houston | 2013-05-30 / 20130137836 - Methods for Removing Polymer Skins from Reactor Walls | 1 |
Joseph Lane | US | Ridgefield | / - | 1 |
Christopher Lane | US | Shaker Heights | 2012-02-16 / 20120037544 - LATERAL DISPLACEMENT ARRAY FOR MICROFILTRATION | 1 |
Mark T. Lane | US | Franklin | 2013-08-15 / 20130212030 - METHOD OF VALUING A PATENT USING METRIC CHARACTERISTICS OF SIMILAR PATENTS GRANTED EARLIER | 5 |
Daniel A. Lane | US | Visalia | 2014-01-16 / 20140017732 - TWO-LOOP DILUTE PREPROCESSING AND PRETREATMENT OF CELLULOSIC FEEDSTOCKS | 2 |
Wiley Keith Lane | US | Kingsburg | 2013-06-06 / 20130141900 - LIGHT EMITTING UNIT FOR CONTINUOUS LIGHT PRODUCTION | 1 |
Rodney J. Lane | US | Southington | 2009-12-03 / 20090295666 - Versatile wire antenna and method | 1 |
Jennifer Dawn Lane | US | Stone Mountain | 2009-12-31 / 20090324466 - Lens care methods and kits | 3 |
David M. Lane | US | Sammamish | 2014-02-20 / 20140049471 - Adjustment of an Optical Tracking Device | 11 |
Ryan T. Lane | US | Seattle | 2011-03-31 / 20110074688 - MULTI-POSITION, MULTI-LEVEL USER INTERFACE SYSTEM | 1 |
Denise C. Lane | US | North Andover | 2012-04-26 / 20120101489 - Electrosurgical Cutting Devices | 1 |
Brett Lane | US | Westmont | / - | 1 |
Leonard D. Lane | US | Corona Del Mar | 2012-02-16 / 20120041852 - METHODS AND APPARATUS FOR DETERMINING AND USING HUMAN CAPITAL METRICS AS MEASURES OF ECONOMIC VALUE OF PERSONS TO AN ORGANIZATION | 1 |
Hugh W. Lane | US | West Lafayette | 2010-01-07 / 20100003187 - RAPID SYNTHESIS OF TERNARY, BINARY AND MULTINARY CHALCOGENIDE NANOPARTICLES | 1 |
Phillip D. Lane | US | Colorado Springs | 2010-01-14 / 20100007356 - ELECTROMAGNETIC SHIELDING DEFECT MONITORING SYSTEM AND METHOD FOR USING THE SAME | 2 |
M. Daniel Lane | US | Baltimore | 2010-01-28 / 20100022639 - Methods of Inducing Weight Loss | 1 |
Suzanne Smith Lane | US | Galesville | 2010-02-04 / 20100024734 - SLENDER MILKING HOSE SUPPORT TREE | 1 |
Richard A. Lane | US | Fort Wayne | 2013-11-07 / 20130296871 - ORTHOPAEDIC CUTTING BLOCK | 3 |
Marvin Lane | US | Round Lake Beach | 2013-12-05 / 20130319966 - BEVERAGE BOTTLE AND LID WITH BACK BUTTON RELEASE AND BUTTON LOCK | 11 |
Thomas Lane | US | Carlisle | 2015-12-10 / 20150356157 - UNIFIED MAPREDUCE FRAMEWORK FOR LARGE-SCALE DATA PROCESSING | 2 |
Corey D. Lane | US | Bridgeport | 2010-03-18 / 20100070375 - Personal Information Applications, Personal Information Access Devices, and Methods of Accessing Personal Information | 1 |
Glenn E. Lane | US | Ocala | 2014-09-18 / 20140261173 - ADJUSTABLE MASS RESOLVING APERTURE | 3 |
William H. Lane | US | Chillicothe | 2012-06-28 / 20120166066 - Engine Control System Implementing Lean Burn 6-Stroke Cycle | 2 |
Malcolm David Lane | CA | Vancouver | 2014-03-06 / 20140061962 - Detection, Monitoring, and Management of Gas Presence, Gas Flow and Gas Leaks in Composites Manufacturing | 1 |
Ben Lane | US | Phoenix | 2010-06-17 / 20100152749 - Table-mounted surgical instrument stabilizers with single-handed or voice activated maneuverability | 2 |
Ian R. Lane | US | Pittsburgh | 2010-08-26 / 20100217582 - SYSTEM AND METHODS FOR MAINTAINING SPEECH-TO-SPEECH TRANSLATION IN THE FIELD | 2 |
Richard I. Lane | US | Bend | 2010-09-30 / 20100244932 - SYSTEM AND METHOD FOR EFFICIENTLY BOOSTING DRIVE CAPABILITY FOR HIGH-VOLTAGE LINEAR POWER AMPLIFICATION | 1 |
Michael S. Lane | US | Boise | 2013-09-05 / 20130229883 - SYSTEMS, MEMORIES, AND METHODS FOR REPAIR IN OPEN DIGIT MEMORY ARCHITECTURES | 3 |
Christoper Don Lane | US | Shaker Heights | 2012-04-19 / 20120095245 - BIOFUEL PRODUCTION FROM ALGAE | 1 |
Annie Lane | US | Upper Black Eddy | 2011-03-10 / 20110057471 - Wiser visor | 1 |
Fred P. Lane | US | Wausau | 2011-03-17 / 20110066232 - BIOPROSTHETIC VALVE HOLDER AND HANDLE WITH CUTTING MECHANISM AND METHOD OF USING SAME | 1 |
Jim L. Lane | US | Duncan | 2011-08-04 / 20110190173 - ACIDIC TREATMENT FLUIDS AND ASSOCIATED METHODS | 4 |
William Roger Lane | US | Tulsa | 2011-03-24 / 20110067289 - Duck Dog | 1 |
Randall Lane | US | Lebanon | 2015-12-24 / 20150368487 - SINGLE COLOR REVERSIBLE TEMPERATURE INDICATOR | 1 |
Jon Lane | US | Des Plaines | 2015-12-24 / 20150366337 - Energy Absorbent Table | 1 |
Benjamin F. Lane | US | Grafton | 2016-02-11 / 20160041265 - Star Tracker | 9 |
Richard Lane | US | Chicago | 2015-01-15 / 20150019399 - TRADING CIRCLES | 10 |
Margaret Lane | US | Canton | 2009-01-08 / 20090012846 - COMPUTERIZED BOOK REVIEWING SYSTEM | 1 |
Michael T. Lane | US | Brooklyn | 2015-10-01 / 20150273431 - Oxygen Scavenging System For A Container | 18 |
Dennis Branin Lane | US | Dexter | 2011-03-31 / 20110076368 - Soy Beverage Substantially Free of Isoflavones and Method of Production | 1 |
Douglas Scott Lane | US | Coppell | 2011-05-05 / 20110106281 - APPARATUS AND METHOD FOR CONVEYING AUDIO SIGNALS FROM AN INPUT LOCUS TO AN OUTPUT LOCUS | 1 |
Keith P. Lane | US | Rochester Hills | 2009-10-01 / 20090243267 - CURTAIN AIRBAG | 1 |
Michael Lane | US | Glade Spring | 2011-05-05 / 20110101489 - SiCOH DIELECTRIC MATERIAL WITH IMPROVED TOUGHNESS AND IMPROVED Si-C BONDING, SEMICONDUCTOR DEVICE CONTAINING THE SAME, AND METHOD TO MAKE THE SAME | 1 |
Thomas H. Lane | US | Midland | 2010-08-05 / 20100197892 - ORGANOSILICON-FUNCTIONAL PHASE TRANSFER CATALYSTS | 2 |
John A. Lane | US | Skaneateles Falls | 2014-03-27 / 20140088446 - CONFIGURABLE VITAL SIGNS SYSTEM | 1 |
Melissa Lane | US | Lawrenceville | 2015-12-24 / 20150368521 - HEAT ACTIVATED PRESSURE SENSITIVE ADHESIVE | 1 |
Christopher M. Lane | US | New Hampton | 2012-10-25 / 20120267904 - GRAPPLE STYLE COMPRESSION LATCH | 2 |
Daniel Joseph Lane | US | North Potomac | 2011-12-22 / 20110314277 - ELECTRONIC AUTHORIZATION SYSTEM AND METHOD | 2 |
Ben Lane | US | Hydes | 2016-02-25 / 20160051985 - SYSTEM AND METHOD FOR SERIAL PROCESSING OF MULTIPLE NUCLEIC ACID ASSAYS | 11 |
Douglas Lane | US | Mckinney | 2008-09-04 / 20080215853 - System and Method for Line Rate Frame Processing Engine Using a Generic Instruction Set | 1 |
Bryan Lane | US | Houston | 2015-06-25 / 20150176341 - Tapered Spline Connection for Drill Pipe, Casing, and Tubing | 6 |
Robert Dustin Lane | US | Seattle | 2013-07-04 / 20130173022 - PROSTHETIC HYDRAULIC JOINT WITH ACCUMULATOR AND METHODS FOR CONTROLLING JOINT | 1 |
Ryan Lane | US | Rogers | 2009-02-26 / 20090053357 - Corn-based feed product | 1 |
Nancy Lane | US | Oakland | 2014-02-27 / 20140056855 - LLP2A-BISPHOSPHONATE CONJUGATES FOR OSTEOPOROSIS TREATMENT | 1 |
Christopher Allen Lane | US | Medford | 2015-09-10 / 20150252549 - Grade-Matching Utility Cover Systems | 2 |
John Evan Lane | US | Grayson | 2009-08-06 / 20090195161 - EQUIPMENT AND METHODS FOR EMERGENCY LIGHTING THAT PROVIDES BROWNOUT DETECTION AND PROTECTION | 1 |
Robert Joseph Lane | US | Moses Lake | 2012-03-22 / 20120067066 - FREEZE TUNNEL AND METHODS OF USE | 1 |
Mark E. Lane | US | Woodstock | 2009-09-24 / 20090240381 - METHOD AND APPARATUS FOR CONTROLLING POWER CONSUMPTION | 1 |
John W. Lane | US | Finksburg | 2015-06-04 / 20150151982 - MONITORED RELEASE SOLID FEED SYSTEM | 4 |
Ryan D. Lane | US | San Diego | 2014-09-11 / 20140252544 - DC/ AC DUAL FUNCTION POWER DELIVERY NETWORK (PDN) DECOUPLING CAPACITOR | 4 |
Deanna S. Lane | US | Golden Valley | 2016-03-03 / 20160058724 - BACLOFEN FORMULATIONS AND METHODS FOR MAKING SAME | 3 |
Fred Lane | US | Washington | 2010-05-13 / 20100121191 - ULTRASONIC PERIODONTAL SYSTEM AND METHOD OF USING | 1 |
George Hamilton Lane | AU | Tasmania | 2013-08-08 / 20130202973 - IONIC LIQUIDS FOR BATTERIES | 1 |
Fred Lane | US | Mosinee | 2012-12-27 / 20120324947 - Interchangeable Jewelry Bands | 5 |
William Allan Lane | IE | Cork | 2015-11-26 / 20150340440 - BIPOLAR TRANSISTOR | 12 |
Dave Lane | US | Redmond, | 2013-08-08 / 20130201156 - OPTICAL TOUCH NAVIGATION | 1 |
Rachel S. Lane | US | Bartlesville | 2016-04-28 / 20160114050 - Heparosan/Therapeutic Prodrug Complexes and Methods of Making and Using Same | 1 |
Edward M. Lane | US | Weston | 2011-04-14 / 20110086023 - COMBINATION ANTIHISTAMINE MEDICATION | 3 |
David E. Lane | US | Lawreceville | 2011-04-14 / 20110087285 - Spinous process fixation plate and minimally invasive method for placement | 1 |
Jonathan Lane | US | Reno | 2011-02-03 / 20110029966 - NON-INVASIVE AUTOMATIC OFFSITE PATCH FINGERPRINTING AND UPDATING SYSTEM AND METHOD | 1 |
David Lane | US | Easton | 2010-12-16 / 20100317986 - CAPNOGRAPHY DEVICE AND METHOD | 1 |
Laurie Lane | US | Missoula | 2010-11-25 / 20100296801 - PORTABLE STUDIO | 1 |
Nicholas Lane | US | Hanover | 2010-11-25 / 20100299615 - System And Method For Injecting Sensed Presence Into Social Networking Applications | 1 |
Jonathan R. Lane | US | Whitmore Lake | 2014-06-26 / 20140177866 - SYSTEM FOR SIMULATED MULTI-GEAR VEHICLE SOUND GENERATION | 2 |
Frank A. Lane | US | Easton | 2012-05-10 / 20120114134 - METHODS AND APPARATUS FOR CONTROL AND TRAFFIC SIGNALING IN WIRELESS MICROPHONE TRANSMISSION SYSTEMS | 3 |
Marianne Lane | US | Nashua | 2010-08-26 / 20100212272 - FILTER MEDIA SUITABLE FOR ASHRAE APPLICATIONS | 1 |
Richard H. Lane | US | Boise | 2015-07-02 / 20150187767 - SEMICONDUCTOR STRUCTURES PROVIDING ELECTRICAL ISOLATION | 5 |
John William Lane | US | San Jose | 2009-12-10 / 20090301567 - METHOD AND APPARATUS FOR DAMPENING PRESSURE FLUCTUATIONS IN A FLUID DELIVERY SYSTEM | 1 |
Heather Lane-Brown | US | Honeoye Falls | 2014-12-25 / 20140377778 - Determination of sFlt-1:Angiogenic Factor Complex | 2 |
David D. Lane, Ii | US | Atlanta | 2016-02-25 / 20160051243 - Surgical dilator, retractor and mounting pad | 1 |
Timothy A. Lane, Ii | US | Greensburg | 2014-09-18 / 20140276504 - METHODS AND APPARATUSES FOR THE DETECTION OF INCONTINENCE OR OTHER MOISTURE, METHODS OF FLUID ANALYSIS, AND MULTIFUNCTIONAL SENSOR SYSTEMS | 2 |
David E. Lane, Ii | US | Atlanta | 2015-04-02 / 20150094540 - Surgical dilator, retractor and mounting pad | 2 |
David E. Lane, Ii | US | Lawrenceville | 2012-06-14 / 20120150233 - Modular Pedicle Screw System | 8 |
David E. Lane, Ii | US | Atlanat | 2015-01-29 / 20150032158 - Percutaneous Pedicle Screw Revision System | 1 |
John F. Lane, Iii | US | Weymouth | 2009-08-13 / 20090199435 - SHOES WITH SHANK AND HEEL WRAP | 1 |
Charles E. Lane, Iii | US | Meadowbrook | 2010-01-28 / 20100021154 - TRANSPORT SYSTEM FOR MONITORING INDUSTRIAL PROCESSES | 2 |
R. F. Lane, Iii | US | Brentwood | 2011-04-28 / 20110094608 - Refillable Propane Cylinder and Valve Assembly Therefore | 1 |
Arthur W. Lane, Iii | US | Nashville | 2014-06-05 / 20140156293 - Methods and Systems for Providing a Customized Virtual Health Care Solution for a User | 4 |
Wendell Chenney Lane, Jr. | US | Romeo | 2012-05-17 / 20120119478 - Thin Linear Seatbelt Pretensioner | 2 |
Wendell C. Lane, Jr. | US | Romeo | 2011-09-15 / 20110221178 - Stamped Housing Linear Pretensioner | 2 |
Richard Truman Lane, Jr. | US | Gardnerville | 2010-04-01 / 20100082272 - USB VIBRATION DATA ACQUISITION | 1 |
Itai Lanel | GB | London | 2015-01-29 / 20150033234 - PROVIDING QUEUE BARRIERS WHEN UNSUPPORTED BY AN I/O PROTOCOL OR TARGET DEVICE | 2 |
Tor Laneryd | SE | Vasteras | 2013-05-16 / 20130120956 - High Voltage Shielding Device And A System Comprising The Same | 1 |
Gordon Lanes | GB | Sleaford | 2013-08-22 / 20130217313 - THROUGH COOLANT ADAPTOR FOR USE ON HOLLOW SPINDLE MACHINE TOOLS | 3 |
Olav Lanes | NO | Tromso | 2014-12-18 / 20140370514 - Endonucleases | 2 |
Olav Lanes | NO | Tromsø | 2014-04-03 / 20140093938 - Method of Removing Nucleic Acid Contamination in Reverse Transcription and Amplification Reactions | 1 |
Troy E. Lanes | US | Belgrade | 2015-12-10 / 20150358697 - Satellite Based Tracking and Data Device with Multi-Function Radio Frequency Interface | 2 |
Derek R. Lane-Smith | US | Westford | 2010-09-09 / 20100224769 - Method of calibrating an apparatus for measuring radon and/or its progeny in an air sample | 1 |
Eric R. Lane, Sr. | US | Romulus | 2010-03-25 / 20100073297 - SYSTEM AND METHOD FOR INCORPORATING A PERSONALIZED WRITING STYLE INTO A WORD PROGRAM | 1 |
Ronald T. Lane, Sr. | US | Redding | 2009-08-27 / 20090211644 - Instant Hot Water Delivery System | 1 |
Derek Lane-Waters | US | Rochester Hills | 2009-03-19 / 20090074532 - CARGO MANAGEMENT SYSTEM | 1 |
Aaron E. Laney | US | Broken Arrow | 2015-11-05 / 20150316207 - Composite Pressure Vessel Integrated Mandrel | 1 |
Chris Laney | US | Churubusco | 2016-02-18 / 20160049763 - Electrical Outlet Drying Boot | 1 |
Jack R. Laney | US | Clearwater | 2012-01-12 / 20120005866 - PIPE JOINT RESTRAINT SYSTEMS | 1 |
Debbie G. Laney | US | Tucson | 2012-06-14 / 20120145605 - COLLECTORS FOR FLOTATION OF MOLYBDENUM-CONTAINING ORES | 1 |
Robert Keith Laney | US | Vinemont | 2015-06-11 / 20150158535 - FIFTH WHEEL LOCKING MECHANISM | 1 |
Thomas Laney | US | Spencerport | 2015-01-15 / 20150018788 - Flexible Barrier Films Containing Cyclic Olefins | 5 |
Clifton W. Laney | US | Beaverton | 2009-02-12 / 20090044109 - Method, apparatus and system for enabling a new data processing device operating state | 1 |
Mark Anthony Laney | US | Round Rock | 2009-07-16 / 20090183024 - System Management Infrastructure for Corrective Actions to Servers with Shared Resources | 1 |
Therin G. Laney | US | Shelton | 2010-01-14 / 20100005624 - Handle assistance device for pull cord | 1 |
Therin Laney | US | Shelton | 2010-08-05 / 20100193657 - TIE DOWN ASSEMBLY FOR A VEHICLE | 2 |
Kim Laney | US | Warren | 2010-08-12 / 20100200439 - TISSUE BOX WITH SANITARY COMPARTMENT FOR USED TISSUES | 1 |
Mark C. Laney | US | Lee | 2015-05-28 / 20150143730 - MUZZLE-LOADING FIREARM WITH A MONO BLOCK AND RETAINING NUT | 19 |
Debra Laney | US | Tularosa | 2015-07-16 / 20150196068 - Body Shaping Fit System | 3 |
Charles Jeffrey Laney | US | Navarre | 2014-10-02 / 20140293650 - COMPONENT LIGHTING SYSTEM | 5 |
Thomas M. Laney | US | Spencerport | 2011-02-03 / 20110025948 - Patterned volume diffuser elements | 9 |
Mark Laney | US | St. Joseph | 2014-10-23 / 20140315803 - METHODS FOR STIMULATING HAIR GROWTH | 2 |
Robert Laney | US | Kimberly | 2014-06-05 / 20140151979 - Fifth Wheel Backup Camera System and Method | 1 |
James Joseph Laney | US | North Brookfield | 2012-08-02 / 20120192385 - DEFORMABLE LATCH FOR TIE-DOWN HOOKS | 1 |
Alan Laney | US | West Chester | 2012-11-08 / 20120281058 - Virtual Inmate Visitation | 1 |
Jared Laney | US | West Chester | 2012-11-08 / 20120281058 - Virtual Inmate Visitation | 1 |
Sean Patrick Laney | US | Trenton | 2013-06-06 / 20130142599 - AUTOMATIC PARKING STRUCTURE | 1 |
Leslie Laney | US | West Chester | 2012-11-08 / 20120281058 - Virtual Inmate Visitation | 1 |
Mark C. Laney | US | Lee | 2015-05-28 / 20150143730 - MUZZLE-LOADING FIREARM WITH A MONO BLOCK AND RETAINING NUT | 19 |
Raphaël Lanez | FR | Cluses | 2010-07-15 / 20100176576 - DEVICE FOR SECURING A FOOTWEAR TO A SLIDING BOARD | 1 |
Raphaël Lanez | FR | Cluses | 2010-07-15 / 20100176576 - DEVICE FOR SECURING A FOOTWEAR TO A SLIDING BOARD | 1 |
Raphaël Lanez | FR | Crolles | 2011-12-01 / 20110289659 - SAFETY HELMET WITH IMPROVED ADJUSTMENT | 2 |
Raphael Lanez | FR | Crolles | 2014-04-24 / 20140109297 - Unknown | 4 |
Raphaël Lanez | FR | Crolles | 2011-12-01 / 20110289659 - SAFETY HELMET WITH IMPROVED ADJUSTMENT | 2 |
Raphaël Lanez | FR | Cluses | 2010-07-15 / 20100176576 - DEVICE FOR SECURING A FOOTWEAR TO A SLIDING BOARD | 1 |
Raphaël Lanez | FR | Cluses | 2010-07-15 / 20100176576 - DEVICE FOR SECURING A FOOTWEAR TO A SLIDING BOARD | 1 |
Harold Jay Lanfear | US | Murfreesboro | 2011-09-29 / 20110232428 - MODIFIED REACTION ARM TOOL AND TOOL KIT | 1 |
Robert Benjamin Lanfear | US | Hansville | 2014-09-04 / 20140245932 - DISPATCH DESK WITH FOCAL LENGTH ADJUSTABILITY | 1 |
Dennis Lanfear | US | Portola Valley | 2013-09-19 / 20130245024 - Combination of PPARy Agonist and a Dipeptidyl Peptidase-Inhibitor for the Treatment of Diabetes and Obesity | 3 |
Robert B. Lanfear | US | Hansville | 2010-05-27 / 20100126392 - RECONFIGURABLE DESK WITH INVERTIBLE WORKING SURFACE | 1 |
Ralf Lanfermann | DE | Koeln | 2016-05-12 / 20160131543 - TORQUE-MEASURING SHAFT | 1 |
Gerd Lanfermann | DE | Aachen | 2013-12-26 / 20130342666 - ASSISTANCE SYSTEM FOR VISUALLY HANDICAPPED PERSONS | 30 |
Gerd Lanfermann | NL | Eindhoven | 2010-08-19 / 20100208045 - ASSISTANCE SYSTEM FOR VISUALLY HANDICAPPED PERSONS | 1 |
Ralf Lanfermann | DE | Aachen | 2010-07-01 / 20100162830 - Torque-measuring flange | 1 |
Franciscus Cornelis Lanfermeijer | NL | Enkhuizen | 2014-10-09 / 20140302993 - STRIGOLACTAM DERIVATIVES AS PLANT GROWTH REGULATING COMPOUNDS | 1 |
Johannes Christiaan Lanfermeijer | US | Katy | 2013-01-10 / 20130008663 - OFFSHORE HEAVY OIL PRODUCTION | 1 |
Franciscus Cornelis Lanfermeijer | NL | Bk Enkhuizen | 2016-03-03 / 20160060218 - STRIGOLACTAM DERIVATIVES AS PLANT GROWTH REGULATING COMPOUNDS | 1 |
Franciscus Lanfermeijer | NL | Enkhuizen | 2015-03-26 / 20150087508 - PLANT GROWTH REGULATING COMPOUNDS | 1 |
Frank Lanfermeijer | NL | Enkhuizen | 2014-11-13 / 20140336052 - STRIGOLACTAM DERIVATIVES AS PLANT GROWTH REGULATING COMPOUNDS | 1 |
Ephraim R. Lanford | US | Cambridge | 2015-03-26 / 20150083849 - AEROSTAT SYSTEM | 1 |
Matthew Lanford | GB | London | 2010-04-08 / 20100088207 - Method and System for Linkage of Generally Available Healthcare Accounts to Credit Card | 2 |
Laura M. Lanford | US | Chicago | 2016-01-28 / 20160026614 - METHODS AND APPARATUS FOR ANNOTATING DOCUMENTS | 1 |
Matthew L. Lanford | US | New York | 2010-01-21 / 20100017235 - METHOD AND APPARATUS FOR PROCESSING UNCERTAIN TRANSACTION AMOUNTS IN A PAYMENT SYSTEM | 1 |
Matthew Lanford | US | New York | 2016-03-10 / 20160071092 - Systems and Methods for Transferring Value to Payment Accounts | 1 |
Robert Eldon Lanford | US | Boerne | 2010-12-30 / 20100330035 - Pharmaceutical Compositions for Treatment of HCV Patients that are Poor-Responders to Interferon | 1 |
Arthur Scott Lanford | US | Chicago | 2014-07-31 / 20140213368 - EMULATOR FOR PRODUCTION SOFTWARE OUTCOME VALIDATION | 1 |
Lino Lanfranchi | IT | Collecchio (parma) | 2009-12-10 / 20090301840 - APPARATUS FOR UNSCRAMBLING AND ALIGNING BULK PLASTIC CONTAINERS | 1 |
Sarah Lanfranchi | CH | Zurich | 2008-09-11 / 20080219086 - Apparatus for the heat-exchanging and mixing treatment of fluid media | 1 |
Don Antoine Lanfranchi | FR | Sarrala Carcopino | 2012-08-23 / 20120214996 - COMPOUNDS USEFUL AGAINST KINETOPLASTIDEAE PARASITES | 1 |
Severin Lanfranchi | US | Winchester | 2014-12-04 / 20140358854 - Live Upgrade | 1 |
Vitaveska Lanfranchi | GB | Sheffield South Yorkshire | 2010-07-08 / 20100174704 - SEARCHING METHOD AND SYSTEM | 1 |
Stéphane Lanfranchi | FR | Paris | 2010-09-09 / 20100228971 - METHODS FOR BROADCASTING AND RECEIVING A SCRAMBLED MULTIMEDIA PROGRAMME, NETWORK HEAD, TERMINAL, RECEIVER AND SECURITY PROCESSOR FOR THESE METHODS | 2 |
Stéphane Lanfranchi | FR | Paris | 2012-01-12 / 20120008781 - METHOD FOR ACCESS CONTROL TO A SCRAMBLED CONTENT | 2 |
Giovanni Lanfranchi | IT | Roma | 2013-12-26 / 20130346548 - MANAGEMENT OF MOBILE DEVICES LEVERAGING LOCATION BASED COOPERATION | 2 |
Luigi Lanfranchi | IT | San Donato Milanese | 2014-11-06 / 20140326503 - SYSTEM AND METHOD OF EXECUTING AN UNDERWATER WELL DRILLING PROGRAM IN THE BED OF A BODY OF WATER, AND AUXILIARY FLOATING UNIT | 1 |
Giovanni Lanfranchi | IT | Placenza | 2008-12-11 / 20080306685 - METHOD, SYSTEM AND COMPUTER PROGRAM FOR EXPLOITING IDLE TIMES OF A NAVIGATION SYSTEM | 1 |
Luigi Lanfranchi | GB | Somerset | 2013-05-09 / 20130113529 - Signal Generator | 1 |
Lino Lanfranchi | IT | Collecchio (pr) | 2008-10-09 / 20080245826 - Method and Silo for Storage and Accumulation of Plastic Bottles or Empty Lightweight Containers | 1 |
Don Antoine Lanfranchi | FR | Paris Cedex 16 | 2015-02-26 / 20150056166 - FLAVONE DERIVATIVES AND THEIR USE | 1 |
Christophe Lanfranchi | FR | Cormeilles En Parisis | 2010-11-18 / 20100289877 - METHOD AND EQUIPMENT FOR PRODUCING AND DISPLAYING STEREOSCOPIC IMAGES WITH COLOURED FILTERS | 1 |
Marie-Caroline Lanfranchi | FR | Paris | 2009-07-02 / 20090171572 - Navigation Aid System | 1 |
Lino Lanfranchi | FR | Collecchio | 2010-02-04 / 20100025188 - PROCESS AND DEVICE FOR PICKING UP, TRANSFERRING AND HANDLING PLASTIC CONTAINER PREFORMS | 1 |
Mario Lanfranchi | IT | Collecchio (parma) | 2011-03-17 / 20110064553 - METHOD AND APPARATUS FOR PICKING UP AND CARRYING PREFORMS FROM BULK TRANSPORT | 2 |
Don Antoine Lanfranchi | FR | Sarrola Carcopino | 2014-05-01 / 20140121238 - TOTAL SYNTHESIS OF REDOX-ACTIVE 1.4-NAPHTHOQUINONES AND THEIR METABOLITES AND THEIR THERAPEUTIC USE AS ANTIMALARIAL AND SCHISTOMICIDAL AGENTS | 1 |
Christophe Lanfranchi | FR | La Frette Sur Seine | 2014-07-03 / 20140183855 - METHOD FOR PRODUCTION OF AN IRIDESCENT IMAGE, THE RESULTING IMAGE, DEVICE COMPRISING SAME AND ASSOCIATED PROGRAM | 2 |
Giovanni Lanfranchi | IT | Piacenza | 2009-06-18 / 20090158187 - COMPLEX OPERATION EXECUTION TOOL | 2 |
Lino Lanfranchi | IT | Collecchio | 2009-06-04 / 20090139836 - Apparatus and Process for Unscrambling and Aligning Bulk Plastic Containers | 3 |
Laetitia Lanfranchi | FR | Paris | 2010-03-04 / 20100054333 - VIDEO TRAFFIC BANDWIDTH PREDICTION | 1 |
Mario Lanfranchi | IT | Collecchio | 2009-01-01 / 20090000913 - Preform Unscrambling and Orienting Apparatus | 1 |
Mario Lanfranchi | IT | Collecchio Pr | 2014-04-17 / 20140102855 - ROTARY APPARATUS FOR TRANSFERRING BOTTLES OR CONTAINERS IN GENERAL | 2 |
Gianni Lanfranco | IT | Leini' | 2015-12-31 / 20150375033 - Polyvalent Apparatus For Physical Therapy | 1 |
Giovanni Lanfranco | IT | Torino | 2010-07-15 / 20100175816 - METHOD, PLANT AND APPARATUS FOR MAKING PARTS MADE OF COMPOSITE MATERIAL | 1 |
Giovanni Lanfranco | IT | Asti | 2010-08-05 / 20100193636 - METHOD OF MANUFACTURING AN INTEGRAL PROFILE MONOLITHIC WING STRUCTURE | 2 |
Francesco Lanfranco | IT | Poirino (torino) | 2012-09-06 / 20120222837 - HEAT EXCHANGER OF A VEHICLE PROVIDED WITH A PROTECTION GRID | 1 |
Enrico Lanfranco | IT | Orbassano (torino) | 2009-08-20 / 20090205617 - EGR CONTROL SYSTEM | 1 |
Claudio Lanfranco | IT | Orbassano (torino) | 2013-10-31 / 20130284133 - METHOD FOR CONTROLLING A VALVE CONTROL SYSTEM WITH VARIABLE VALVE LIFT OF AN INTERNAL COMBUSTION ENGINE BY OPERATING A COMPENSATION IN RESPONSE TO THE DEVIATION OF THE CHARACTERISTICS OF A WORKING FLUID WITH RESPECT TO NOMINAL CONDITIONS | 3 |
Aldo Lanfranconi | IT | Milano | 2013-07-18 / 20130183473 - ADHESIVE TAPE AND METHOD OF MANUFACTURING AN ADHESIVE TAPE | 1 |
Simona Lanfranconi | IT | Montano Lucino | 2014-04-17 / 20140107144 - POLYMORPH OF RIFAXIMIN AND PROCESS FOR THE PREPARATION THEREOF | 1 |
Jean-Baptiste Lanfrey | FR | La Garenne-Colombes | 2011-03-03 / 20110049290 - METHOD OF PILOTING A ROTARY-WING DRONE WITH AUTOMATIC STABILIZATION OF HOVERING FLIGHT | 1 |
Judy Lanfried | US | Torrance | 2013-03-21 / 20130071801 - INTRA-ORAL APPLIANCE AND METHODS OF USING SAME | 1 |
Judy Lanfried | US | Redondo Beach | 2014-08-07 / 20140216471 - INTRA-ORAL APPLIANCE AND METHODS OF USING SAME | 1 |
Kevin Richard Lang | US | Denver | 2011-08-04 / 20110185728 - HIGH EFFICIENCY SOLAR THERMAL RECEIVER | 2 |
Nicholas G. Lang | US | Cincinnati | 2015-08-13 / 20150229182 - PASSIVE MAGNETIC BEARINGS FOR ROTATING EQUIPMENT INCLUDING INDUCTION MACHINES | 17 |
Lauren Lang | US | Hartford | 2014-05-22 / 20140142964 - Providing Price Transparency and Contracted Rates to Dental Care Customers | 1 |
Heiner Lang | DE | Pforzheim | 2015-12-24 / 20150367473 - TOOL TURRET FOR PROCESSING WORKPIECES AND PROCESSING SYSTEM WITH THIS TYPE OF TOOL TURRET | 6 |
Robert R. Lang | US | San Diego | 2015-10-15 / 20150291268 - PADDLE ASSEMBLY | 1 |
Chi-I Lang | US | Sunnyvale | 2014-01-16 / 20140017904 - FLOWABLE FILM DIELECTRIC GAP FILL PROCESS | 8 |
Michael James Lang | GB | Huntingdon | 2011-06-02 / 20110131649 - Method and apparatus of securing data in a portable flash memory | 1 |
Gerhard Lang | CH | Gurwolf | 2013-10-17 / 20130274258 - CARBAZOLE AND CARBOLINE DERIVATIVES, AND PREPARATION AND THERAPEUTIC APPLICATIONS THEREOF | 1 |
Michelle Silverstone Lang | GB | Reading | 2015-10-15 / 20150291747 - PROCESS OF MAKING A CONTINUOUS DIELECTRIC FILM COMPRISING DISPERSED NANOPARTICLES | 1 |
Chi-I Lang | US | Sunnyvale | 2014-01-16 / 20140017904 - FLOWABLE FILM DIELECTRIC GAP FILL PROCESS | 8 |
Chi-I Lang | US | San Jose | 2009-03-05 / 20090061644 - VAPOR BASED COMBINATORIAL PROCESSING | 4 |
Todd P. Lang | US | Plymouth | 2009-01-01 / 20090001783 - VEHICLE SEAT HAVING A SIDE AIRBAG DEPLOYMENT STRAP | 1 |
Ian Dewi Lang | GB | Eastleigh | 2014-08-28 / 20140241677 - MULTIPURPOSE OPTICAL FIBRE DROP CABLE | 1 |
Joanne Lang | US | Malvern | 2011-08-25 / 20110208766 - SYSTEM AND METHOD FOR MANAGING PERSONAL INFORMATION | 1 |
Matthew H. Lang | US | Orlando | 2015-04-30 / 20150118040 - OUTER VANE SUPPORT RING INCLUDING A STRONG BACK PLATE IN A COMPRESSOR SECTION OF A GAS TURBINE ENGINE | 1 |
Franziska Lang | DE | Nidderau | 2013-08-15 / 20130205882 - PEDAL PROP FOR IMPOSING A LOAD ON A BRAKE PEDAL AND METHOD FOR CHECKING THE SEALING OF ONE OR MORE COMPONENTS OF A HYDRAULIC BRAKE SYSTEM | 1 |
Chi-I Lang | US | Cupertino | 2015-07-02 / 20150184298 - Methods and Apparatus for Combinatorial PECVD or PEALD | 61 |
Thomas E. Lang | US | Lebanon | 2009-02-26 / 20090053422 - MASKING FIXTURE FOR A COATING PROCESS | 1 |
Eric R. Lang | US | Ottumwa | 2010-05-06 / 20100111712 - HYDRAULIC PUMP CONTROL ARM AND METHOD | 1 |
William Dennis Lang | AU | Victoria | 2013-05-23 / 20130132495 - MESSAGE PROCESSING SYSTEM | 1 |
Hans-Jochen Lang | DE | Hofheim | 2010-07-01 / 20100168196 - N-Substituted (Benzoimidazol-2-yl)phenylamines, Processes for Their Preparation, Their Use as a Medicament or Diagnostic Aid, and a Medicament Comprising Them | 6 |
Hans-Jochen Lang | DE | Frankfurt Am Main | 2009-05-07 / 20090118327 - SUBSTITUTED 1-AMINO-4-PHENYL-DIHYDROISOQUINOLINES, METHODS FOR THE PRODUCTION THEREOF, USE THEREOF AS A MEDICAMENT, AND MEDICAMENTS CONTAINING THEM | 1 |
Bernd Christoph Lang | DE | Martinsried | 2012-11-15 / 20120285454 - PATIENT VENTILATION DEVICE AND COMPONENTS THEREOF | 1 |
Timothy Ralston Lang | AU | Chatswood | 2014-11-20 / 20140343002 - METHOD OF LOWERING GLYCEMIC INDEX OF FOODS | 3 |
Bernd Lang | DE | Grafelfing | 2013-08-08 / 20130199536 - BREATHING MASK FOR FEEDING A BREATHING GAS TO A MASK USER AND DISCHARGE DEVICE FOR DISCHARGING BREATHING GAS | 17 |
Yue Lang | DE | Munchen | 2012-10-18 / 20120265543 - MULTI-CHANNEL SIGNAL ENCODING AND DECODING METHOD, APPARATUS, AND SYSTEM | 3 |
Andrew W. Lang | AU | Epping | 2012-01-19 / 20120016703 - Organization Health Analysis Using Real-Time Communications Monitoring | 1 |
Tim Lang | AU | New South Wales | 2010-11-11 / 20100286376 - METHOD TO RECOVER BIOACTIVE COMPOUNDS | 1 |
Bruce A. Lang | US | Maxwell | 2014-06-26 / 20140182018 - Combinations of Cry1Ab and Cry1Fa as an insect resistance management tool | 2 |
Eric Lang | US | Mcminnville | 2009-04-23 / 20090101142 - Nasal Cannula | 2 |
Werner Lang | DE | Ergersheim | 2016-04-14 / 20160102702 - Joint Device for Pivotally Connecting a Mirror to a Vehicle | 29 |
Amy Warncke Lang | US | Tuscaloosa | 2015-01-15 / 20150017385 - PASSIVE DRAG MODIFICATION SYSTEM | 2 |
Christoph L. Lang | CH | Horgen | 2013-10-17 / 20130269682 - Process for Producing Superheated Steam from a Concentrating Solar Power Plant | 1 |
Bo Lang | CN | Beijing | 2012-07-05 / 20120173590 - SYSTEM, IMPLEMENTATION, APPLICATION, AND QUERY LANGUAGE FOR A TETRAHEDRAL DATA MODEL FOR UNSTRUCTURED DATA | 1 |
Harry C. Lang | GB | Clapham | 2012-11-29 / 20120302324 - PLAYER INCENTIVES FOR WAGERING GAME TRANSFERS | 2 |
Christopher F. Lang | US | Racine | 2016-03-17 / 20160074888 - Fluid Application System | 11 |
Diane M. Lang | US | Hope Valley | 2016-01-07 / 20160002324 - ANTI-NGF ANTIBODIES AND THEIR USE | 2 |
Jeffrey S. Lang | US | Meadville | 2014-09-18 / 20140272070 - ANIMAL FOOD AND METHOD FOR PRODUCING SAME | 2 |
Dean A. Lang | US | Wichita | 2012-07-05 / 20120173953 - METHOD TO SYNCHRONIZE A REPLACEMENT CONTROLLER'S FIRMWARE VERSION TO THE NATIVE CONFIGURATION DATABASE VERSION ON A SIMPLEX ARRAY | 1 |
Chi-L Lang | US | Cupertino | 2013-10-17 / 20130270104 - COMBINATORIAL PROCESSING USING MOSAIC SPUTTERING TARGETS | 1 |
Klaus-Peter Lang | DE | Bad-Waldsee | 2013-07-04 / 20130170926 - LOADING SYSTEM FOR LOADING BULK MATERIAL FROM A BULK MATERIAL PRODUCTION PLANT ONTO A SHIP AND UNLOADING SYSTEM FOR LOADING BULK MATERIAL FROM A SHIP ONTO TRANSPORTERS | 2 |
Richard Lang | US | Santa Rosa | 2013-02-28 / 20130054450 - Monetization of Atomized Content | 2 |
Stephen Michael Lang | US | Chicago | 2015-12-31 / 20150379545 - CAPACITY CALCULATOR | 2 |
Tobias Lang | DE | Plochingen | 2009-04-16 / 20090095696 - Shelf storage system with an input station | 1 |
Peter Lang | DE | Weissach | 2010-09-02 / 20100218742 - Brennstoffeinspritzvorrichtung (Fuel Injection Device) | 2 |
Peter Lang | CH | Sulz | 2009-10-08 / 20090252194 - METHOD FOR PASSIVE DETERMINATION OF THE OPERATING TEMPERATURE IN A THERMALLY HIGHLY LOADED DEVICE, AND APPARATUS FOR CARRYING OUT THE METHOD | 2 |
Peter Lang | DE | Bonn | 2009-08-06 / 20090193876 - Sniffer lead detector comprising a detector with a quartz window | 2 |
Peter Lang | AT | St. Magdalen | 2009-05-07 / 20090114378 - HEAT EXCHANGER AND TEMPERING CONTAINER COMPRISING A HEAT EXCHANGER | 1 |
Peter Lang | AT | Graz | 2015-02-05 / 20150034261 - DEVICE FOR ROLLING UP AND UNROLLING A MATERIAL WEB ONTO AND FROM A SHAFT | 2 |
Daniel Lang | US | Washington | 2013-09-19 / 20130239925 - Piston Having Combustion Bowl Shaped To Balance Combustion Efficiency And Emission Properties | 1 |
Darb Lang | US | Chicago | 2013-05-02 / 20130109265 - DOG DISK | 1 |
Eugene Lang | US | Chicago | 2013-05-02 / 20130109265 - DOG DISK | 1 |
Volker Lang | DE | Berlin | 2014-06-12 / 20140163892 - METHOD FOR DETERMINING IF AN IMPLANTABLE MEDICAL DEVICE IS MAGNETIC RESONANCE CONDITIONAL | 4 |
Andreas Lang | DE | Werlgesheim | 2014-05-15 / 20140136051 - INPUT DEVICE FOR A MOTOR VEHICLE | 4 |
Matthew Lang | GB | Preston | 2013-04-04 / 20130082144 - AIRCRAFT THERMAL INSULATION | 1 |
Gerard William Lang | US | Baltimore | 2009-04-30 / 20090108156 - Plastic Pegboard Assembly | 1 |
Tobias Lang | DE | Stuttgart | 2013-05-30 / 20130133408 - Ultrasonic transducer for use in a fluid medium | 20 |
Tobias Lang | DE | Herzberg | 2011-06-16 / 20110139129 - FUEL VAPOR STORAGE AND RECOVERY APPARATUS | 4 |
Tobias Lang | DE | Gieboldehausen | 2011-04-07 / 20110080159 - Method for Testing the Operation of a Heating Element Used for an Activated Carbon Filter | 1 |
Volker Lang | DE | Bonn | 2015-05-14 / 20150129548 - SWITCHING DEVICE WHICH IS SUITABLE FOR DC OPERATION | 17 |
Yue Lang | DE | Munich | 2015-12-17 / 20150361651 - HEAT-INSULATING CONSOLE FOR JOINING A FACADE TO A BUILDING WALL | 20 |
Volker Lang | US | 2011-11-03 / 20110270337 - MAINTENANCE SYSTEM FOR MAINTAINING AN ENERGY ACCUMULATOR ARRANGEMENT | 1 | |
Todd J. Lang | US | Carmel | 2014-07-24 / 20140206975 - Neural Activity Recording Apparatus and Method of Using Same | 1 |
Alexander Stanley Lang | CA | London | 2011-06-23 / 20110146139 - METHOD FOR IMPROVING THE OXIDATION STABILITY OF BIODIESEL AS MEASURED BY THE RANCIMAT TEST | 1 |
Volker Lang | DE | West Linn | 2009-05-14 / 20090125077 - BIVENTRICULAR CARDIAC STIMULATOR | 1 |
Volker Lang | US | West Linn | 2012-10-18 / 20120265087 - METHODS AND DEVICES FOR DETERMINATION OF HEART ARRHYTHMIA TYPE | 8 |
David Lang | US | Rockford | 2011-06-23 / 20110146446 - Actuator with Differential and Brake | 1 |
Daniel Lang | US | North Attleboro | 2014-12-25 / 20140378988 - SUPPORTED RETRIEVAL DEVICE AND RELATED METHODS OF USE | 5 |
Werner Jürgen Lang | DE | Ergersheim | 2015-03-26 / 20150085125 - Visual System | 1 |
Chi-I Lang | US | Cupertino | 2015-07-02 / 20150184298 - Methods and Apparatus for Combinatorial PECVD or PEALD | 61 |
Frank-Peter Lang | DE | Hattersheim | 2013-08-08 / 20130200290 - Polyester Concentrates Having High Stability In Solution And Having A Greying-Inhibiting Effect | 14 |
Brent Lang | US | Seattle | 2010-04-29 / 20100107101 - IN-DOCUMENT FLOATING OBJECT RE-ORDERING | 1 |
Craig Cameron Lang | AU | Eltham | 2014-07-03 / 20140182211 - SUPPORT FOR A ROLLING CLOSURE | 2 |
Jerome Lang | CA | Toronto | 2013-10-31 / 20130285918 - HAND-HELD ELECTRONIC DEVICE | 1 |
Frank-Peter Lang | DE | Ludwigshafen | 2013-10-31 / 20130284211 - FORMULATIONS, THEIR USE AS OR FOR PRODUCING DISHWASHING DETERGENTS AND THEIR PRODUCTION | 1 |
David V. Lang | US | Madison | 2009-06-11 / 20090148979 - FABRICATING APPARATUS WITH DOPED ORGANIC SEMICONDUCTORS | 1 |
Michael A. Lang | US | Issaquah | 2009-06-11 / 20090148817 - Management and Delivery of Embedded IDE Learning Content | 1 |
Kaith Lang | US | Cary | 2013-09-05 / 20130231011 - PLUG CONNECTOR WITH IMPROVED CONSTRUCTION | 1 |
James Lang | AU | Mudgeerega | 2008-09-18 / 20080227512 - Game of Strategy Using Trading Cards or Other Tokens | 1 |
Gunther Lang | DE | Stuttgart | 2016-05-19 / 20160140781 - METHOD AND DEVICE FOR DETERMINING A COLLISION CHARACTERISTIC OF A VEHICLE COLLISION | 9 |
Steffen Lang | DE | Simmozheim | 2011-11-17 / 20110278775 - RESIDUAL PRESSURE HOLDING VALVE AND SUSPENSION STRUT | 1 |
Tom Lang | US | New Milford | 2009-07-16 / 20090179030 - EXPANDABLE DISPLAY SYSTEM | 1 |
Kun Lang | CN | Zhejiang | 2011-11-03 / 20110267805 - HOLLOW, LIQUID COOLING AND STRIP-SHAPED LED LAMP | 1 |
William Dennis Lang | AU | Kew | 2015-12-24 / 20150372956 - MESSAGE PROCESSING SYSTEM | 2 |
Xin Pei Lang | CN | Beijing | 2016-03-17 / 20160077821 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR COLLABORATIVELY INSTALLING A COMPUTER APPLICATION | 3 |
Qi Lang | CN | Dalian | 2013-09-05 / 20130228301 - PULP MOULDED PLATE AND PREPARATION APPARATUS THEREOF | 1 |
Steven C. Lang | US | Columbus | 2016-03-03 / 20160059897 - MICROTRUSS REPLACING STRUCTURAL FOAM IN BODY STRUCTURAL APPLICATION | 12 |
Shay Lang | IL | Tel-Aviv | 2014-02-06 / 20140040610 - Splitting an SSL Connection Between Gateways | 2 |
Oliver Lang | AT | Dietach | 2015-11-05 / 20150314368 - MONITORING METHOD FOR A CONTINUOUS CASTING MOULD INCLUDING BUILDING UP A DATABASE | 1 |
Richard Lang | CA | Montreal | 2013-04-25 / 20130100667 - LIGHT BEACON | 1 |
Bardo Lang | DE | Buxheim | 2014-05-01 / 20140117754 - HV-BATTERY, IN PARTICULAR TRACTION BATTERY FOR A VEHICLE | 1 |
Jürgen Lang | DE | Karlsruhe | 2012-08-02 / 20120195804 - REACTOR AND PLANT FOR THE CONTINUOUS PREPARATION OF HIGH-PURITY SILICON TETRACHLORIDE OR HIGH-PURITY GERMANIUM TETRACHLORIDE | 1 |
Chi-I Lang | US | 2013-04-25 / 20130101749 - Method and Apparatus for Enhanced Film Uniformity | 1 | |
Marcelo Lang | US | Fairfield | 2010-01-21 / 20100015182 - COCCIDIOSIS VACCINES | 1 |
Isabel Lang | CH | Jona | 2009-01-01 / 20090000025 - FLOOR DRAIN AND SANITARY INSTALLATION WITH SUCH A FLOOR DRAIN, AND METHOD OF FITTING SUCH A SANITARY INSTALLATION | 1 |
Yanping Lang | CN | Guangdong | 2016-05-19 / 20160138877 - A TUBE FOR HEAT TRANSFER | 2 |
Steven M. Lang | US | Cary | 2012-02-02 / 20120027055 - Satellite On-Board RFI Detection | 1 |
Yue Lang | DE | Munich | 2015-12-17 / 20150361651 - HEAT-INSULATING CONSOLE FOR JOINING A FACADE TO A BUILDING WALL | 20 |
Phillip Lang | DE | Stuttgart | 2010-01-07 / 20100000708 - COOLING MECHANISM | 1 |
Helmut V. Lang | CH | Wettingen | 2008-10-23 / 20080260603 - Ozone Generator and an Electrode Thereof | 1 |
Matthew A. Lang | US | Churchville | 2014-11-13 / 20140335432 - SYSTEMS AND METHODS FOR ESTIMATING FUEL CELL STATES | 10 |
Todd Edward Lang | CA | Wellesley | 2013-08-01 / 20130194194 - ELECTRONIC DEVICE AND METHOD OF CONTROLLING A TOUCH-SENSITIVE DISPLAY | 2 |
Julien Lang | CA | Edmunston | 2013-05-09 / 20130111736 - METHOD FOR PREPARING A MATERIAL FOR STORING HYDROGEN, INCLUDING AN EXTREME PLASTIC DEFORMATION OPERATION | 1 |
Jeffrey H. Lang | US | Sudbury | 2015-12-10 / 20150357142 - ELECTROMECHANICAL DEVICE | 10 |
Thomas P. Lang | US | Great Barrington | 2010-04-01 / 20100077912 - Fighting Shield | 1 |
Christopher Lang | US | Haverhill | 2009-11-26 / 20090291368 - Carbon Foam Based Three-Dimensional Batteries and Methods | 1 |
Jeffrey Lang | US | Sudbury | 2014-01-23 / 20140021825 - NON-STATIONARY MULTI-FREQUENCY VIBRATION ENERGY HARVESTING WITH TUNABLE ELECTRICAL IMPEDANCE | 3 |
Phillip Lang | US | Lexington | 2008-11-13 / 20080281426 - Patient Selectable Joint Arthroplasty Devices and Surgical Tools | 1 |
Steffen Lang | US | Framingham | 2008-09-04 / 20080215169 - Audio System | 1 |
Dietmar Lang | GB | Liverpool | 2013-11-07 / 20130295596 - RAPID METHOD FOR TARGETED CELL (LINE) SELECTION | 1 |
Michael Lang | CA | Winnipeg | 2013-08-29 / 20130221966 - Magnetic Resonance Signal Detection Using Remotely Positioned Receive Coils | 3 |
Christopher J. Lang | US | New Tripoli | 2011-09-15 / 20110221019 - Silicon-Based Schottky Barrier Detector With Improved Responsivity | 1 |
Defeng Lang | NL | Hp Delft | 2015-11-12 / 20150323013 - FIBER SENSOR ASSEMBLY | 1 |
Christian Lang | GB | Oxon | 2016-03-31 / 20160093468 - METHOD OF REDUCING THE THICKNESS OF A TARGET SAMPLE | 1 |
Jeffrey H. Lang | US | Budbury | 2012-07-12 / 20120179301 - SYSTEM AND METHOD FOR POWER GRID MANAGEMENT | 1 |
Darin Lang | CA | Winnipeg | 2008-12-04 / 20080296450 - Jersey Display Device | 1 |
Alexander C. Lang | CA | Toronto | 2009-04-09 / 20090093240 - METHOD AND APPARATUS FOR PROVIDING EXTENDED CALL SETUP AND CONTROL FEATURES USING A SHORT MESSAGE SERVICE | 1 |
Jonathan Paul Lang | US | Santa Barbara | 2016-03-24 / 20160088037 - Indicating an Association Between a Social-Media Account and a Media Playback System | 9 |
Leslie Lloyd Leroy Lang | CA | Calgary | 2011-11-10 / 20110271490 - SYSTEM AND METHOD THAT REMOVES CONTAMINANTS FROM SULFUR | 2 |
Leslie L. Lang | CA | Calgary | 2014-08-07 / 20140217631 - SULFUR GRANULATOR SYSTEM AND METHOD | 4 |
Steffen Lang | DE | Erlangen | 2014-03-27 / 20140083592 - METHOD OF PRODUCING AN ELECTRICAL INSULATION SYSTEM FOR AN ELECTRIC MACHINE | 3 |
Juergen Erwin Lang | DE | Karlsruhe | 2016-03-03 / 20160060126 - PROCESS FOR THE PREPARATION OF HEXACHLORODISILANE BY CLEAVAGE OF HIGHER POLYCHLOROSILANES SUCH AS OCTACHLOROTRISILANE | 16 |
Jiri Lang | CZ | Prague | 2009-06-25 / 20090163625 - BITUMINOUS MIXTURES COMPRISING THERMOPLASTIC POLYMER MEMBRANES | 1 |
Zhongmin Lang | US | Parkland | 2016-05-19 / 20160142418 - Validating the Identity of an Application for Application Management | 37 |
Michael Lang | US | Bogart | 2015-03-26 / 20150089628 - System and Method for Provision of a Router / Firewall in a Network | 1 |
Andrew Lang | GB | London | 2015-06-04 / 20150151802 - BICYCLE WHEEL STORAGE UNIT | 1 |
Yongqiang Lang | CA | Ontario | 2010-02-04 / 20100025995 - CURRENT SOURCE CONVERTER-BASED WIND ENERGY SYSTEM | 1 |
Timothy Lang | CA | Waterloo | 2010-04-01 / 20100077589 - Apparatus and method for manufacturing or repairing a circuit board | 1 |
Christoph Lang | US | Cupertino | 2015-12-24 / 20150372674 - Circuit For Canceling Errors Caused By Parasitic And Device-Intrinsic Resistances In Temperature Dependent Integrated Circuits | 30 |
Yongqiang Lang | CA | Toronto | 2011-12-29 / 20110316490 - CURRENT SOURCE CONVERTER-BASED WIND ENERGY SYSTEM | 2 |
Ian Gerald Lang | CA | Almonte | 2012-05-31 / 20120135184 - REINFORCED WARP LOOP BONDED SEAM FOR AN INDUSTRIAL TEXTILE | 2 |
Yunhui Lang | CA | Markham | 2015-10-22 / 20150299203 - PYRAZOLOPYRIMIDINE COMPOUNDS | 4 |
Robert L. Lang | AU | East Lindfield | 2008-10-02 / 20080243982 - Hardware matrix computation for wireless receivers | 1 |
Burton Lang | US | Palo Alto | 2012-08-02 / 20120197656 - RADIATION THERAPY KNOWLEDGE EXCHANGE | 1 |
Jouko Lang | FI | Lieto | 2016-02-18 / 20160049295 - METHOD FOR TREATING A SUBSTRATE AND A SUBSTRATE | 2 |
Hans Jorg Lang | DE | Staudach | 2009-03-26 / 20090077786 - JOINING METHOD FOR OPERATING A FASTENING TOOL | 1 |
Robert Lang | US | Alamo | 2016-02-04 / 20160032239 - Deterministic Manufacturing Process For Creating 3D Living Tissues Based on 2D Directed Assembly And Origami Techniques | 1 |
Xin P. Lang | CN | Beijing | 2014-01-30 / 20140032613 - DYNAMIC PROPERTY DATA INTEGRATION FRAMEWORK | 2 |
Thomas Lang | DE | Germering | 2016-02-04 / 20160031023 - METHOD FOR MACHINING TOOTHED WORKPIECES, MACHINING UNIT THEREFOR AND MACHINE TOOL EQUIPPED WITH SUCH A MACHINING UNIT | 1 |
Barbara Joanne Lang | US | Palo Alto | 2012-04-12 / 20120088101 - MULTILAYER INTERLAYER POLYMER FILM FOR FIRE-SCREEN GLAZINGS AND RELATED FIRE-SCREEN PRODUCTS | 2 |
Rebecca Marie Lang | US | Copley | 2009-06-25 / 20090159016 - Animal Collar Slipcover System | 1 |
Mark Andrew Lang | US | Copley | 2009-06-25 / 20090159016 - Animal Collar Slipcover System | 1 |
Heather Gayle Lang | US | Deerfield | 2011-08-18 / 20110202481 - CONSUMER PRODUCT RECOGNITION SYSTEM | 1 |
Craig Lang | AU | Thomastown | 2013-03-14 / 20130061427 - HINGE AND METHOD OF ADJUSTMENT | 1 |
Gernot Lang | DE | Baesweiler | 2009-10-08 / 20090252612 - Blade and gas turbine | 2 |
Ming-Fei Lang | US | Duarte | 2014-03-27 / 20140088170 - DIFFERENTIALLY EXPRESSED MICRORNA MOLECULES FOR THE TREATMENT AND DIAGNOSIS OF CANCER | 1 |
Jonathan Lang | US | Santa Barbara | 2016-05-19 / 20160139874 - Audio Content Auditioning by Playback Device | 11 |
Richard A. Lang | US | Mendocino | 2015-10-22 / 20150304621 - SYSTEM AND METHOD FOR TIME-SHIFTED PROGRAM VIEWING | 3 |
Noah B. Lang | US | San Francisco | 2015-09-10 / 20150254754 - METHODS AND APPARATUSES FOR CONSUMER EVALUATION OF INSURANCE OPTIONS | 1 |
Weihong Lang | US | Niskayuna | 2015-12-24 / 20150368404 - WATER SOLUBLE SILICONE MATERIAL | 1 |
Matthew A. Lang | US | Churchville | 2014-11-13 / 20140335432 - SYSTEMS AND METHODS FOR ESTIMATING FUEL CELL STATES | 10 |
Eric G. Lang | US | Yarrow Point | 2016-01-28 / 20160028991 - PERSPECTIVE-CORRECT COMMUNICATION WINDOW WITH MOTION PARALLAX | 5 |
Scott R. Lang | US | Geneva | 2016-05-19 / 20160140822 - System and Method of Airflow Monitoring for Variable Airflow Environments | 6 |
Sabine Lang | CH | Oberengstringen | 2014-12-04 / 20140356352 - COMBINATION THERAPY OF AN AFUCOSYLATED CD20 ANTIBODY WITH A CD79b ANTIBODY-DRUG CONJUGATE | 1 |
Daniel V. Lang | US | Elk Grove Village | 2010-04-01 / 20100082869 - STACKABLE I/O MODULES APPEARING AS STANDARD USB MASS STORAGE DEVICES | 1 |
Matthew E. Lang | CA | Stratford | 2015-07-16 / 20150199062 - Wireless Devices With Touch Sensors and Solar Cells | 1 |
Nancy Valerie Lang | US | Palatine | 2011-02-03 / 20110029567 - TAG CREATION SYSTEM | 2 |
Nancy Lang | US | Palatine | 2012-11-29 / 20120303419 - SYSTEM PROVIDING AUTOMATED FEEDBACK REMINDERS | 2 |
Manuel J. Lang | CH | Zurich | 2013-01-03 / 20130002698 - VIRTUAL LENS-RENDERING FOR AUGMENTED REALITY LENS | 1 |
Nicholas G. Lang | US | Cincinnati | 2015-08-13 / 20150229182 - PASSIVE MAGNETIC BEARINGS FOR ROTATING EQUIPMENT INCLUDING INDUCTION MACHINES | 17 |
David J. Lang | US | Rockford | 2016-03-24 / 20160083080 - CONE BRAKE NO-BACK ASSEMBLY WITH GAIN REDUCTION SPRING AND METHOD | 11 |
Freddie Lang | US | Fort Lauderdale | 2013-05-23 / 20130129048 - RADIOGRAPHIC IMAGING APPARATUS WITH DISTRIBUTED ANTENNA SYSTEM | 1 |
Yaqin Lang | JP | Kawasaki-Shi | 2011-07-07 / 20110166371 - GENETICALLY MODIFIED PLANT CAPABLE OF BIOSYNTHESIZING CAPSINOID | 1 |
Jean Lang | FR | Mions | 2015-09-24 / 20150265695 - VACCINE COMPOSITIONS FOR PREVENTION AGAINST DENGUE VIRUS INFECTION | 8 |
Nathalie Lang | FR | Wisches | 2015-12-31 / 20150375648 - HEADREST FOR A VEHICLE, AND METHOD FOR PRODUCING SAME | 2 |
Gary Dee Lang | US | Naperville | 2015-01-15 / 20150017894 - SYSTEM AND METHOD FOR TREATING LIVE CARGO SUCH AS POULTRY WITH GAS | 7 |
Zhaokui Lang | CN | Dalian | 2013-09-19 / 20130239642 - PRODUCTION LINE OF LARGE DIAMETER LONGITUDINAL WELDED PIPE | 2 |
Richard Lang | US | Sebastopol | 2016-03-03 / 20160063787 - REAL TIME AND DYNAMIC VOTING | 11 |
Joan Marie Lang | US | Branford | 2008-10-02 / 20080237086 - Flexible Medical Supplies Packaging for Convenience Kits | 1 |
Eberhard Lang | DE | Stuttgart | 2008-10-30 / 20080263876 - Motor-driven saber saw with guide device | 1 |
Tobias Lang | DE | Stuttgart | 2013-05-30 / 20130133408 - Ultrasonic transducer for use in a fluid medium | 20 |
Craig M. Lang | US | Northbrook | 2014-08-21 / 20140236421 - METHODS AND APPARATUS FOR TRANSMISSION CONTROL OF A TRANSIT VEHICLE | 1 |
Julie Karen Lang | US | Wausau | 2011-08-18 / 20110202481 - CONSUMER PRODUCT RECOGNITION SYSTEM | 2 |
James Anthony Lang | US | Antigo | 2010-11-11 / 20100281597 - Partial Garment Lift/Quick-Access System | 1 |
David J. Lang | US | Rockford | 2016-03-24 / 20160083080 - CONE BRAKE NO-BACK ASSEMBLY WITH GAIN REDUCTION SPRING AND METHOD | 11 |
Christopher F. Lang | US | Racine | 2016-03-17 / 20160074888 - Fluid Application System | 11 |
Frederick John Lang | US | Neenah | 2013-11-07 / 20130292044 - COOLING SUBSTRATES WITH HYDROPHILIC CONTAINMENT LAYER AND METHOD OF MAKING | 5 |
David M. Lang | US | South Milwaukee | 2009-01-15 / 20090018718 - Method of Estimating Life Expectancy of Electric Mining Shovels Based on Cumulative Dipper Loads | 1 |
Russell W. Lang | US | Mukwonago | 2012-04-12 / 20120085331 - SYSTEMS AND METHODS OF ACCESSORY MOUNTING | 2 |
Kevin J. Lang | US | Mountain View | 2015-09-24 / 20150269122 - COMPUTATION THROUGH ARRAY DECOMPOSITION | 1 |
Duane Lang | US | Tyler | 2008-10-09 / 20080245436 - Beverage dispenser with apparatus for lifting and transferring loads | 1 |
Harold Keith Lang | US | Cary | 2016-03-17 / 20160081221 - METHOD FOR COOLING A CONNECTOR SYSTEM | 19 |
Elizabeth Lang | US | Eau Claire | 2013-06-06 / 20130143100 - CELL PHONE BATTERY SYSTEM WITH BACK-UP RESERVE | 2 |
Matthew Henry Lang | US | Appleton | 2010-12-23 / 20100323134 - Laminate with Aroma Burst | 3 |
Paul James Lang | US | Madison | 2011-08-18 / 20110202481 - CONSUMER PRODUCT RECOGNITION SYSTEM | 2 |
Ryan Lang | US | Houston | 2012-01-05 / 20120000663 - SYSTEM, APPARATUS, AND METHOD FOR MONITORING A SUBSEA FLOW DEVICE | 1 |
Jürgen Erwin Lang | DE | Karlsruhe | 2016-05-05 / 20160122194 - INTEGRATED PLANT AND METHOD FOR THE FLEXIBLE USE OF ELECTRICITY | 13 |
Charles D. Lang | US | 2011-04-07 / 20110081735 - PROCESS FOR FORMING ENCAPSULATED ELECTRONIC DEVICES | 1 | |
Brent M. Lang | US | Seattle | 2010-01-14 / 20100011308 - Text box numbering and linking visual aids | 1 |
Christian Lang | AT | Haigermoos | 2016-05-05 / 20160125661 - ELECTRONIC ACCESS AUTHORIZATION AND METHOD FOR ITS USE | 1 |
Chris Lang | US | Dublin | 2013-02-07 / 20130032619 - POWER LOCK ASSEMBLY FOR VEHICLE ROOF RACK | 2 |
Charles D. Lang | US | Goleta | 2013-10-03 / 20130256603 - LIQUID COMPOSITION FOR DEPOSITION OF ORGANIC ELECTROACTIVE MATERIALS | 10 |
Steven R. Lang | US | Bradenton | 2008-10-16 / 20080256516 - Software Factory | 1 |
Heather Gayle Lang | US | Madison | 2010-01-28 / 20100018099 - Consumer product recognition system | 1 |
Bing Lang | CN | Beijing | 2013-07-04 / 20130173603 - DYNAMIC DEFINITIVE IMAGE SERVICE | 2 |
Jürgen Erwin Lang | DE | Karlsruhe | 2012-12-20 / 20120318661 - Method for Modification of a Methane-Containing Gas Stream | 7 |
Jurgen Lang | DE | Karlsruhe | 2008-08-21 / 20080197014 - Reactor, Plant And Industrial Process For The Continuous Preparation Of High-Purity Silicon Tetrachloride or High- Purity Germanium Tetrachloride | 1 |
Juergen Erwin Lang | DE | Karlsruhe | 2016-03-03 / 20160060126 - PROCESS FOR THE PREPARATION OF HEXACHLORODISILANE BY CLEAVAGE OF HIGHER POLYCHLOROSILANES SUCH AS OCTACHLOROTRISILANE | 16 |
Weihong Lang | US | Amston | 2010-07-01 / 20100168356 - Biomedical Devices | 17 |
Juergen Lang | DE | Karlsruhe | 2009-01-22 / 20090020413 - Process and apparatus for purifying silicon tetrachloride or germanium tetrachloride containing hydrogen compounds | 1 |
Edward Lang | US | Diamond Bar | 2015-05-21 / 20150141053 - METHOD AND SYSTEM TO CONFIGURE AND UTILIZE GEOGRAPHICAL ZONES | 2 |
Tuay-Ling Kathy Lang | US | Boxborough | 2014-03-06 / 20140067358 - DETERMINING AN OPTIMAL GLOBAL QUANTUM FOR AN EVENT-DRIVEN SIMULATION | 1 |
Harry C. Lang | GB | London | 2014-05-29 / 20140148245 - GAME OF CHANCE UTILIZING SOCIAL NETWORK CONTACT ATTRIBUTES | 2 |
Anthony Edward Lang | CA | Toronto | 2015-10-01 / 20150275302 - DETERMINATION OF SINGLE NUCLEOTIDE POLYMORPHISMS USEFUL TO PREDICT RESPONSE FOR RASAGILINE | 1 |
Nancy V. Lang | US | Palatine | 2013-03-14 / 20130067351 - PERFORMANCE MANAGEMENT SYSTEM USING PERFORMANCE FEEDBACK POOL | 4 |
Jakob C. Lang | DE | Stuttgart | 2013-12-26 / 20130346797 - RESTARTING A SOFTWARE SYSTEM | 2 |
Jörg Lang | DE | Stadtsteinach | 2012-07-26 / 20120187924 - METHOD FOR CONTROLLING CURRENT CONVERTERS AND ASSEMBLY FOR PERFORMING SAID METHOD | 12 |
Jerry Lang | US | Lindale | 2015-04-16 / 20150104752 - SMOKELESS FLARE BURNER | 2 |
Jakob C. Lang | DE | Altdorf | 2016-03-31 / 20160092687 - HARDWARE SECURITY MODULE ACCESS MANAGEMENT IN A CLOUD COMPUTING ENVIRONMENT | 13 |
Jakob C. Lang | DE | Boeblingen | 2016-05-12 / 20160134584 - DYNAMICALLY ASSIGNING NETWORK ADDRESSES | 7 |
Ashley Lang | GI | Gibraltar | 2015-08-27 / 20150243132 - METHOD AND SYSTEM FOR PROVIDING GAMBLING GAMES | 2 |
Howard L. Lang | US | Wayside | 2010-07-01 / 20100165837 - METHOD AND APPARATUS FOR TESTING BACKUP SITES IN A VOICE OVER INTERNET PROTOCOL NETWORK | 1 |
John C. Lang | US | Cedar Hill | 2011-05-12 / 20110111055 - NUTRITIONAL SUPPLEMENTS FOR RELIEF OF DRY EYE | 2 |
Bill Lang | US | Clearwater | 2010-06-17 / 20100147232 - SYSTEM AND METHOD FOR IMPROVING FUEL ECONOMY IN COMBUSTION ENGINES | 1 |
Teemu Lang | FI | Helsinki | 2012-03-15 / 20120064700 - SEMICONDUCTOR SUBSTRATE, SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING A SEMICONDUCTOR SUBSTRATE | 3 |
Douglas J. Lang | US | Arden Hills | 2014-11-27 / 20140350619 - SYSTEM AND METHOD FOR DETECTION ENHANCEMENT PROGRAMMING | 5 |
Tom Lang | US | Clearwater | 2010-06-17 / 20100147232 - SYSTEM AND METHOD FOR IMPROVING FUEL ECONOMY IN COMBUSTION ENGINES | 1 |
Eric Ryan Lang | US | Donnellson | 2010-05-13 / 20100115902 - Tractor And Baler Interaction System | 3 |
Eric Ryan Lang | US | Ottumwa | 2010-05-06 / 20100107588 - Agricultural Baler | 1 |
Robert Bruce Lang | AU | Mulgrave | 2009-12-24 / 20090315669 - SAFETY SYSTEM | 1 |
Aurelie Lang | FR | Gex | 2014-04-10 / 20140100285 - COMPOSITIONS OF PERCUTANEOUS ADMINISTRATION OF PHYSIOLOGICALLY ACTIVE AGENTS | 1 |
Tobias Lang | DE | Kirchberg | 2014-11-27 / 20140351193 - METHOD AND DEVICE FOR POST-ADAPTION OF A DATA-BASED FUNCTION MODEL | 4 |
Ping Lang | US | Ithaca | 2014-10-02 / 20140298537 - Crop Resistance to Nematodes | 2 |
Kent Lang | US | Denver | 2014-10-02 / 20140291499 - MINING SYSTEMS AND METHODS | 2 |
Martin Lang | DE | Planegg | 2016-03-10 / 20160068689 - Composition Forming an Insulating Layer and Use of Said Composition | 2 |
Bernd Christoph Lang | DE | Graefelfing | 2016-01-07 / 20160001029 - SEAL FORMING PORTION, PAD AND CUSHION FOR A PATIENT INTERFACE AND METHOD OF MANUFACTURING | 14 |
Yvonne Lang | IE | Sligo Town | 2014-01-02 / 20140004251 - HOLLOW BIODEGRADABLE NANOSPHERES AND NANOSHELLS FOR DELIVERY OF THERAPEUTIC AND/OR IMAGING MOLECULES | 2 |
Georg Lang | DE | Ludwigshafen | 2015-06-25 / 20150181045 - FLEXIBILE EVENT RATING | 4 |
Georg Lang | DE | Triefenstein | 2013-09-19 / 20130240606 - TOOL FOR ULTRASONIC WELDING DEVICE | 3 |
Philip C. Lang | US | Toms River | 2008-10-23 / 20080261939 - STANNSOPORFIN COMPOSITIONS AND ADMINISTRATION | 1 |
Georg Lang | DE | Tiefenstein | 2013-11-14 / 20130299558 - Device for Torsionally Welding Metal Parts By Means Of Ultrasound | 2 |
Neven Lang | DE | Mannheim | 2010-12-23 / 20100324311 - METHOD FOR THE PRODUCTION OF CRUDE TRIOXANE | 12 |
Amy W. Lang | US | Tuscaloosa | 2010-05-06 / 20100108813 - PASSIVE DRAG MODIFICATION SYSTEM | 1 |
Georg Lang | DE | Wittlingen | 2009-02-19 / 20090044347 - Process for Finishing Textiles | 1 |
Georg Lang | DE | Ludwigshafen Am Rhein | 2015-12-03 / 20150350458 - CREATING RATING REQUESTS FOR GROUPS OF CONSUMPTION ITEMS | 3 |
Alois Bernhardt Lang | CH | Bern Switzerland | 2014-08-07 / 20140220026 - THERAPEUTIC USE OF SPECIFIC LIGAND IN MSRV ASSOCIATED DISEASES | 1 |
Russell F. Lang | US | Pembroke Pines | 2012-09-13 / 20120232290 - REACTIVITY OF HYDROXYMETHYLGLUTARYL COENZYME A (HMG-COA) REDUCTASE INHIBITORS CONTAINING CONJUGATED DIENES WITH PHENOLIC ANTIOXIDANTS IN THE SOLID-STATE | 2 |
Klaus Lang | DE | Klingenberg | 2012-07-19 / 20120180460 - Exhaust-Gas Aftertreatment Device | 2 |
John Lang | US | Cedar Hill | 2008-12-18 / 20080312128 - Cellular Biomarker Antioxidant Assay and Uses Thereof | 1 |
Martin Lang | DE | Munchen | 2015-06-04 / 20150151963 - PROCESS AND APPARATUS FOR PRODUCING SYNTHESIS GAS | 2 |
Klaus Lang | DE | Beuerberg | 2015-12-24 / 20150369369 - MECHANICAL SEAL ARRANGEMENT HAVING AN IMPROVED SECONDARY SEAL | 4 |
Klaus Lang | DE | Eurasburg | 2016-03-24 / 20160084383 - SLIDE RING SEAL | 3 |
Xianxin Lang | HK | Hong Kong | 2014-01-02 / 20140001414 - HIGH PERFORMANCE DIE ATTACH ADHESIVES (DAAs) NANOMATERIALS FOR HIGH BRIGHTNESS LED | 1 |
Gary D. Lang | US | Naperville | 2015-10-22 / 20150296859 - METHOD AND APPARATUS FOR PRODUCING FROZEN FOOD PRODUCTS | 6 |
Klaus Lang | DE | Stuttgart | 2016-03-10 / 20160069315 - HOLDER FOR FASTENING A FUEL DISTRIBUTOR TO AN INTERNAL COMBUSTION | 5 |
Yuewu Lang | CN | Suzhou | 2015-10-08 / 20150283246 - Amphiphilic Block Copolymer And Preparation Method Thereof And Micellar Drug-Loading System Formed By Same With Antitumor Drug | 1 |
Kurt Lang | DE | Penzberg | 2015-04-09 / 20150099699 - CONJUGATES OF INSULIN-LIKE GROWTH FACTOR-1 AND POLY(ETHYLENE GLYCOL) | 11 |
Alexander S. Lang | CA | London | 2015-07-02 / 20150184101 - METHODS OF INHIBITING PRECIPITATION OF BIODIESEL FUEL COMPONENTS | 1 |
Erich Lang | AT | Greinsfurth | 2014-07-17 / 20140196402 - DEVICE FOR INSERTING DISTANCING CORDS | 1 |
Bradley T. Lang | US | Cleveland | 2015-12-24 / 20150366949 - COMPOSITIONS AND METHODS FOR INIHIBITING THE ACTIVITY OF LAR FAMILY PHOSPHATASES | 1 |
Annie Lang | FR | Wahlenheim | 2011-08-18 / 20110201559 - New Optically Pure Compounds for Improved Therapeutic Efficiency | 1 |
Erin Lang | US | Gotha | 2015-05-07 / 20150121603 - CHILDREN'S GARMENT HAVING LOWER BODY OPENING | 1 |
Burrhus Lang | AT | Innsbruck | 2013-10-31 / 20130289376 - MEDICAL ELECTRODE WITH PRINTED SHIELDED FEED LINE | 2 |
Christopher Lang | GB | Nottingham | 2016-02-11 / 20160040455 - POINT-OF-CARE HAND HYGIENE DISPENSER HAVING SECURITY FEATURES | 2 |
John Lang | US | Florence | 2012-06-28 / 20120165526 - Useful Pharmaceutical Salts of 7- [(3R, 4R) - 3 - Hydroxy -4 - Hydroxymethyl - Pyrrolidin -1- Ylmethyl] -3, 5-Dihydro-Pyrrolo [3, 2-D] Pyrimidin -4-One | 1 |
Hans Lang | CH | Buchs | 2013-10-03 / 20130261604 - CATHETER SHAFT COMPRISING WELDED TUBES | 6 |
Anton Lang | AT | Vienna | 2013-12-05 / 20130323407 - METHOD FOR COATING WITH AN EVAPORATION MATERIAL | 2 |
Martin Lang | AT | Hausleiten | 2012-01-05 / 20120004832 - METHOD AND DEVICE FOR OPERATING A DRIVE UNIT | 2 |
Bernd Christoph Lang | DE | Grafelfing | 2016-04-07 / 20160095999 - APPARATUS FOR HUMIDIFYING A RESPIRATORY GAS | 15 |
Bernd Christoph Lang | DE | Graefelfing | 2016-01-07 / 20160001029 - SEAL FORMING PORTION, PAD AND CUSHION FOR A PATIENT INTERFACE AND METHOD OF MANUFACTURING | 14 |
Bernd Christoph Lang | DE | Gräfelfing | 2010-04-29 / 20100101581 - HOLLOW STRUCTURE AND METHOD/TOOL FOR MANUFACTURING SAME | 1 |
Jürgen Lang | DE | Ludwigsburg | 2015-07-30 / 20150214699 - MOUNTING SYSTEM FOR ARRANGING ELECTRIC DEVICES, FOR EXAMPLE, ESPECIALLY IN SWITCHGEAR CABINETS | 5 |
Thomas Lang | DE | Weilheim An Der Teck | 2009-12-17 / 20090311696 - POLYMORPHISMS IN THE HUMAN CYP2B6 GENE AND THEIR USE IN DIAGNOSTIC AND THERAPEUTIC APPLICATIONS | 1 |
David Lang | DE | Tuebingen | 2016-03-10 / 20160070574 - REGISTER FILES FOR STORING DATA OPERATED ON BY INSTRUCTIONS OF MULTIPLE WIDTHS | 5 |
Jürgen Lang | DE | Bergisch Gladbach | 2010-07-15 / 20100179685 - METHOD, APPARATUS AND LOGISTICS SYSTEM FOR CARRYING A MAIL DISPATCH | 5 |
David Lang | US | Woodstock | 2015-08-20 / 20150231301 - METHOD OF TREATMENT OF DISSECTED LYMPH NODE AND/OR VESSEL | 1 |
William J. Lang | US | Rockwall | 2016-01-07 / 20160002919 - METHOD AND SYSTEM FOR IMPROVED CURTAIN WALL SEALING | 2 |
Keith Lang | US | Austin | 2015-08-27 / 20150242116 - CREATION AND MANIPULATION OF HAND DRAWN OBJECTS WITH AUTOMATIC GROUPING | 3 |
Frederick Lang | US | Houston | 2014-12-25 / 20140377221 - BIOMARKERS AND COMBINATION THERAPIES USING ONCOLYTIC VIRUS AND IMMUNOMODULATION | 1 |
Bernd Christoph Lang | DE | Grafelfing | 2016-04-07 / 20160095999 - APPARATUS FOR HUMIDIFYING A RESPIRATORY GAS | 15 |
Erwin Lang | DE | Regensburg | 2016-03-17 / 20160079536 - Radiation-Emitting Organic-Electronic Device and Method for Producing a Radiation-Emitting Organic-Electronic Device | 27 |
Dimitrij A. Lang | US | Bedford | 2012-09-20 / 20120239482 - CUSTOMER AWARENESS PLATFORM | 3 |
Karl Friedrich Lang | DE | Bad Hersfeld | 2013-03-14 / 20130061493 - METHOD AND DEVICE FOR THE AIR-BASED SOLAR THERMAL GENERATION OF PROCESS HEAT | 1 |
Jonathan P. Lang | US | Santa Barbara | 2016-03-17 / 20160080866 - Playback Device Volume Management | 17 |
Jürgen Lang | DE | Ludwigsburg | 2011-03-03 / 20110051333 - Device for fastening and electrically wiring a plurality of electrical units, particularly in a switching cabinet | 2 |
David Lang | US | Savannah | 2015-04-30 / 20150118150 - AEI ZEOLITE SYNTHESIS | 1 |
Jörg Lang | DE | Stadtsteinach | 2014-02-20 / 20140049110 - MATRIX CONVERTER AND METHOD FOR GENERATING AN AC VOLTAGE IN A SECOND AC VOLTAGE GRID FROM AN AC VOLTAGE IN A FIRST AC VOLTAGE GRID BY MEANS OF A MATRIX CONVERTER | 11 |
Tim Lang | AU | Sydney | 2014-11-20 / 20140343263 - METHOD TO RECOVER BIOACTIVE COMPOUNDS | 1 |
Wesley Martin Lang | US | Kaysville | 2011-09-22 / 20110226631 - SYSTEM AND METHOD FOR GENERATING HYDROGEN USING SULFUR AS A CONSUMABLE FUEL | 1 |
Ingo Lang | DE | Ingelheim Am Rhein | 2015-11-05 / 20150313918 - CICLESONIDE FOR THE TREATMENT OF AIRWAY DISEASE IN HORSES | 9 |
David Lang | US | Vashon | 2015-02-05 / 20150039161 - Tethered Gyroglider Control Systems | 1 |
Jürgen Lang | DE | Bergisch Gladbach | 2011-08-11 / 20110192904 - METHOD FOR IDENTIFYING A CODE APPLIED TO A POSTAL ITEM, DEVICE FOR CARRYING OUT SAID METHOD AND METHOD FOR PROVIDING THE POSTAL ITEM WITH THE MACHINE-READABLE CODE | 4 |
Yvonne Lang | US | Chicago | 2012-12-20 / 20120322091 - PHARMACOLOGIC METHOD OF LOWERING CHOLESTEROL PRODUCTION | 1 |
Mattias Lang | DE | Ubstadt-Weiher | 2011-08-11 / 20110192578 - VEHICLE COOLING SYSTEM | 2 |
Edwin Lang | DE | Rain | 2010-07-01 / 20100162758 - DEVICE AND METHOD FOR SEVERING A CONTINUOUS GLASS STRIP | 1 |
Richard Lang | US | Indian Hill | 2014-07-03 / 20140187998 - DEVICES AND TREATMENT METHODS FOR VASCULAR EYE DISEASES | 1 |
Raegen Ann-Marie Lang | US | Fairview Heights | 2014-04-24 / 20140114848 - MERCHANT DATA CLEANSING IN CLEARING RECORD | 2 |
Jakob C. Lang | DE | Altdorf | 2016-03-31 / 20160092687 - HARDWARE SECURITY MODULE ACCESS MANAGEMENT IN A CLOUD COMPUTING ENVIRONMENT | 13 |
Andreas Lang | DE | Augsburg | 2016-02-25 / 20160053149 - READY-TO-USE HOT MELT ADHESIVE HAVING AN IMPROVED PROPERTY PROFILE | 2 |
Katey A. Lang | US | New York | 2010-05-06 / 20100111896 - Items Containing A Human Pheromone Component | 1 |
Richard John Lang | US | Oconomowoc | 2015-07-23 / 20150203137 - Cart with Movable Cradle | 1 |
James Lang | US | Slingerlands | 2013-01-17 / 20130018850 - System And Method For Product Customization Synchronization | 1 |
Martin Lang | DE | Wessobrunn | 2015-09-17 / 20150261207 - METHOD FOR SETTING AND/OR MONITORING OPERATING PARAMETERS OF A WORKPIECE PROCESSING MACHINE | 2 |
Klaus Lang | DE | Berlin | 2009-12-24 / 20090315736 - STAND-ALONE MINIATURISED COMMUNICATION MODULE | 1 |
Kurt-Jürgen Lang | DE | Regen | 2010-09-02 / 20100220046 - Illumination Device | 2 |
Kurt-Jürgen Lang | DE | Regen | 2010-09-02 / 20100220046 - Illumination Device | 1 |
Christian A. Lang | US | New York | 2016-02-11 / 20160042037 - QUERY-AWARE COMPRESSION OF JOIN RESULTS | 11 |
Klaus-Dieter Lang | DE | Berlin | 2010-02-04 / 20100025453 - METHOD AND DEVICE FOR CONTROLLING THE GENERATION OF ULTRASONIC WIRE BONDS | 1 |
Elvira V. Lang | US | Brookline | 2015-09-03 / 20150248270 - SELF SEDATION AND SUGGESTION SYSTEM | 1 |
Jochen Lang | FR | Bordeaux | 2013-01-31 / 20130030271 - SENSOR FOR MEASURING THE ACTIVITY OF BETA-PANCREATIC CELLS OR OF ISLETS OF LANGERHANS, MANUFACTURE AND USE OF SUCH A SENSOR | 1 |
Ingo Lang | DE | Mainz | 2008-11-13 / 20080280840 - MELOXICAM FOR THE TREATMENT OF RESPIRATORY DISEASES IN PIGS | 1 |
Matthias Lang | DE | Mogglingen | 2012-05-10 / 20120112089 - Aperture unit for a particle beam device | 1 |
Jeffrey H. Lang | US | Sudbury | 2015-12-10 / 20150357142 - ELECTROMECHANICAL DEVICE | 10 |
Ingo Lang | DE | Ingelheim Am Rhein | 2015-11-05 / 20150313918 - CICLESONIDE FOR THE TREATMENT OF AIRWAY DISEASE IN HORSES | 9 |
Ingo Lang | DE | Ingelheim | 2010-07-29 / 20100190805 - PREPARATION FOR THE TREATMENT OF EQUINE LAMINITIS | 1 |
Joseph Herman Lang | US | Webster | 2011-09-22 / 20110228024 - Inkjet Printing Apparatus | 5 |
Philipp Lang | US | Lexington | 2016-03-17 / 20160074124 - Patient Selectable Joint Arthroplasty Devices and Surgical Tools | 164 |
Christine Lang | DE | Berlin | 2016-01-28 / 20160024459 - NOVEL LACTOBACILLUS STRAINS AND THE USES THEREOF | 30 |
Albert J. Lang | US | Landing | 2008-09-04 / 20080209686 - Furniture glide protective devices | 1 |
Richard John Lang | GB | Leeds | 2015-02-12 / 20150041914 - MATCHING TECHNIQUES FOR WIDE-BANDGAP POWER TRANSISTORS | 1 |
Karl Lang | US | Madison | 2012-04-19 / 20120092701 - DIAGNOSTIC TARGETS FOR EVALUATING PRINTING PERFORMANCE | 1 |
Bill Lang | US | Babylon | 2009-09-24 / 20090240530 - METHOD FOR SELLING MARINE CARGO INSURANCE IN A NETWORK ENVIRONMENT | 1 |
Jörg Lang | DE | Stadtsteinach | 2012-07-26 / 20120187924 - METHOD FOR CONTROLLING CURRENT CONVERTERS AND ASSEMBLY FOR PERFORMING SAID METHOD | 12 |
Joseph H. Lang | US | Webster | 2013-11-21 / 20130307909 - Solid Ink Printer with Magnetic Ink Mixing | 4 |
Michael Lang | DE | Buching | 2014-05-22 / 20140137510 - SEPARATION SYSTEM AND METHOD FOR A PACKAGING FACILITY | 6 |
Andrew K. Lang | US | New York | 2015-07-02 / 20150189024 - IMPLEMENTATIONS OF COLLABORATIVE BANDWIDTH SHARING | 11 |
Jacqueline Lang | DE | Berlin | 2010-12-09 / 20100311900 - THERMALLY STABLE MATRIX MICROPARTICLES AND MICROCAPSULES FOR POLYMER ADDITIZATION AND PROCESS FOR THEIR PRODUCTION | 1 |
Michael Lang | DE | Edingen-Neckarhausen | 2008-12-25 / 20080319168 - Method for Coating Surfaces with Hydrophobins | 1 |
Michael Lang | DE | Halblech (buching) | 2013-02-14 / 20130037391 - TIGHTENER | 2 |
Richard Lang | CA | Lasalle | 2014-02-13 / 20140043813 - LIGHT DISPERSION DEVICE | 1 |
Michael Lang | AT | Graz | 2010-04-08 / 20100083659 - Reciprocating Expansion Engine and Piston of a Reciprocating Expansion Engine | 1 |
Thomas Lang | DE | Wolfsburg | 2012-03-15 / 20120060499 - Internal combustion engine with an exhaust-gas recirculation and method for operating an internal combustion engine | 2 |
Kevin W. Lang | US | Lloyd Neck | 2016-01-07 / 20160000111 - Delivery of Active Agents Using a Chocolate Vehicle | 27 |
Patrick Lang | US | Pittsford | 2010-11-11 / 20100284439 - SIGNAL JAMMING AVOIDANCE METHOD FOR A FREQUENCY HOPPING COMMUNICATION SYSTEM | 1 |
Nora Lang | DE | Bernhardstrasse 4 | 2014-11-27 / 20140348896 - Hydrophobic Tissue Adhesives | 1 |
Matthias Lang | DE | Roden | 2010-12-02 / 20100300388 - Vane-type camshaft adjuster system | 1 |
Oliver Lang | DE | Berlin | 2008-12-25 / 20080315825 - Self-Sustained Current Supply Device For Mobile Small Appliances | 1 |
Dieter Lang | DE | Velbert | 2016-05-05 / 20160122325 - Hydroxyalkyl-substituted phenyltriazole derivatives and uses thereof | 44 |
Joseph Anthony Lang | US | Edwards | 2009-10-29 / 20090271151 - Machine data acquisition system with data compression | 1 |
Volker Lang | DE | Bonn | 2015-05-14 / 20150129548 - SWITCHING DEVICE WHICH IS SUITABLE FOR DC OPERATION | 17 |
Kevin W. Lang | US | Lloyd Neck | 2016-01-07 / 20160000111 - Delivery of Active Agents Using a Chocolate Vehicle | 27 |
Gregory J. Lang | US | South Ogden | 2009-12-03 / 20090295132 - Filter for airbag inflator using variable expanded metal | 2 |
Jonathan P. Lang | US | Santa Barbara | 2016-03-17 / 20160080866 - Playback Device Volume Management | 17 |
Christian A. Lang | US | New York | 2016-02-11 / 20160042037 - QUERY-AWARE COMPRESSION OF JOIN RESULTS | 11 |
Martin Lang | DE | Munich | 2015-12-31 / 20150375158 - INCREASED ONSTREAM TIME FOR CRYOGENIC SEPARATION PROCESSES | 2 |
Matthias Lang | DE | Moegglingen | 2011-04-07 / 20110079711 - Particle beam microscopy system and method for operating the same | 1 |
Andrew K. Lang | US | New York | 2015-07-02 / 20150189024 - IMPLEMENTATIONS OF COLLABORATIVE BANDWIDTH SHARING | 11 |
Marcus Lang | AT | Graz | 2015-04-23 / 20150107347 - METHOD FOR DAMPING VIBRATIONS | 1 |
Christian Alexander Lang | US | New York | 2009-07-09 / 20090178059 - METHOD AND SYSTEM FOR PROVIDING CONSISTENCY IN PROCESSING DATA STREAMS | 1 |
Martin Lang | DE | Wegscheid | 2015-09-10 / 20150251710 - LOCKING MECHANISM | 1 |
Matthias Lang | DE | Mannheim | 2010-02-18 / 20100038189 - Arresting Brake For Arresting At Least One Rotatably Mounted Component With Respect To A Housing | 1 |
Serge Lang | CA | Valcourt | 2014-05-29 / 20140143952 - Emergency Stop (Lockout) System For Patient Hoists/Lifts | 1 |
Heiner Lang | DE | Esslingen | 2015-02-05 / 20150037110 - TOOL SPINDLE AND MACHINING TOOL AND METHOD FOR MACHINING WORKPIECES | 1 |
George Lang | US | East Northport | 2008-11-06 / 20080275829 - SYSTEM AND METHOD FOR OBFUSCATION OF DATA ACROSS AN ENTERPRISE | 1 |
Raegen A. Lang | US | Fairview Heights | 2010-07-29 / 20100191594 - SYSTEMS AND METHODS FOR REWARD TRANSACTION MATCHING AND SETTLEMENT | 1 |
Matthias Lang | DE | Munich | 2014-01-16 / 20140017099 - TURBOCHARGER SYSTEM WITH REDUCED THRUST LOAD | 2 |
Matthias Lang | DE | Berching | 2013-06-06 / 20130142353 - Vehicle with Sound Wave Reflector | 3 |
Meng Lang | CN | Beijing | 2015-02-05 / 20150036154 - METHODS AND APPARATUSES FOR DISPLAYING TEXT BLOCK AND PROCESSING TEXT BLOCK | 1 |
Scott Lang | US | Geneva | 2010-10-28 / 20100271219 - Variable Air Speed Aspirating Smoke Detector | 1 |
Stanley Lang | US | Concord Twp. | 2015-04-02 / 20150094284 - S-TRIAZOLYL ALPHA-MERCAPTO ACETANILIDES AS INHIBITORS OF HIV REVERSE TRANSCRIPTASE | 3 |
Matthias Lang | DE | Roden-Ansbach | 2016-01-28 / 20160024976 - ACTUATOR FOR HYDRAULIC VALVE OF CAM PHASER AND HYDRAULIC VALVE WITH ACTUATOR FOR CAM PHASER | 3 |
Charles A. Lang | US | Hartville | 2011-12-15 / 20110302731 - WET/DRY FLOOR CLEANING UNIT | 2 |
James C. Lang | US | Worthington | 2010-01-07 / 20100005075 - INVENTIONS | 1 |
Scott Lang | US | Washington | 2011-02-10 / 20110030979 - Apparatus For Providing Support Of A Cantilevered Component Mounted To A Rigid Frame | 1 |
François Lang | FR | Nantes | 2010-04-29 / 20100105077 - Means for Detection and Purification of CD8+ T Lymphocyte Populations Specific to Peptides Presented in the Context of HLA | 1 |
Norma A. Lang | US | Worthington | 2010-01-07 / 20100005075 - INVENTIONS | 1 |
Belinda Lang | US | New York | 2008-10-09 / 20080248873 - SYSTEMS, METHODS AND COMPUTER PRODUCTS FOR RUNNING A MULTI-STAGE ONLINE ELIMINATION COMPETITION BASED ON MEMBER GENERATED CONTENT | 1 |
Nicholas Lang | US | Cincinnati | 2009-03-26 / 20090079289 - System and Method with a Rotor Having Parallel Sided Rotor Bars | 1 |
Richard Lang | US | Loveland | 2009-02-05 / 20090036373 - Compositions useful for and methods of modulating angiogenesis | 1 |
Daniel J. Lang | US | Grove City | 2012-02-02 / 20120029996 - Loyalty-Based Credit Prescreening System | 3 |
Damian L. Lang | US | Waterford | 2009-08-06 / 20090194565 - WET CEMENT DISPENSING APPARATUS WITH CLEANING AND ACCESS FEATURES | 1 |
Harold Keith Lang | US | Cary | 2016-03-17 / 20160081221 - METHOD FOR COOLING A CONNECTOR SYSTEM | 19 |
Dorothee Lang | DE | Pinneberg | 2013-01-03 / 20130005231 - INCOMING AIR SUPPLY SYSTEM FOR PASSENGERS IN AIRCRAFT | 3 |
Alois B. Lang | CH | Helmberg | 2009-03-12 / 20090068191 - Human Monoclonal Antibody Specific for Lipopolysaccharides (LPS) of the Pseudomonas Aeruginosa IATS 011 Serotype | 1 |
Richard A. Lang | US | Indian Hill | 2012-04-26 / 20120101042 - Agents and Methods for Tissue Repair and Regeneration | 1 |
Gunther Lang | KR | Stuttgart | 2011-01-20 / 20110015829 - Device and method for triggering passenger protection devices | 1 |
Krystle A. Lang | US | Baltimore | 2012-02-09 / 20120034256 - HCV VACCINES AND METHODS FOR USING THE SAME | 1 |
Thomas F. Lang | US | New Milford | 2009-07-16 / 20090178988 - EXPANDABLE DISPLAY SYSTEM | 1 |
Wiegand Lang | DE | Coelbe | 2014-09-04 / 20140248686 - THERAPEUTIC POLYPEPTIDES WITH INCREASED IN VIVO RECOVERY | 3 |
Steffen Lang | CH | Reinach | 2009-10-08 / 20090252793 - PHARMACEUTICAL COMPOSITIONS | 1 |
Grayson Squier Lang | US | Palo Alto | 2016-01-07 / 20160004671 - COMMENTING DYNAMIC CONTENT | 4 |
Wiegand Lang | DE | Colbe | 2014-12-04 / 20140356346 - MODIFIED COAGULATION FACTOR VIIa WITH EXTENDED HALF-LIFE | 5 |
Gerhard Lang | CH | Murten | 2016-02-04 / 20160029689 - METHODS FOR REDUCING ONE OR MORE TOBACCO SPECIFIC NITROSAMINES IN TOBACCO MATERIAL | 1 |
Gunther Lang | DE | Stuttgart | 2016-05-19 / 20160140781 - METHOD AND DEVICE FOR DETERMINING A COLLISION CHARACTERISTIC OF A VEHICLE COLLISION | 9 |
Alexandre Lang | FR | Paris | 2016-04-21 / 20160106358 - SYSTEM AND METHOD FOR DETECTING NEUROLOGICAL DISEASE | 1 |
Michelle J. Lang | US | St. Paul | 2009-12-24 / 20090319837 - Verification of a data processing system using overlapping address ranges | 1 |
Matthew E. Lang | US | Stratford | 2015-11-26 / 20150341070 - SCREEN PROTECTION USING ACTUATED BUMPERS | 1 |
Jing-Yu Lang | US | Houston | 2009-07-30 / 20090192101 - CANCER-SPECIFIC PROMOTERS | 1 |
Jeffrey Hastings Lang | US | Sudbury | 2016-05-12 / 20160130138 - METHOD AND APPLICATIONS OF THIN-FILM MEMBRANE TRANSFER | 5 |
Arthur V. Lang | US | Maplewood | 2014-05-15 / 20140130827 - CLEANING PAD WITH SUPPORT BODY | 6 |
Jason Gregory Lang | US | Bloomington | 2012-03-29 / 20120073907 - CONVEYOR LUBRICANTS INCLUDING EMULSIONS AND METHODS EMPLOYING THEM | 3 |
Timothy Lang | US | Wheaton | 2012-08-02 / 20120197685 - GEOGRAPHICAL INFORMATION SYSTEM AND METHOD FOR ACCESSING AND DISPLAYING AFFORDABILITY DATA | 1 |
Kathrin Lang | GB | Cambridgeshire | 2015-05-28 / 20150148525 - METHODS OF INCORPORATING AN AMINO ACID COMPRISING A BCN GROUP INTO A POLYPEPTIDE USING AN ORTHOGONAL CODON ENCODING IT AND AN ORTHORGONAL PYLRS SYNTHASE | 1 |
Søren Lang | DK | Skanderborg | 2016-03-24 / 20160084693 - CONSUMPTION METER WITH SELECTABLE LEGAL REGISTERS | 1 |
Egon Lang | CH | Schaffhausen | 2010-09-23 / 20100237073 - SELF-OPENING CLOSURE WITH AIR INLET CHANNEL FOR COMPOSITE PACKAGING OR FOR CONTAINER NECKS TO BE SEALED WITH FOIL MATERIAL | 1 |
Marion Lang | DE | Garching | 2009-10-01 / 20090242801 - METHOD OF FLUORESCENCE-MICROSCOPICALLY IMAGING A STRUCTURE IN A SAMPLE WITH HIGH THREE-DIMENSIONAL SPATIAL RESOLUTION | 1 |
Jean Lang | FR | Moins | 2010-10-28 / 20100270202 - Method of Immunization Against the 4 Dengue Serotypes | 2 |
Jean Lang | FR | Mions | 2015-09-24 / 20150265695 - VACCINE COMPOSITIONS FOR PREVENTION AGAINST DENGUE VIRUS INFECTION | 8 |
Francois Lang | FR | Nantes | 2015-10-15 / 20150291660 - NOVEL MELANOMA ANTIGEN PEPTIDE AND USES THEREOF | 4 |
Daniel Lang | FR | Paris | 2012-10-18 / 20120261425 - FLUID FLOW CONTROL COCK FITTED WITH A LEVER HAVING SEVERAL STABLE POSITIONS | 2 |
Marc Lang | FR | Mulhouse | 2013-01-10 / 20130012704 - COMPOUNDS AND COMPOSITIONS AS PROTEIN KINASE INHIBITORS | 4 |
Jun Lang | US | Union City | 2014-07-03 / 20140185919 - Detecting Defects on a Wafer | 1 |
Grayson Squier Lang | US | Santa Clara | 2014-07-03 / 20140189501 - Augmenting Text With Multimedia Assets | 1 |
Marilyn J. Lang | US | Saratoga | 2015-05-28 / 20150146993 - GENERALIZATION OF METHODS AND SYSTEMS FOR IMAGE COMPRESSION WHILE ENCODING AT LEAST ONE EXTRA BIT | 1 |
Christian Lang | US | Walled Lake | 2011-03-24 / 20110071771 - SYSTEMS AND METHODS FOR ESTIMATING A TEMPERATURE OF A FLUID INJECTOR USED IN A HOT ENVIRONMENT | 1 |
Matthias Lang | DE | Berlin | 2015-09-03 / 20150247530 - ACTIVE PART OF AN ELECTRICAL MACHINE, RADIAL MAGNETIC BEARING AND METHOD FOR PRODUCING A RADIAL MAGNETIC BEARING | 2 |
Joseph B. Lang | US | Iowa City | 2009-12-24 / 20090319837 - Verification of a data processing system using overlapping address ranges | 1 |
Kenneth G. Lang | US | Davison | 2012-09-06 / 20120223498 - ADJUSTMENT ASSEMBLY | 2 |
Brook W. Lang | US | Issaquah | 2011-03-03 / 20110055005 - System and Method of Delivering Ads | 1 |
Steven F. Lang | US | Austin | 2011-02-17 / 20110041143 - AUTOMATIC CLOSURE OF A FILE OR A DEVICE IN A DATA PROCESSING SYSTEM | 1 |
Dawn Lang | US | Chicago | 2013-10-24 / 20130276340 - VEHICLE SIGN | 1 |
Gerhard Lang | CH | Courgevaux | 2013-08-15 / 20130210711 - PRODUCTION AND USE OF ANTITUMORAL ANTIBIOTIC AND INSECTICIDAL CYCLODEPSIPEPTIDES | 1 |
Brien R. Lang | US | Ann Arbor | 2011-09-22 / 20110226062 - METHOD AND APPARATUS FOR DETERMINING THE PRELOAD FOR SCREWS FOR DENTAL IMMPLANT SYSTEMS | 2 |
Richard A. Lang | US | Cincinnati | 2014-12-18 / 20140370027 - INHIBITION OF MACROPHAGE-SYNTHESIZED WNT7B TO INHIBIT TUMOR ANGIOGENESIS AND METASTASIS | 1 |
Volker Lang | US | West Linn | 2012-10-18 / 20120265087 - METHODS AND DEVICES FOR DETERMINATION OF HEART ARRHYTHMIA TYPE | 8 |
Hengyuan Lang | US | San Diego | 2013-07-04 / 20130172352 - 5-MEMBERED HETEROCYCLE-BASED P38 KINASE INHIBITORS | 9 |
Frank Ronald Lang | CH | Muttenz | 2014-02-13 / 20140041746 - STIRRED BALL MILL | 4 |
Klaus-Peter Lang | DE | Brackenheim-Botenheim | 2010-06-17 / 20100153468 - CONFIGURATION CHANGE WITHOUT DISRUPTION OF INCOMPLETE PROCESSES | 1 |
Christopher Karl Lang | US | San Jose | 2015-03-26 / 20150088966 - SERVICE ACTIVITY USER INTERFACE | 1 |
Steffen Lang | DE | Hallerndorf | 2016-01-28 / 20160027549 - RESISTANCE COVERING FOR A DC INSULATION SYSTEM | 6 |
Klaus-Peter Lang | DE | Brackenheim | 2010-11-11 / 20100287075 - Configuring Computer Systems with Business Configuration Information | 3 |
Anthony Lang | US | Delray Beach | 2009-08-06 / 20090195344 - CONTACTLESS DATA COMMUNICATIONS COUPLER | 1 |
Swen Lang | DE | Hohenstein | 2014-09-11 / 20140252851 - Method and Device for Generating Electrical Energy in a Motor Vehicle | 1 |
Ke Lang | CN | Beijing | 2012-05-03 / 20120108276 - COOPERATIVE SPECTRUM SENSING IN COGNITIVE RADIO NETWORKS | 1 |
Stephen Terry Lang | GB | Hampshire | 2015-12-10 / 20150351938 - PROSTHETIC ANKLE AND FOOT COMBINATION | 8 |
Steffen Lang | DE | Oberderdingen | 2015-02-05 / 20150033949 - TEMPERATURE MEASUREMENT IN A COOKING VESSEL | 2 |
Robert Lang | US | Williamsport | 2011-01-06 / 20110004833 - Regulatory Task Management System and Method | 2 |
Edo Lang | CH | Chur | 2016-04-28 / 20160115678 - CONTROL CARTRIDGE FOR SANITARY FITTINGS | 6 |
Steven C. Lang | US | Columbus | 2016-03-03 / 20160059897 - MICROTRUSS REPLACING STRUCTURAL FOAM IN BODY STRUCTURAL APPLICATION | 12 |
Hao Lang | CN | Beijing | 2015-06-11 / 20150161263 - Search Method, Search Apparatus and Search Engine System | 2 |
Wen-Jie Lang | CN | Shenzhen | 2014-05-22 / 20140138971 - CLAMPING MECHANISM | 2 |
Martin Lang | DE | Nellmersbach | 2014-02-27 / 20140053928 - Double Seat Valve With Secure Closing Function | 1 |
Adam J. Lang | US | Orange | 2014-09-04 / 20140248812 - RE-USABLE HIGH-TEMPERATURE RESISTANT SOFTGOODS FOR AEROSPACE APPLICATIONS | 1 |
Robert A. Lang | US | Charlotte | 2009-10-15 / 20090260086 - CONTROL FRAMEWORK GENERATION FOR IMPROVING A SECURITY RISK OF AN ENVIRONMENT | 1 |
Alexander Lang | DE | Neckarsulm | 2011-08-04 / 20110188520 - Method for operating a time-controlled bus system | 1 |
Jerry M. Lang | US | Lindale | 2016-01-28 / 20160025336 - Venturi Nozzle for a Gas Combustor | 1 |
Jozef Lang | HU | Budapest | 2010-07-08 / 20100171296 - PROTECTION DEVICE IN MOTOR VEHICLES FOR PROTECTING INDIVIDUALS | 1 |
Alexander Lang | SG | Singapore | 2012-11-22 / 20120295601 - System and Method for Providing a Mobile Phone Directory Service | 1 |
Alexander Lang | DE | Stuttgart | 2014-09-11 / 20140258312 - INSIGHT DETERMINATION AND EXPLANATION IN MULTI-DIMENSIONAL DATA SETS | 4 |
Markus Lang | CH | Wabern | 2011-12-22 / 20110308396 - PUMP MOUNT IN A BEVERAGE PREPARATION MACHINE | 5 |
Manuel Lang | CH | Zurich | 2014-05-29 / 20140146235 - PRACTICAL TEMPORAL CONSISTENCY FOR VIDEO APPLICATIONS | 6 |
Alexander Lang | DE | Wedel | 2015-12-17 / 20150359415 - Endoscope Control Unit with Braking System | 5 |
Joshua M. Lang | US | Madison | 2014-03-06 / 20140065622 - Device for and Method of Isolating and Analyzing a fraction in a Biological Sample | 1 |
Florian Lang | DE | Tuebingen | 2012-06-14 / 20120149765 - SGK1 AS DIAGNOSTIC AND THERAPEUTIC TARGET | 11 |
Eric A. Lang | US | Foster City | 2011-04-21 / 20110093056 - Use of Plasma in Formation of Biodegradable Stent Coating | 1 |
Andreas Lang | DE | Hausen | 2009-08-27 / 20090215562 - ASSEMBLY MEANS FOR A TENSIONING SYSTEM | 1 |
Ulrich Lang | US | San Francisco | 2011-04-21 / 20110093916 - METHOD AND SYSTEM FOR RAPID ACCREDITATION/RE-ACCREDITATION OF AGILE IT ENVIRONMENTS, FOR EXAMPLE SERVICE ORIENTED ARCHITECTURE (SOA) | 1 |
Stanley Lang | US | Laguna Niguel | 2012-03-29 / 20120077981 - N[S(4-ARYL-TRIAZOL-3-YL)ALPHA-MERCAPTOACETYL]-P-AMINO BENZOIC ACIDS AS HIV REVERSE TRANSCRIPTASE INHIBITORS | 6 |
Michael Robert Lang | US | Oregon City | 2010-11-11 / 20100282639 - APPARATUS FOR SHIELDING H-FIELD SIGNALS | 1 |
Andreas Lang | DE | Grobenzell | 2015-10-22 / 20150298601 - Vehicle glazing | 4 |
Kenneth K. Lang | US | Saline | 2014-06-19 / 20140165714 - TRANSMISSION GASKET WITH SENSORS | 7 |
David R. Lang | US | Phoenix | 2012-07-12 / 20120178389 - SYSTEMS, METHODS AND DEVICES FOR DUAL CLOSED LOOP MODULATION CONTROLLER FOR NONLINEAR RF AMPLIFIER | 2 |
Andreas Lang | DE | Hassloch | 2015-12-10 / 20150354432 - MIXING CHAMBER | 4 |
Ulrich Lang | US | San Diego | 2015-09-24 / 20150269383 - AUTOMATED AND ADAPTIVE MODEL-DRIVEN SECURITY SYSTEM AND METHOD FOR OPERATING THE SAME | 3 |
Frederick Christopher Lang | US | Sugar Hill | 2009-11-05 / 20090272028 - METHODS AND SYSTEMS FOR PROCESSING SOLID FUEL | 2 |
Heinrich Lang | US | The Woodlands | 2012-03-22 / 20120067597 - WELLHEAD SEAL DEVICE TO SEAL CASING | 3 |
Jason Hillyer Lang | US | Farmington | 2010-01-14 / 20100010837 - SYSTEM AND METHOD FOR USE IN BILLING FOR GROUP BENEFIT INSURANCE | 1 |
Thomas Lang | US | Marshfield | 2012-06-07 / 20120143119 - Delivery of Serotonin Receptor Antagonists By Microinjection Systems | 2 |
Richard C. Lang | GB | Gloucestershire | 2012-05-17 / 20120119025 - LANDING GEAR | 4 |
Willis Lang | US | Madison | 2015-12-31 / 20150379083 - CUSTOM QUERY EXECUTION ENGINE | 3 |
Philip Edward Lang | GB | London | 2010-11-11 / 20100282625 - BALLOONS | 1 |
Mikael Carl Lang | GB | Surrey | 2016-05-19 / 20160140636 - IMAGE PROCESSING | 4 |
Christopher James Lang | GB | Nottinghamshire | 2009-12-10 / 20090302072 - Anti drip device for liquid dispensers | 1 |
Christian Lang | GB | Oxford | 2011-06-16 / 20110139209 - METHOD OF GROWING A THIN FILM, A METHOD OF FORMING A STRUCTURE AND A DEVICE | 2 |
David Kinniburgh Lang | GB | Stirling | 2009-05-21 / 20090130771 - Assay device and method | 1 |
Andreas Lang | DE | Nidderau | 2011-05-05 / 20110100081 - METHOD FOR COATING METAL SURFACES WITH A PHOSPHATE LAYER AND THEN WITH A POLYMER LUBRICANT LAYER | 1 |
Ulrich Lang | GB | Cambridge | 2009-03-19 / 20090077621 - METHOD AND SYSTEM FOR MANAGING SECURITY POLICIES | 1 |
Stephen Terry Lang | GB | Hampshire | 2015-12-10 / 20150351938 - PROSTHETIC ANKLE AND FOOT COMBINATION | 8 |
Andreas Lang | DE | Munchen | 2009-04-30 / 20090110294 - AUTOMATIC TRANSFER OF OUTLINED OBJECTS FROM ONE DATA SET INTO ANOTHER DATA SET | 1 |
James D. Lang | US | Carlsbad | 2010-10-07 / 20100252674 - Reducing runway requirement for aircraft | 1 |
Jonathan Lang | US | Santa Barbara | 2016-05-19 / 20160139874 - Audio Content Auditioning by Playback Device | 11 |
Phillipp Lang | DE | Tuebingen | 2011-03-10 / 20110060050 - SGK1 INHIBITORS FOR THE PROPHYLAXIS AND/OR THERAPY OF VIRAL DISEASES AND/OR CARCINOMAS | 1 |
Thomas Lang | AT | Steyr | 2010-12-23 / 20100323565 - Drive Device for a Watercraft | 1 |
Thomas Lang | DE | Altenthann | 2010-08-26 / 20100212471 - CUTTING TOOL FOR CUTTING LABELS | 1 |
Thomas Lang | DE | Bischofroda | 2011-08-25 / 20110207361 - TERMINAL FOR CONNECTING LEAD ENDS | 1 |
Christian A. Lang | US | Hawthorne | 2011-07-14 / 20110173395 - TEMPERATURE-AWARE BUFFERED CACHING FOR SOLID STATE STORAGE | 1 |
William Lang | US | Mill Valley | 2009-09-03 / 20090221510 - ERYTHROPOIETIN RECEPTOR PEPTIDE FORMULATIONS AND USES | 1 |
David E. Lang | US | Simi Valley | 2009-08-06 / 20090199264 - DYNAMIC TRUST MODEL FOR AUTHENTICATING A USER | 1 |
Robert Lang | US | Vista | 2011-05-12 / 20110111892 - BAT WITH HANDLE HAVING INTERNAL CORE MEMBER AND METHOD OF MAKING SAME | 1 |
Jos Lang | LU | Bertrange | 2011-02-03 / 20110025250 - METHOD FOR CONTROLLING A HOLDING FORCE AGAINST, AND LIMITING IMPACT WITH TRAVEL LIMIT POSITIONS | 2 |
Thomas Lang | DE | Munich | 2011-10-06 / 20110246690 - Computing arrangement | 1 |
Robert Lang | AU | North Wahroonga | 2013-09-19 / 20130246375 - METHOD AND SYSTEM FOR FACILITATING ACCESS TO RECORDED DATA | 1 |
Christopher James Lang | GB | Nottingham | 2015-11-12 / 20150320266 - FOAM PUMP | 5 |
Christoph Lang | US | Palo Alto | 2011-05-05 / 20110102215 - Analog-Digital Converter | 4 |
Hengyuan Lang | US | San Diego | 2013-07-04 / 20130172352 - 5-MEMBERED HETEROCYCLE-BASED P38 KINASE INHIBITORS | 9 |
Charles D. Lang | US | Goleta | 2013-10-03 / 20130256603 - LIQUID COMPOSITION FOR DEPOSITION OF ORGANIC ELECTROACTIVE MATERIALS | 10 |
Lauren Lang | US | Bethesda | 2014-01-23 / 20140021751 - SHADE FOR CHILD CARRIER | 1 |
I-Lung Lang | TW | Taipei City | 2010-07-01 / 20100165109 - Method and system for automatic monitoring of portable image capturing apparatus | 1 |
Matthew M. Lang | US | Mason | 2016-03-17 / 20160074040 - METHODS FOR FORMING TISSUE THICKNESS COMPENSATOR ARRANGEMENTS FOR SURGICAL STAPLERS | 7 |
Jérôme Lang | CA | Toronto | 2012-02-09 / 20120032889 - HAND-HELD ELECTRONIC DEVICE | 3 |
Richard Lang | US | Sebastopol | 2016-03-03 / 20160063787 - REAL TIME AND DYNAMIC VOTING | 11 |
Alan J. Lang | US | Long Beach | 2012-08-09 / 20120200823 - Ophthalmic Lens with Multiple Phase Plates | 6 |
Erwin Lang | DE | Regensburg | 2016-03-17 / 20160079536 - Radiation-Emitting Organic-Electronic Device and Method for Producing a Radiation-Emitting Organic-Electronic Device | 27 |
Jinglei Lang | CN | Nanjing | 2011-04-14 / 20110085649 - Fluctuation Monitoring Method that Based on the Mid-Layer Data | 1 |
Gerhard Lang | CH | Marly | 2011-03-31 / 20110077205 - Production and Use of Antitumoral Cyclodepsipeptides | 1 |
Christoph Lang | US | Los Altos | 2010-10-21 / 20100263447 - TRI-AXIS ACCELEROMETER HAVING A SINGLE PROOF MASS AND FULLY DIFFERENTIAL OUTPUT SIGNALS | 3 |
Dennis Lang | US | San Jose | 2010-05-13 / 20100117231 - RELIABLE WAFER-LEVEL CHIP-SCALE SOLDER BUMP STRUCTURE | 1 |
Holger Lang | DE | Regensburg | 2014-03-20 / 20140080662 - Method For Operating A Hybrid Vehicle And Hybrid Vehicle | 3 |
Kevin Lang | US | Mountain View | 2015-08-13 / 20150227608 - SYSTEM AND METHOD FOR PERFORMING SET OPERATIONS WITH DEFINED SKETCH ACCURACY DISTRIBUTION | 6 |
Kun Lang | CN | Shanghai Pudong | 2011-02-03 / 20110025292 - METHOD AND APPARATUS FOR PROVIDING POWER CONVERSION WITH PARALLEL FUNCTION | 1 |
Friedrich Lang | DE | Hagenbach | 2008-11-20 / 20080286391 - Method for preparing ginkgo extracts having a low content of 4'-O-methyl pyridoxine and/or biflavones | 1 |
Adam J. Lang | US | Huntington Beach | 2011-09-22 / 20110230597 - GLASS FIBERS HAVING IMPROVED DURABILITY | 5 |
Yue Lang | CN | Shenzhen | 2010-10-28 / 20100274559 - Fixed Codebook Search Method and Searcher | 3 |
Alan Lang | US | Long Beach | 2015-09-10 / 20150250652 - METHODS OF CORRECTING VISION | 5 |
Dennis Lang | US | Orange | 2012-02-09 / 20120032712 - HIGH TEMPERATURE OPERATING PACKAGE AND CIRCUIT DESIGN | 2 |
Robert J. Lang | US | Alamo | 2014-06-19 / 20140166650 - COLLAPSIBLE CONTAINERS AND REFILL UNITS | 3 |
Loc Lang | US | Arlington | 2011-08-04 / 20110186291 - METHODS AND SYSTEMS FOR ORIENTING IN A BORE | 1 |
Jay Lang | US | Leawood | 2014-09-04 / 20140249893 - AGRICULTURAL PERFORMANCE INFORMATION SYSTEMS AND RELATED METHODS | 2 |
Yunping Lang | CN | Hangzhou | 2013-10-17 / 20130271215 - CLASS D AUDIO AMPLIFIER WITH NOISE SUPPRESSION AND THE METHOD THEREOF | 4 |
Peter Lang | CA | Edmonton | 2011-08-04 / 20110186294 - OUTSIDE CASING CONVEYED LOW FLOW IMPEDANCE SENSOR GAUGE SYSTEM AND METHOD | 1 |
Jian Lang | CN | Beijing | 2016-04-21 / 20160110147 - Display Method And Electronic Device | 3 |
Xiaomei Lang | CN | Daqing | 2010-07-22 / 20100184930 - OLEFIN POLYMERIZATION CATALYST AND PREPARATION METHOD AND USE THEREOF | 1 |
Erin Lang | US | Orlando | 2010-09-16 / 20100229277 - Children's Garment | 1 |
Jason G. Lang | US | Bloomington | 2016-03-17 / 20160073623 - HEAT SYSTEM FOR KILLING PESTS | 2 |
Alois Bernhardt Lang | CH | Bern | 2011-10-06 / 20110243962 - THERAPEUTIC USE OF SPECIFIC LIGAND IN MSRV ASSOCIATED DISEASES | 1 |
Haymo Lang | DE | Igensdorf | 2014-11-06 / 20140328370 - METHOD FOR EXAMINATION OF A SAMPLE BY MEANS OF THE HEAT FLOW THERMOGRAPHY | 1 |
Florian Lang | US | Colchester | 2011-09-29 / 20110232132 - LACE SYSTEM FOR FOOTWEAR | 7 |
Tracy Lang | US | Mercer | 2012-09-13 / 20120228056 - SAFETY HARNESSES, CONNECTIVE RING ATTACHMENTS FOR USE IN SAFETY HARNESSES AND BACK PADS FOR USE IN SAFETY HARNESSES | 1 |
Weiguo Lang | CN | Zhejiang | 2009-11-19 / 20090282791 - SECONDARY CYCLONE SEPARATION DUST CUP FOR DUST COLLECTORS | 1 |
Kevin John Lang | US | Mountain View | 2009-04-23 / 20090106184 - LOCATING DENSE AND ISOLATED SUB-GRAPHS | 1 |
Jonathan Paul Lang | US | Santa Barbara | 2016-03-24 / 20160088037 - Indicating an Association Between a Social-Media Account and a Media Playback System | 9 |
Christine Lang | DE | Berlin | 2016-01-28 / 20160024459 - NOVEL LACTOBACILLUS STRAINS AND THE USES THEREOF | 30 |
Frank-Peter Lang | DE | Sulzbach | 2009-02-19 / 20090048137 - Liquid detergent comprising secondary alkyl sulphonates and colour fixing agent | 1 |
Frank-Peter Lang | DE | Hattershelm | 2008-10-09 / 20080247982 - Hair Treatment Product Containing Anionic and Cationic Surfactants | 1 |
Ko C. Lang | US | Agoura-Hills | 2014-07-31 / 20140212622 - Multi-Segmented Structured Ceramic Packing | 1 |
Christian A. Lang | US | Santa Barbara | 2012-12-27 / 20120330996 - MULTI-GRANULARITY HIERARCHICAL AGGREGATE SELECTION BASED ON UPDATE, STORAGE AND RESPONSE CONSTRAINTS | 1 |
Jonrobert D. Lang | US | Lomita | 2015-12-17 / 20150363855 - Systems and Methods for Automatic Popular Configuration Generation | 1 |
Mikael Carl Lang | GB | Surbiton | 2011-12-01 / 20110296350 - APPARATUS AND METHOD OF DATA ORGANISATION | 1 |
David John Lang | US | Southbury | 2010-08-19 / 20100210500 - Personal Wash Compositions Comprising Specific Blends of Saturated (Hydrogenated) Oil to Unsaturated Triglyceride Oils | 1 |
Thomas Lang | DE | Reutlingen | 2015-09-24 / 20150266385 - ENERGY TRANSMISSION DEVICE AND ENERGY TRANSMISSION ARRANGEMENT | 2 |
Liuxi Lang | US | Sunnyvale | 2015-02-26 / 20150055391 - DESIGNATED MEMORY SUB-CHANNELS FOR COMPUTING SYSTEMS AND ENVIRONMENTS | 1 |
Jeffrey Lang | AU | Dandenong | 2015-02-26 / 20150056465 - PROCESS FOR PRODUCING A TITANIUM LOAD-BEARING STRUCTURE | 1 |
Krystle Lang | US | Philadelphia | 2012-04-19 / 20120093863 - HCV VACCINES AND METHODS FOR USING THE SAME | 1 |
Nadine Lang | DE | Berlin | 2013-11-28 / 20130316190 - ZINC-IRON ALLOY LAYER MATERIAL | 1 |
Jeffrey H. Lang | US | Danvers | 2014-11-20 / 20140343583 - METHODS AND APPARATUS FOR MAGNET-INDUCED COMPRESSION ANASTOMOSIS BETWEEN ADJACENT ORGANS | 2 |
Jurgen Lang | AT | Schwaz | 2010-01-21 / 20100011721 - AIR FILTER FOR A COMBUSTION MACHINE | 1 |
Johan Lang | US | New York | 2013-01-10 / 20130013092 - FANTASY SPORTS TRADE DEBATE SYSTEMS | 1 |
Robin Lang | DE | Bundorf | 2010-11-18 / 20100290731 - WHEEL BEARING FOR AN AIRCRAFT LANDING GEAR | 2 |
Martin Lang | DE | Grafelfing | 2011-05-05 / 20110105597 - Modulation of pathogenicity | 7 |
Hubert Lang | AT | Au An Der Donau | 2011-03-24 / 20110071061 - ALLOY, IN PARTICULAR FOR A BEARING COATING | 1 |
Tracy H. Lang | US | Mercer | 2015-03-19 / 20150078823 - Method and Composition for Reinforcing Asphalt Cement Concrete | 3 |
Kevin R. Lang | US | Denver | 2011-05-19 / 20110115226 - SYSTEMS AND APPARATUS RELATING TO SOLAR-THERMAL POWER GENERATION | 1 |
Martin Lang | NL | Sassenheim | 2010-08-26 / 20100213319 - Craft and Method of Coupling a Propulsion System to a Regenerative Fuel Cell System | 1 |
Martin Lang | DE | Weisendorf | 2010-08-05 / 20100194486 - TRANSMISSION MODULE | 1 |
Yue Lang | CN | Beijing | 2016-02-04 / 20160037260 - METHOD FOR RENDERING A STEREO SIGNAL | 5 |
Jürgen Erwin Lang | DE | Karlsruhe | 2016-05-05 / 20160122194 - INTEGRATED PLANT AND METHOD FOR THE FLEXIBLE USE OF ELECTRICITY | 13 |
Christopher M. Lang | US | Haverhill | 2016-03-10 / 20160072123 - Conductive Coatings for Active Electrochemical Materials | 4 |
Grayson Lang | US | Santa Clara | 2014-11-20 / 20140341442 - IMAGE MASKS FOR FACE-RELATED SELECTION AND PROCESSING IN IMAGES | 1 |
Laura Lang | US | Fairfield | 2014-07-10 / 20140195314 - SYSTEM AND METHOD FOR NETWORKED LOYALTY PROGRAM | 2 |
Florian Lang | AT | Neulengbach | 2013-03-28 / 20130076162 - Suspension Member for a Vibration Actuator | 1 |
Martin Lang | DE | Graefelfing | 2012-04-26 / 20120101137 - NOVEL THIOPHENECARBOXAMIDE DERIVATIVE AND PHARMACEUTICAL USE THEREOF | 3 |
Christopher Lang | US | Dublin | 2014-12-11 / 20140361609 - SYSTEM AND METHOD FOR DETECTING AND PROVIDING POWER TO AN ACCESSORY IN A VEHICLE | 1 |
John Lang | US | Inver Grove Heights | 2015-12-24 / 20150369407 - Air Aspiration Device | 3 |
Martin Lang | DE | Hessigheim | 2014-11-27 / 20140349157 - Battery System | 3 |
Wensheng Lang | US | Lower Gwynedd | 2010-08-05 / 20100196930 - CELL BASED ASSAYS FOR THE TRIGLYCERIDE SYNTHESIS PATHWAY | 1 |
Torsten Lang | DE | Berlin | 2009-10-22 / 20090264947 - ANTITACHYCARDIAC STIMULATOR | 1 |
Martin Lang | AT | Hausleitel | 2009-05-28 / 20090133945 - Method for dynamic torque coordination of power units of a hybrid drive of a vehicle, and corresponding device | 2 |
Claire Fridtjof Lang | US | San Rafael | 2016-02-18 / 20160044910 - METHOD AND APPARATUS USING ILLUMINATION SYSTEM FOR ACTIVELY REDUCING THE ENVIRONMENTAL IMPACT OF WIND TURBINE POWER UNITS | 1 |
Fred Donald Lang | US | San Rafael | 2016-02-18 / 20160044910 - METHOD AND APPARATUS USING ILLUMINATION SYSTEM FOR ACTIVELY REDUCING THE ENVIRONMENTAL IMPACT OF WIND TURBINE POWER UNITS | 1 |
Martin Lang | DE | Driedorf | 2008-10-23 / 20080259573 - Housing or Frame-Like Holding Element with an Inscribed Strip | 3 |
James Lang | US | San Francisco | 2016-02-25 / 20160057531 - MASS PORTS FOR TUNING FREQUENCY RESPONSES | 1 |
Robert Lang | US | San Diego | 2016-03-31 / 20160089586 - ADJUSTABLE WEIGHT SPORTS BAT SYSTEM | 1 |
Tristan Främ Douglas Lang | US | San Rafael | 2016-02-18 / 20160044910 - METHOD AND APPARATUS USING ILLUMINATION SYSTEM FOR ACTIVELY REDUCING THE ENVIRONMENTAL IMPACT OF WIND TURBINE POWER UNITS | 1 |
Elvira Lang | DE | Heilbronn | 2014-11-06 / 20140328992 - TOOTH-FRIENDLY SUGAR CONFECTIONERY | 1 |
Sergiu Langa | DE | Dresden | 2015-07-16 / 20150200105 - PRODUCTION METHOD | 1 |
Pablo Ignacio Gorostiza Langa | ES | Barcelona | 2015-08-13 / 20150224193 - PHOTOREACTIVE REGULATOR OF PROTEIN FUNCTION AND METHODS OF USE THEREOF | 3 |
Jay Paul Langa | US | Cumming | 2014-12-18 / 20140372564 - Systems and Methods of Content Streaming Using Notifications | 2 |
Pablo Ignacio Gorostiza Langa | SP | Barcelona | 2013-05-30 / 20130137113 - Photoreactive Regulator of Protein Function and Methods of Use Thereof | 1 |
Edward Langa | US | San Antonio | 2011-09-15 / 20110220490 - Apparatus And Method Utilizing A Double Glow Discharge Plasma For Sputter Cleaning | 4 |
Mette Langaas | NO | Trondheim | 2014-02-27 / 20140057800 - SINGLE NUCLEOTIDE POLYMORPHISM ASSOCIATED WITH RISK OF INSULIN RESISTANCE DEVELOPMENT | 1 |
Shailendra Langade | IN | Mumbai | 2013-05-02 / 20130110733 - Role-Aligned Competency And Learning Management System | 1 |
Saya Goud Langadi | IN | Kodihalli | 2012-07-05 / 20120169373 - GLITCH FREE CLOCK SWITCHING CIRCUIT | 1 |
Saya Goud Langadi | IN | Bangalore | 2015-08-13 / 20150227488 - SYSTEM AND METHOD FOR IMPROVING ECC ENABLED MEMORY TIMING | 7 |
Richard Langan | US | Parma | 2014-12-25 / 20140377677 - Fuel Unit For Hydrogen Generator | 1 |
David Allen Langan | US | Clifton Park | 2016-02-04 / 20160029987 - GENERATION OF MASK AND CONTRAST IMAGE DATA IN A CONTINUOUS ACQUISITION | 19 |
James Langan | US | Sequim | 2011-10-20 / 20110252735 - METAL CEILING SYSTEM HAVING LOCKING PANELS WITHOUT VISIBLE ACCESS HOLES | 1 |
Timothy Langan | US | Mission Viejo | 2013-09-19 / 20130239305 - Helmet Stabilization Apparatus | 2 |
Thomas Langan | US | Seattle | 2010-03-04 / 20100056273 - EXTENSIBLE SYSTEM FOR CUSTOMIZED AVATARS AND ACCESSORIES | 2 |
George Langan | US | Park City | 2008-09-11 / 20080222510 - METHOD AND SYSTEM FOR GENERATING A HISTORY LOG ASSOCIATED WITH A SPREADSHEET | 4 |
James Patrick Langan | US | Denver | 2016-05-05 / 20160120201 - Myceliated Coffee Products and Methods for Making Myceliated Coffee Products | 5 |
Jim Langan | US | Longmont | 2014-06-19 / 20140170264 - IMPROVED METHOD FOR MYCELIATING RAW COFFEE BEANS INCLUDING REMOVAL OF CHLOROGENIC ACIDS | 3 |
Thomas A. Langan | US | Seattle | 2015-01-22 / 20150024851 - METHOD AND SYSTEM PROVIDING SPECTATOR INFORMATION FOR A MULTIMEDIA APPLICATION | 8 |
Amy Elizabeth Langan | US | Glenview | 2009-06-04 / 20090143745 - SAFETY DEVICE FOR DRUG DELIVERY DEVICES AND CONTAINERS | 1 |
Patrick Joseph Langan | GB | Alloa Park | 2012-10-18 / 20120261189 - UNDISTURBED CORE SAMPLER | 1 |
Michael Langan | US | South Chatham | 2011-06-30 / 20110161112 - MEDICAL DATA STORAGE SERVER | 1 |
Michael Langan | IE | Leixlip | 2016-03-31 / 20160094121 - POWER SUPPLY TOPOLOGIES WITH CAPACITANCE MANAGEMENT | 1 |
Wendy E.k. Langan | US | Harrisburg | 2012-08-02 / 20120196085 - FORMING RESIN SUBSTRATES USING DYE SUBLIMATION AND SUBSTRATES FORMED USING THE SAME | 2 |
John Giles Langan | US | Breinigsville | 2013-12-26 / 20130341178 - Method and Apparatus for Removing Contaminants from Nitrogen Trifluoride | 2 |
Tim Langan | US | Laguna Hills | 2009-12-10 / 20090302287 - CHILD SAFETY DEVICE FOR BALUSTRADES | 1 |
Tom Langan | GB | London | 2011-10-06 / 20110246908 - INTERACTIVE AND SHARED VIEWING EXPERIENCE | 1 |
Raymond Langan | IE | Galway | 2009-12-03 / 20090299450 - MULTI-LAYER BALLOON DESIGN FOR USE IN COMBINATION WITH CATHETER ASSEMBLIES, AND METHODS OF MAKING THE SAME | 1 |
Ryan Langan | US | Cypress | 2012-12-20 / 20120323102 - NEURAL PROBE ARRAY AND METHOD OF USE | 1 |
Michael Langan | GB | Loganborough | 2011-06-02 / 20110127460 - FLUID CONTROL DEVICE | 1 |
John Giles Langan | US | Pleasanton | 2010-02-18 / 20100041234 - Process For Restoring Dielectric Properties | 1 |
Timothy Langan | US | Laguna Hills | 2010-10-21 / 20100263133 - MULTI-PURPOSE TOOL | 2 |
Michael Langan | GB | Loughborough | 2011-03-10 / 20110056161 - EXTRUDED GEOPOLYMER FRAME PROFILES FOR WINDOWS OR DOORS | 1 |
Amy Elizabeth Langan | US | Chicago | 2014-11-06 / 20140326629 - INTRAVENOUS BAG/LINE SAFETY DEVICE | 5 |
Joseph Langan | US | Mentor | 2009-11-12 / 20090277877 - APPARATUS AND METHOD FOR WELDING OBJECTS | 1 |
David Langan | US | Clifton Park | 2010-04-29 / 20100104062 - SYSTEM AND METHOD OF FAST KVP SWITCHING FOR DUAL ENERGY CT | 1 |
Wendy E. K. Langan | US | Sandy | 2010-10-21 / 20100265581 - FORMABLE FUSED POLYMER PANELS CONTAINING LIGHT REFRACTING FILMS | 1 |
Timothy Langan | US | Catonsville | 2012-07-12 / 20120174740 - Methods of Making and Using Reactive Shaped Charge Shock Initiation Devices Including Reactive Multilayer Structures | 2 |
Richard A. Langan | US | Parma | 2016-04-14 / 20160104907 - Hydrogen Generator | 21 |
Richard A. Langan | US | Parma | 2016-04-14 / 20160104907 - Hydrogen Generator | 21 |
Dorothee Langan | DE | Osnabruck | 2011-11-17 / 20110281802 - COMPOSITION FOR TREATMENT AND PREVENTION OF HAIR LOSS AND PREMATURE GRAYING OF HAIR | 1 |
Dik Langan | US | 2012-04-26 / 20120101949 - METHOD AND APPARATUS FOR DYNAMIC RENEWABILITY OF CONTENT | 1 | |
David Allen Langan | US | Clifton Park | 2016-02-04 / 20160029987 - GENERATION OF MASK AND CONTRAST IMAGE DATA IN A CONTINUOUS ACQUISITION | 19 |
Thomas A. Langan | US | Seattle | 2015-01-22 / 20150024851 - METHOD AND SYSTEM PROVIDING SPECTATOR INFORMATION FOR A MULTIMEDIA APPLICATION | 8 |
Michael Langan | US | Valhalla | 2008-12-11 / 20080306765 - Method and Structure for Providing Medical Benefits to Retired Employees | 1 |
David Allen Langan | US | Niskayuna | 2011-02-03 / 20110026668 - Apparatus and Method for Voltage Modulation in X-Ray Computed Tomography | 1 |
Dennis Langanke | DE | Tubingen | 2013-07-04 / 20130172987 - MEDICAL DEVICE COMPRISING A POROUS ARTICLE OF EPTFE EXHIBITING IMPROVED CELLULAR TISSUE INGROWTH | 2 |
Dennis Langanke | DE | Tuttlingen | 2009-03-26 / 20090082850 - REINFORCED VASCULAR PROSTHESIS WITH LONG-TERM ANTIMICROBIAL ACTION | 2 |
Dennis Langanke | DE | Tuttlingen/donau | 2008-10-30 / 20080268011 - Antimicrobial Implant with a Flexible Porous Structure | 2 |
Bernd Langanke | DE | Holzwickede | 2015-08-20 / 20150236363 - Flow-Type Electrochemical Cell | 9 |
Dennis Langanke | DE | Tübingen | 2013-07-04 / 20130172987 - MEDICAL DEVICE COMPRISING A POROUS ARTICLE OF EPTFE EXHIBITING IMPROVED CELLULAR TISSUE INGROWTH | 1 |
Dennis Langanke | DE | Kassel | 2013-08-08 / 20130204355 - MEDICAL DEVICE MADE OF EPTFE PARTIALLY COATED WITH AN ANTIMICROBIAL MATERIAL | 2 |
Bernd Langanke | DE | Holzwickede | 2015-08-20 / 20150236363 - Flow-Type Electrochemical Cell | 9 |
Samit Langar | IN | Bangalore | 2014-06-05 / 20140154686 - BIOMETRIC DEVICE AND MEANS FOR ELECTRONIC STORAGE AND RETRIEVAL OF BIOMETRIC DATA | 1 |
Stefan Langbein | DE | Geisenfeld | 2013-01-31 / 20130029800 - ASSEMBLY OF COMBUSTION ENGINE AND PLANETARY GEAR TRAIN CONNECTED TO THE COMBUSTION ENGINE | 1 |
Foster Langbein | AU | New South Wales | 2009-05-14 / 20090125412 - TOKEN TRADING | 1 |
Johannes Langbein | DE | Berlin | 2009-10-29 / 20090269219 - Domestic appliance with a sound damper | 1 |
Wolfgang Langbein | GB | Cardiff South Glamorgan | 2012-04-19 / 20120092662 - COHERENT ANTI-STOKES RAMAN SPECTROSCOPY | 1 |
Inge Langbein | DE | Frankenthal | 2011-10-27 / 20110260101 - SURFACTANT MIXTURE COMPRISING BRANCHED SHORT-CHAIN AND BRANCHED LONG-CHAIN COMPONENTS | 1 |
Wolgang Langbein | GB | Glamorgan | 2012-05-03 / 20120105854 - SURFACE PLASMON FOUR-WAVE MIXING MICROSCOPY | 1 |
Falko Langbein | AT | Gmunden | 2013-07-25 / 20130188898 - Solid bronze bearing with hardness gradient | 2 |
Sven Langbein | DE | Menden | 2014-12-18 / 20140366523 - ACTUATOR FOR GENERATING POSITIONING MOVEMENTS | 2 |
Eric A. Langberg | US | Milford | 2011-11-24 / 20110286613 - Speaker System | 1 |
Ehud Langberg | US | Wayside | 2012-11-15 / 20120287923 - RESIDENTIAL GATEWAY FOR VOICE OVER INTERNET PROTOCOL COMMUNICATIONS | 4 |
Michael Langberg | US | Buffalo | 2015-10-15 / 20150293716 - JOINT REWRITING AND ERROR CORRECTION IN WRITE-ONCE MEMORIES | 1 |
Jonathan Langberg | US | Atlanta | 2012-06-07 / 20120141587 - COMPOSITIONS AND METHODS FOR TREATMENT OR PREVENTION OF POST-OPERATIVE ORGAN OR TISSUE INFLAMMATION | 3 |
Julia Langberg | US | Sanford | 2008-10-23 / 20080262578 - ELECTRODES USING TWO-PHASE HEAT TRANSFER AND MULTI-VARIATE ALGORITHMS FOR IMPROVED TEMPERATURE MONITORING AND CONTROL | 1 |
Edwin Langberg | US | Sanford | 2008-10-23 / 20080262578 - ELECTRODES USING TWO-PHASE HEAT TRANSFER AND MULTI-VARIATE ALGORITHMS FOR IMPROVED TEMPERATURE MONITORING AND CONTROL | 1 |
Eric Aaron Langberg | US | Milford | 2014-09-18 / 20140274155 - Intelligent Golf Course | 4 |
Eric Langberg | US | Milford | 2015-12-03 / 20150344178 - Container system | 1 |
Jonathan J. Langberg | US | Atlanta | 2011-01-13 / 20110009957 - PERCUTANEOUS MITRAL ANNULPLASTY WITH CARDIAC RHYTHM MANAGEMENT | 1 |
Zeev Langburt | CA | Hampstead | 2011-07-21 / 20110173734 - High Visibility Protective Fabric | 1 |
Jens Erik Langdahl | DK | Abyhoj | 2012-06-28 / 20120160636 - ADJUSTABLE CONVEYOR EXTENSIONS | 1 |
Philip Langdale | US | Daly City | 2012-03-29 / 20120076197 - System and Method for Transmitting Video and User Interface Elements | 1 |
Philip Lee Langdale | US | Mountain View | 2015-02-05 / 20150039735 - CENTRALIZED CONFIGURATION OF A DISTRIBUTED COMPUTING CLUSTER | 1 |
Larry Shane Langdale | US | Mt. Pleasant | 2008-11-27 / 20080294545 - Realty commission reinvestment system and method | 1 |
Philip L. Langdale | US | Mountain View | 2013-08-08 / 20130204948 - CENTRALIZED CONFIGURATION AND MONITORING OF A DISTRIBUTED COMPUTING CLUSTER | 1 |
Evan Langdale | US | Baltimore | 2014-08-07 / 20140222012 - SMART SCREW-DRIVER FOR PREVENTING INADVERTENT SCREW STRIPPING IN BONE | 1 |
Tara Leann Langdale | US | Sarasota | 2015-10-08 / 20150282838 - MAGNETIC VAGINAL DILATOR | 1 |
Nicholas John Langdale-Smith | AU | Acton | 2011-12-22 / 20110310006 - Automatic Calibration Of A Gaze Direction Algorithm From User Behavior | 1 |
Thomas A. Langdo | US | Cambridge | 2016-04-21 / 20160111285 - LATTICE-MISMATCHED SEMICONDUCTOR STRUCTURES WITH REDUCED DISLOCATION DEFECT DENSITIES AND RELATED METHODS FOR DEVICE FABRICATION | 23 |
Fred Michael Langdon | US | Cincinnati | 2013-05-16 / 20130123734 - Outer Cover for a Disposable Absorbent Article | 2 |
Linda L. Langdon | US | Normandy Park | 2015-02-12 / 20150046165 - Talking Medicine Bottle and Label and System and Method for Manufacturing the Same | 2 |
Steve Langdon | DE | Dresden | / - | 1 |
Carl Langdon | US | Sarasota | 2014-02-20 / 20140047802 - PACKAGING MACHINE | 1 |
Steven Langdon | DE | Dresden | 2015-08-13 / 20150228490 - REDUCED THRESHOLD VOLTAGE-WIDTH DEPENDENCY IN TRANSISTORS COMPRISING HIGH-K METAL GATE ELECTRODE STRUCTURES | 4 |
Stephen M. Langdon | US | Rochester | 2008-12-18 / 20080308557 - Tamper-evident seal for a container | 1 |
Robert W. Langdon | US | Dallas | 2015-05-21 / 20150143280 - Method for Entering, Recording, Distributing and Reporting Data | 2 |
Scott A. Langdon | US | Mukilteo | 2015-03-05 / 20150059988 - RETRACTABLE SHADE AND METHOD SEALING AN OVER-WING EXIT IN AN AIRCRAFT | 1 |
Matthew Langdon | US | Cloquet | 2011-09-29 / 20110232854 - METHOD OF MAKING A COATING AND A COATED ACOUSTICAL PANEL USING DEGRADED FIBERS | 1 |
Michael Langdon | US | Hillsborough | 2011-01-13 / 20110007743 - FILTER-BASED FORWARDING IN A NETWORK | 1 |
Rebecca Langdon | GB | Brighouse | 2012-06-21 / 20120156723 - PROTEIN GLYCOSYLATION | 1 |
Ernest Langdon | US | Clifton | 2012-07-19 / 20120185093 - ROBOT MOUNTING DEVICE | 1 |
Megan Rene Langdon | US | Santa Monica | 2015-02-26 / 20150055999 - FOLDABLE COSMETIC IMPLEMENT | 5 |
Frederick Michael Langdon | US | Cincinnati | 2015-12-10 / 20150351978 - DISPOSABLE ABSORBENT ARTICLE HAVING UNDERGARMENT FASTENING ELEMENTS | 6 |
Darcie D. Langdon | US | Denver | 2013-06-20 / 20130152268 - INFANT CLOTHING WITH GRIPPING ELEMENT | 1 |
Jon Langdon | US | Issaquah | 2011-06-16 / 20110145662 - COORDINATION OF ERROR REPORTING AMONG MULTIPLE MANAGED RUNTIMES IN THE SAME PROCESS | 2 |
Warren E. Langdon | US | Boulder | 2010-09-02 / 20100223329 - PORTABLE WIRELESS PLAYER PROGRAMMING | 1 |
Megan Langdon | US | Santa Monica | 2015-07-23 / 20150201733 - Portable Interchangeable Cosmetic Compact | 1 |
Erik Langdon | US | Cape Coral | 2014-10-23 / 20140311582 - Thermally Regulated Fluid Transport System and Methods Thereof | 1 |
Pat Langdon | US | Glendale | 2010-11-11 / 20100283635 - AVIONICS DISPLAY SYSTEM AND METHOD FOR GENERATING FLIGHT INFORMATION PERTAINING TO NEIGHBORING AIRCRAFT | 1 |
Richard Henry Langdon | US | Greenville | 2012-10-11 / 20120259474 - Systems and Methods for Analyzing Energy Usage | 1 |
Peter David Langdon | AU | Cranbourne South | 2014-01-16 / 20140013686 - PANEL MEMBER | 1 |
John E. Langdon | US | Fort Worth | 2013-03-28 / 20130075092 - PROCESS FOR DISPERSING NANOCATALYSTS INTO PETROLEUM-BEARING FORMATIONS | 3 |
Simon Langdon | AU | Victoria | 2011-05-05 / 20110102580 - SPATIAL PREDICTIVE APPROXIMATION AND RADIAL CONVOLUTION | 1 |
Graham Langdon | US | Santa Cruz | 2012-01-12 / 20120010930 - METHODS FOR AUTHENTICATING A PURCHASE USING LOCATION BASED MOBILE SERVICE | 1 |
Megan Rene Langdon | US | Los Angeles | 2016-04-21 / 20160106195 - FOLDABLE COSMETIC IMPLEMENT | 3 |
Alan George Langdon | NZ | Hamilton | 2014-01-30 / 20140027307 - ELECTROLYTIC CELL | 1 |
Wendell H. Langdon | US | San Antonio | 2016-04-28 / 20160117312 - NATURAL LANGUAGE PROCESSING FOR EXTRACTING CONVEYANCE GRAPHS | 2 |
Brian Bernard Langdon | US | Newcastle | 2013-07-04 / 20130167410 - CLAM-LINK APPARATUS AND METHODS | 1 |
James F. Langdon | US | Oconomowoc | 2009-06-11 / 20090147927 - Method and system for call tracing | 1 |
Roger Martin Langdon | GB | Essex | 2010-07-08 / 20100171944 - Gas Velocity Sensor | 2 |
Megan Langdon | US | Los Angeles | 2009-03-05 / 20090058024 - SHOPPING CART | 1 |
Richard Henry Langdon, Ii | US | Simpsonville | 2011-07-07 / 20110162384 - TEMPERATURE ACTIVATED VALVES FOR GAS TURBINES | 2 |
Maria L. Langdown | US | San Diego | 2008-08-21 / 20080199480 - Methods for Identifying Risk of Type II Diabetes and Treatments Thereof | 1 |
Neville Ernest Lange | GB | Glouchestershire | 2012-08-23 / 20120211442 - Cleaning System | 1 |
David Bradley Lange | US | Tavernier | 2014-02-20 / 20140050584 - COMBINATION PADDLE AND FISHING ROD | 1 |
Ulrich Lange | DE | Mainz | 2016-05-05 / 20160122226 - METHOD FOR PRODUCING A CERAMIZABLE GREEN GLASS COMPONENT, AND CERAMIZABLE GREEN GLASS COMPONENT, AND GLASS CERAMIC ARTICLE | 12 |
Ulrich Lange | DE | Stuttgart | 2012-07-26 / 20120186987 - MULTI-ELECTRODE CHEMIRESISTOR | 1 |
Claudia Lange | DE | Duesseldorf | 2016-03-17 / 20160074342 - Titration of Tapentadol | 5 |
Claudia Lange | DE | Hamburg | 2014-05-01 / 20140120065 - PROTECTION FROM LETHAL IRRADIATION WITH MESENCHYMAL STROMAL CELLS | 4 |
Daniel H. Lange | IL | Kfar Vradim | 2014-07-24 / 20140207204 - PREDICTION AND MONITORING OF CLINICAL EPISODES | 12 |
Lene Lange | DK | Valby | 2016-02-18 / 20160046919 - Polypeptides Having Cellobiohydrolase I Activity and Polynucleotides Encoding Same | 16 |
Thoralf Lange | DE | Leipzig | 2014-03-06 / 20140065630 - METHODS AND COMPOSITIONS FOR DIAGNOSING GASTROINTESTINAL STROMAL TUMORS | 1 |
Brigitte Lange | DE | Berlin | 2014-03-06 / 20140066666 - Method For Producing 1,2-Pentanediol | 1 |
Donovan Lange | US | Redmond | 2014-03-06 / 20140068547 - SHARING APPLICATION CODE ACROSS PLATFORMS | 1 |
Frederick F. Lange | US | Santa Barbara | 2014-04-17 / 20140103361 - HIGH BRIGHTNESS LIGHT EMITTING DIODE COVERED BY ZINC OXIDE LAYERS ON MULTIPLE SURFACES GROWN IN LOW TEMPERATURE AQUEOUS SOLUTION | 8 |
Meinolf Lange | DE | Bielefeld | 2013-11-14 / 20130303745 - Synthesis of oligonucleotides | 4 |
Meinolf Lange | DE | Halle/westf | 2011-09-15 / 20110224424 - Method for preparing oligonucleotides | 2 |
Martinus G.m. Lange | NL | Nuland | 2016-04-21 / 20160107468 - METHOD FOR GENERATING PRINTS ON A FLATBED PRINTER, APPARATUS THEREFOR AND A COMPUTER PROGRAM THEREFOR | 1 |
Eric C. Lange | US | Collierville | 2015-06-25 / 20150173806 - SPINAL FIXATION SYSTEM AND METHOD | 18 |
Rudiger Lange | DE | Munchen | 2011-01-27 / 20110022169 - Annuloplasty Device for Tricuspid Valve Repair | 1 |
Arno Lange | DE | Bad Durkheim | 2014-04-03 / 20140094561 - PROCESS FOR PRODUCING COMPOSITE MATERIALS | 20 |
Michael David Lange | US | Anaheim | 2008-10-23 / 20080258242 - Low contact resistance ohmic contact for a high electron mobility transistor and fabrication method thereof | 1 |
Louis Lange | US | Portola Valley | 2009-04-30 / 20090111826 - USE OF RANOLAZINE FOR THE TREATMENT OF CARDIOVASCULAR DISEASES | 1 |
Louis Lange | US | Palo Alto | 2010-02-11 / 20100035890 - USE OF RANOLAZINE FOR THE TREATMENT OF CARDIOVASCULAR DISEASES | 2 |
Christopher Lange | US | El Cerrito | 2014-02-20 / 20140051864 - QUATERNARY AMMONIUM DIPHENYLMETHYL COMPOUNDS USEFUL AS MUSCARINIC RECEPTOR ANTAGONISTS | 4 |
Maryann E. Lange | US | Santa Barbara | 2011-11-03 / 20110266551 - HIGH BRIGHTNESS LIGHT EMITTING DIODE COVERED BY ZINC OXIDE LAYERS ON MULTIPLE SURFACES GROWN IN LOW TEMPERATURE AQUEOUS SOLUTION | 3 |
Frederick F. Lange | US | Santa Barbara | 2014-04-17 / 20140103361 - HIGH BRIGHTNESS LIGHT EMITTING DIODE COVERED BY ZINC OXIDE LAYERS ON MULTIPLE SURFACES GROWN IN LOW TEMPERATURE AQUEOUS SOLUTION | 8 |
William W. Lange | US | Newport Beach | 2009-06-25 / 20090164361 - Last Call for a Real Estate Property, a Chattel or a Financial Instrument for Online and Off-line Uses | 1 |
Jeffrey Kevin Lange | US | San Ramon | 2009-08-20 / 20090210344 - SYSTEM AND METHOD FOR PROVIDING DATA FOR USE IN REDUCING FRAUDULENT TRANSACTIONS BETWEEN HOLDERS OF FINANCIAL PRESENTATION DEVICES AND MERCHANTS | 1 |
William G. Lange | US | Mission Viejo | 2011-03-17 / 20110064574 - METHOD AND APPARATUS FOR EXTRACTING FLUID MOTION ENERGY | 1 |
Holger Lange | US | San Diego | 2009-02-19 / 20090046905 - Uterine cervical cancer computer-aided-diagnosis (CAD) | 2 |
Danny Lange | US | Cupertino | 2012-08-02 / 20120197646 - Open Architecture For a Voice User Interface | 4 |
Arthur F. Lange | US | Sunnyvale | 2014-04-03 / 20140095010 - CROP FEELER SYSTEM AND METHOD | 10 |
Andrew Snowden Lange | US | Culver City | 2009-12-31 / 20090322487 - DETERMINING ENDPOINT CONNECTIVITY OF CABLING INTERCONNECTS | 5 |
William W. Lange | US | Corona Del Mar | 2012-05-31 / 20120136746 - Systems and Methods for Conducting On-Line Auctions | 3 |
Steve R. Lange | US | Alamo | 2010-09-23 / 20100238433 - METHODS AND SYSTEMS FOR INSPECTION OF A SPECIMEN USING DIFFERENT INSPECTION PARAMETERS | 1 |
Christopher W. Lange | US | El Cerrito | 2015-06-25 / 20150175547 - CCR6 COMPOUNDS | 5 |
Thomas Lange | DE | Hamburg | 2016-01-07 / 20160001514 - METHOD AND DEVICE FOR PRODUCING GREEN TIRES | 1 |
Kendra Lange | US | Big Lake | 2016-01-07 / 20160001063 - DELIVERY CATHETER APPARATUS AND METHODS | 1 |
Andrew S. Lange | US | Culver | 2008-09-18 / 20080225716 - Quality of service admission control network | 1 |
Andrew S. Lange | US | Culver City | 2010-12-02 / 20100302973 - Enterprise Virtual Private LAN Services | 7 |
Frank De Lange | NL | Woerden | 2015-12-31 / 20150378840 - ENSURING THE SAME COMPLETION STATUS FOR TRANSACTIONS AFTER RECOVERY IN A SYNCHRONOUS REPLICATION ENVIRONMENT | 1 |
Frederik Lange | DE | Erlangen | 2008-12-04 / 20080297508 - DISTRIBUTED CALCULATION OF IMAGES OF VOLUMETRIC OBJECTS | 1 |
Christian Lange | DE | Wiernsheim | 2016-05-19 / 20160138670 - ASSEMBLY MOUNT FOR THE MOVABLE FASTENING OF A MOTOR VEHICLE ASSEMBLY, AND METHOD FOR THE MOUNTING OF A MOTOR VEHICLE ASSEMBLY | 1 |
Horst Lange | DE | Bochum | 2015-07-02 / 20150184202 - Method for the Production of Diiobutene | 10 |
Bodo Lange | DE | Greifswald | 2008-12-25 / 20080317825 - Pharmaceutical Preparation that can be Administered Orally for Treating Fish, Production Method for Said Preparation and Use of the Latter | 1 |
Stephen Joseph Lange | US | Cincinnati | 2015-12-17 / 20150360458 - METHOD FOR MAINTAINING A FASTENER IN A FOLDED CONFIGURATION | 9 |
Corinna Lange | DE | Hannover | 2015-11-26 / 20150339926 - Traffic warning apparatus and traffic warning method for warning traffic participants about a hazard region | 1 |
Andreas Lange | DE | Furth | 2015-11-19 / 20150334824 - Multilayer Body Having Electrically Conductive Elements and Method for Producing Same | 1 |
Dale Walter Lange | US | Edmonds | 2011-02-17 / 20110037543 - ELECTRICAL SWITCHING DEVICE | 2 |
Danny Lange | US | Sammamish | 2015-02-05 / 20150039619 - GROUPING DOCUMENTS AND DATA OBJECTS VIA MULTI-CENTER CANOPY CLUSTERING | 20 |
Donovan P. Lange | US | Seattle | 2015-12-31 / 20150381724 - ROAMING OF NOTE-TAKING APPLICATION FEATURES | 3 |
William Lange | US | Seattle | 2010-07-29 / 20100191552 - APPARATUS, METHOD AND ARTICLE TO FACILITATE PROPAGATION OF CURRENT APPOINTMENT AVAILABILITY IN A NETWORK ENVIRONMENT | 1 |
Donovan Lange | US | Seattle | 2009-12-24 / 20090319910 - AUTOMATIC CONTENT AND AUTHOR EMPHASIS FOR SHARED DATA | 4 |
Jonathan E. Lange | US | Bellevue | 2008-12-18 / 20080309660 - THREE DIMENSIONAL RENDERING OF DISPLAY INFORMATION | 1 |
Gerlinde Lange | DE | Langenselbold | 2010-09-23 / 20100240012 - METHOD FOR FABRICATING AN ABUTMENT | 1 |
Arno Lange | DE | Bad Duerkheim | 2016-04-07 / 20160096923 - METHOD FOR PRODUCING POLYAMIDE COMPOSITE MATERIALS CONTAINING SILICON | 30 |
Arno Lange | DE | Durkheim | 2012-02-09 / 20120032109 - ELECTROACTIVE MATERIAL, AND USE THEREOF IN ANODES FOR LITHIUM-ION CELLS | 2 |
Christopher M. Lange | US | Shoreview | 2015-12-31 / 20150378371 - REMOTE MONITORING FOR FLUID APPLICATOR SYSTEM | 2 |
Jean-Paul Andre Marie Joseph Gishlain Lange | NL | Amsterdam | 2016-04-21 / 20160107966 - PROCESS FOR THE SEPARATION OF 1,4-BUTANEDIOL AND CO-PRODUCTS | 5 |
Timothy Gordon Lange | US | Champlin | 2008-12-18 / 20080308515 - ADJUSTABLE SHELF | 1 |
Jonathon W. Lange | US | Plainview | 2010-01-21 / 20100016744 - FETAL HEART RATE MONITORING SYSTEM | 1 |
Steven J. Lange | US | St. Paul | 2015-01-29 / 20150031766 - SURFACTANT PEROXYCARBOXYLIC ACID COMPOSITIONS | 12 |
Michael R. Lange | US | Little Canada | 2014-04-24 / 20140109932 - COMPACT AUTOMATED WINDOW WASHING APPARATUS | 3 |
Christopher M. Lange | US | New Brighlon | 2008-08-28 / 20080206066 - Reciprocating Pump With Electronically Monitored Air Valve Having Battery And Solenoid Electronic Monitoring | 1 |
Tim Gordon Lange | US | Champlin | 2014-07-31 / 20140210323 - SIDE MOUNTED COMPONENT FOR CONFIGURABLE ENCLOSURE | 2 |
Christopher M. Lange | US | New Brighton | 2012-06-07 / 20120140382 - DISPLAY MODULE | 5 |
Tim G. Lange | US | Champlin | 2010-05-27 / 20100127144 - Vertical Motion Pendant Arm | 1 |
Timothy G. Lange | US | Champlin | 2012-12-06 / 20120304714 - Locking Mechanism for Configurable Enclosure | 3 |
Arthur Francis Lange | US | Sunnyvale | 2015-10-01 / 20150278719 - INTELLIGENT TOOL FOR COLLECTING AND MANAGING DATA DURING MANUAL HARVESTING OF FRUITS AND VEGETABLES | 1 |
Steven R. Lange | US | Alamo | 2015-09-17 / 20150260660 - APPARATUS AND METHODS FOR DETECTING DEFECTS IN VERTICAL MEMORY | 8 |
Jean-Paul Lange | NL | Amsterdam | 2015-12-10 / 20150353466 - PRODUCTION OF ACRYLIC ACID | 22 |
Christian Lange | DE | Ostramondra | 2015-09-10 / 20150251104 - CONNECTION STRUCTURE BETWEEN BUILDING BLOCKS AND BUILDING BLOCKS CONNECTED THEREWITH | 1 |
Stephan J. Lange | DE | St. Leon-Rot | 2008-10-23 / 20080262999 - Handling of queries of transient and persistent data | 1 |
Stephan J. Lange | DE | Leon-Rot | 2008-11-13 / 20080281855 - Data object identifiers | 1 |
Jack D. Lange | US | Charlotte | 2015-08-27 / 20150242778 - Vendor Management System | 2 |
Sebastian Lange | US | Seattle | 2010-04-08 / 20100085853 - PROTECTING OPTICAL MEDIA USING RANDOM, MOVING RADIO FREQUENCY SCATTERERS | 8 |
Hervé Lange | CA | Montreal | 2010-05-13 / 20100122193 - GENERATION OF ANIMATION USING ICONS IN TEXT | 1 |
Christoph Lange | DE | Berlin | 2015-08-20 / 20150237574 - METHOD AND DEVICE FOR SPATIOTEMPORAL CONTROL OF ELECTRICAL ENERGY CONSUMPTION OF A TELECOMMUNICATIONS NETWORK DEPENDENT ON CONDITIONS IN THE ENERGY SUPPLY SYSTEM | 3 |
Christoph Lange | DE | Grasberg | 2016-03-24 / 20160083769 - MASS-SPECTROMETRIC RESISTANCE DETERMINATION BY GROWTH MEASUREMENT | 2 |
Christoph Lange | DE | Oestringen | 2014-01-02 / 20140007136 - CONSISTENT INTERFACE FOR ADDRESS SNAPSHOT AND APPROVAL PROCESS DEFINITION | 1 |
Christoph Lange | DE | Koeln | 2012-07-26 / 20120189373 - Rotor Disk for a Turbo Machine | 2 |
Christoph Lange | DE | Koln | 2013-01-31 / 20130028724 - AXIAL-RADIAL TURBOMACHINE | 1 |
Aviva Lange | ZA | Johannesburg | 2013-01-24 / 20130023324 - Method and System for Networked Bingo | 2 |
Josephus H.m. Lange | NL | Cp Weesp | 2013-03-07 / 20130060041 - SYNTHESIS OF SUBSTITUTED PYRAZOLINE CARBOXAMIDINE DERIVATIVES | 2 |
Josephus H.m. Lange | NL | Weesp | 2011-09-29 / 20110237569 - SPIRO AZEPANE-OXAZOLIDINONES AS Kv1.3 POTASSIUM CHANNEL BLOCKERS | 9 |
Ruediger Lange | NL | Waalre | 2010-09-30 / 20100244068 - Method For Applying A Thin-Film Encapsulation Layer Assembly To An Organic Device, And An Organic Device Provided With A Thin-Film Encapsulation Layer Assembly Preferably Applied With Such A Method | 2 |
Ludwig Lange | NL | Nuland | 2014-05-08 / 20140127919 - Cross Talk Reduction for Electrical Connectors | 7 |
Ludwig Gerardus Martinus Antonius Lange | NL | Vinkel | 2008-08-28 / 20080207011 - Board-To-Board Connector | 1 |
Arno Lange | DE | Bad Duerkheim | 2016-04-07 / 20160096923 - METHOD FOR PRODUCING POLYAMIDE COMPOSITE MATERIALS CONTAINING SILICON | 30 |
Björn Lange | DE | Teschow | 2014-05-08 / 20140124672 - DEVICE WITH A MEASUREMENT ARRANGEMENT FOR OPTICAL MEASUREMENT OF GASES AND GAS MIXTURES, WITH COMPENSATION OF ENVIRONMENTAL EFFECTS | 2 |
Björn Lange | DE | Teschow | 2011-04-28 / 20110094880 - : EXPLOSION-PROOF SENSOR | 1 |
Sig Lange | US | Tempe | 2015-07-23 / 20150207888 - MULTICAST MAPPED LOOK-UP ON CONTENT DELIVERY NETWORKS | 8 |
Birger Lange | DE | Darmstadt | 2015-07-16 / 20150200405 - ELECTRICALLY CONDUCTIVE SHEET MATERIAL | 1 |
Arno Lange | DE | Bad Dürkheim | 2009-08-06 / 20090198028 - 1,2-dithiol-3-thiones as chain-transfer agents in free-radical polymerization reactions | 1 |
Julian Lange | US | Boston | 2009-04-02 / 20090087855 - MARKERS OF ALTERATIONS IN THE Y CHROMOSOME AND USES THEREFOR | 1 |
Christopher James Lange | US | 2009-04-02 / 20090089151 - System and method for brokering the sale of internet advertisement inventory | 1 | |
Heidrun Lange | DE | Bamberg | 2011-05-26 / 20110121711 - Spark plug for an internal combustion engine | 1 |
Christian Lange | DE | Halle | 2015-07-02 / 20150183846 - HUMAN FUSION PROTEINS COMPRISING SINGLE CHAIN TNFALPHA AND TARGETING DOMAINS | 1 |
Mark J. Lange | US | Malibu | 2011-06-09 / 20110133863 - High Power Waveguide Polarizer With Broad Bandwidth and Low Loss, and Methods of Making and Using Same | 1 |
Stephan Lange | AT | Hallwang | 2011-03-03 / 20110048877 - TORSIONAL VIBRATION DAMPER | 1 |
Stephan Lange | DE | Wuppertal | 2010-09-30 / 20100244500 - VEHICLE ROOF WITH HIGH INHERENT STIFFNESS | 4 |
Stephan Lange | DE | St.leon-Rot | 2015-10-01 / 20150281363 - Hierarchical Information Modification and Use | 2 |
Stephan Lange | DE | Wedemark | 2015-05-21 / 20150136268 - FLEXIBLE PIPELINE | 7 |
Stephan Lange | DE | Emmerich Amrhein | 2010-04-08 / 20100083525 - DEVICE AND METHOD FOR HEAT-TREATING A POURABLE PLANT PRODUCT | 1 |
Stephan Lange | DE | Kleve | 2009-03-12 / 20090068338 - ROASTING DEVICE FOR VEGETABLE BULK MATERIAL AND METHOD FOR OPERATING A ROASTING DEVICE FOR VEGETABLE BULK MATERIAL | 1 |
Stephan Lange | DE | Lemgo | 2013-02-21 / 20130045613 - MOUNTING RAIL BUS SYSTEM | 3 |
Perry Lange | US | 2011-06-16 / 20110143438 - Process for Decellularizing Soft-Tissue Engineered Medical Implants, and Decellularized Soft-Tissue Medical Implants Produced | 1 | |
Danniel Lange | RO | Brasov | 2015-02-12 / 20150040702 - LENGTH-ADJUSTABLE UNIT | 1 |
Joern Lange | AU | Neutral Bay | 2010-12-09 / 20100307498 - FLOW GENERATOR CHASSIS ASSEMBLY WITH SUSPENSION SEAL | 1 |
Oliver Lange | DE | Bremen | 2014-07-03 / 20140183347 - Multiple Ion Injection in Mass Spectrometry | 10 |
Oliver Lange | DE | Damme | 2009-08-13 / 20090202184 - BUSH BEARING WITH REDUCED INSTALLATION SPACE | 1 |
Oliver Lange | DE | Hannover | 2013-05-16 / 20130120204 - MICROWAVE SCANNER | 4 |
Ruediger Johannes Lange | NL | Holstlaan | 2011-07-07 / 20110165812 - LINE-AT-A-TIME FOIL DISPLAY | 1 |
Neville Ernest Lange | GB | Gloucestershire | 2015-05-28 / 20150144555 - FILTRATION APPARATUS | 1 |
Neville Ernest Lange | GB | Gloucester | 2014-04-24 / 20140110358 - ENHANCED SYSTEM FOR SAND CLEANING IN A HYDROCYCLONE | 3 |
Josephus H.m. Lange | NL | Weesp | 2011-09-29 / 20110237569 - SPIRO AZEPANE-OXAZOLIDINONES AS Kv1.3 POTASSIUM CHANNEL BLOCKERS | 9 |
Scott Lange | US | Homer Glen | 2011-07-14 / 20110171352 - Edible Baking Liner | 1 |
Berthold Lange | DE | Werne | 2014-06-12 / 20140160877 - METHOD FOR MIXING AT LEAST ONE SAMPLE SOLUTION HAVING AT LEAST ONE REAGENT, AND DEVICE | 6 |
David Lange | US | Blountville | 2015-02-19 / 20150051412 - OXIDATION PROCESS TO PRODUCE A CRUDE AND/OR PURIFIED CARBOXYLIC ACID PRODUCT | 4 |
Martin Lange | DK | Bagsvaerd | 2015-05-07 / 20150126439 - PHARMACEUTICAL COMPOSITION | 1 |
Torben B. Lange | DK | Fredensborg | 2011-08-18 / 20110198060 - Heat Dissipation Apparatus for Data Center | 2 |
Ute Lange | DE | Kahla | 2011-08-18 / 20110201025 - Polymer-coupled peptidases | 1 |
Christoph Lange | US | Cambridge | 2014-07-31 / 20140214780 - SYSTEMS AND METHODS FOR GENETIC DATA COMPRESSION | 3 |
Udo Lange | DE | Berlin | 2015-07-02 / 20150183770 - 5-RING HETEROAROMATIC COMPOUNDS AND THEIR USE AS BINDING PARTNERS FOR 5-HT5 RECEPTORS | 10 |
Keld Lange | DE | Oetisheim | 2015-04-30 / 20150119079 - SYSTEM AND METHOD FOR LOCATION OF MOBILE DEVICES IN CONFINED ENVIRONMENTS | 1 |
Thomas Lange | DE | Unterhaching | 2014-05-08 / 20140129871 - FAIL SAFE CODE FUNCTIONALITY | 5 |
Thomas Lange | DE | Vöcklabruck | 2010-01-28 / 20100021975 - Process For Producing Xylo-Oligosaccharides | 1 |
Thomas Lange | DE | Bad Bramstedt | 2008-08-28 / 20080206588 - Layer Sequence and Method of Manufacturing a Layer Sequence | 1 |
Thomas Lange | DE | Chemnitz | 2008-08-28 / 20080207430 - Polysilane-Polycarbosilane Copolymer Solutions and Oxygen-Depleted Ceramic Moulded Bodies Prepared Therefrom with Compositions Similar to that of Sic | 1 |
Thomas Lange | DE | Konstanz | 2011-05-19 / 20110113867 - DEVICE FOR RECEIVING A SOLID IN A MEASURING CELL | 1 |
Thomas Lange | DE | Delmenhorst | 2011-05-19 / 20110115128 - DEVICE FOR PRODUCING A COMPONENT AND METHOD AND COMPONENT | 1 |
Thomas Lange | DE | Vöcklabruck | 2010-01-28 / 20100021975 - Process For Producing Xylo-Oligosaccharides | 1 |
Joshua H. Lange | US | Seattle | 2015-04-23 / 20150113499 - RUNTIME SUPPORT FOR MODELED CUSTOMIZATIONS | 2 |
Gerrit Lange | DE | Freiburg | 2011-09-01 / 20110212640 - ELECTRIC, WATER VAPOR DIFFUSION RESISTANT PIN-AND-SOCKET CONNECTOR | 1 |
Nicholas T. Lange | US | Cambridge | 2011-09-08 / 20110218253 - IMAGING-BASED IDENTIFICATION OF A NEUROLOGICAL DISEASE OR A NEUROLOGICAL DISORDER | 1 |
Stefan Lange | SE | Goeteborg | 2015-04-23 / 20150110764 - Food-Induced Antisecretory Proteins | 1 |
Robert John Lange | AU | Queensland | 2011-09-22 / 20110225872 - Sinker for Fishing | 1 |
Keith Lange | US | Las Vegas | 2016-05-12 / 20160133098 - Gaming, System, Method and Device Including a Symbol Changing or Augmenting Feature | 5 |
Roland Lange | DE | Hamburg | 2015-03-26 / 20150084391 - DEVICE FOR MOUNTING A VEHICLE ATTENDANT SEAT IN A CABIN OF A VEHICLE, CABIN ARRANGEMENT IN A VEHICLE, AND VEHICLE WITH AT LEAST ONE CABIN ARRANGEMENT | 1 |
Joern Lange | AU | Sydney | 2015-03-26 / 20150083132 - FLOW GENERATOR CHASSIS ASSEMBLY WITH SUSPENSION SEAL | 1 |
Peter Lange | US | Snowmass Village | 2011-10-27 / 20110262563 - COMPOSITIONS AND METHODS FOR THE PREVENTION AND TREATMENT OF CARDIOVASCULAR DISEASES | 1 |
David Milton Lange | US | Blountville | 2016-03-03 / 20160060202 - PROCESSES FOR PRODUCING TEREPHTHALIC ACID | 9 |
Peter Lange | US | Denver | 2015-05-21 / 20150140129 - COMPOSITIONS AND METHODS FOR THE PREVENTION AND TREATMENT OF CARDIOVASCULAR DISEASES | 3 |
Peter Lange | DE | Deggendorf | 2014-04-17 / 20140107830 - METHOD AND DEVICE FOR DETECTING PARAMETERS OF A TRAVERSING OR CIRCULATING MATERIAL WEB IN A MATERIAL PROCESSING MACHINE | 1 |
Peter Lange | US | Snowmass | 2014-01-02 / 20140004093 - METHODS OF TREATING OR AMELIORATING SKIN CONDITIONS WITH A MAGNETIC DIPOLE STABILIZED SOLUTION | 1 |
Peter Lange | DE | Obing | 2013-08-08 / 20130203951 - GRAFT COPOLYMER, METHOD FOR THE PRODUCTION THEREOF, AND USE THEREOF | 4 |
Peter Lange | DE | Kaaks | 2010-07-01 / 20100162809 - FLOW RATE SENSOR FOR WATER DUCTS AND A METHOD FOR MEASURING WATER FLOW | 1 |
Dirk Lange | DE | Huerth | 2015-03-19 / 20150078125 - ACOUSTICAL HOLOGRAPHY WITH MULTI-LEVEL SQUARE WAVE EXCITATION SIGNALS | 1 |
Dirk Lange | DE | Munich | 2008-10-02 / 20080239306 - SYSTEM AND METHOD FOR OPTICAL POWER MANAGEMENT | 1 |
Dirk Lange | DE | Dortmund | 2011-01-20 / 20110011700 - DEVICE AND METHOD FOR MONITORING AN ESCALATOR OR MOVING WALKWAY | 1 |
Dirk Lange | DE | Garching B. Munchen | 2009-10-15 / 20090256568 - SYSTEM AND METHOD FOR CORRECTING FLOW VELOCITY MEASUREMENTS IN PHASE CONTRAST IMAGING USING MAGNETIC FIELD MONITORING | 1 |
Dirk Lange | DE | Luneburg | 2012-02-09 / 20120030956 - METHOD AND APPARATUS FOR THREAD TESTING BY DISTANCE MEASUREMENT | 1 |
Dirk Lange | DE | Lueneburg | 2013-11-14 / 20130304248 - Method and Apparatus for Automated Configuration of a Monitoring Function of a Machine Tool | 2 |
Dirk Lange | DE | Munchen | 2011-03-31 / 20110075142 - OPTICAL DETECTION SYSTEM | 1 |
Udo Lange | DE | Ludwigshafen | 2016-03-17 / 20160075691 - 4,5- DIHYDROPYRAZOLE DERIVATIVES, PHARMACEUTICAL COMPOSITIONS CONTAINING THEM, AND THEIR USE IN THERAPY | 24 |
Udo Lange | DE | Berlin | 2015-07-02 / 20150183770 - 5-RING HETEROAROMATIC COMPOUNDS AND THEIR USE AS BINDING PARTNERS FOR 5-HT5 RECEPTORS | 10 |
Udo Lange | DE | Mannheim | 2013-12-05 / 20130324537 - GUANIDINE COMPOUNDS, AND USE THEREOF AS BINDING PARTNERS FOR 5-HT5 RECEPTORS | 5 |
Michael A. Lange | US | Houston | 2015-02-26 / 20150053246 - HUNTING BLIND SHELTER | 1 |
Kristoffer Lange | US | Saugus | 2015-02-19 / 20150052177 - METHODS AND SYSTEM FOR PROCESSING ELECTRONIC MESSAGES | 1 |
Danny Lange | US | Sammamish | 2015-02-05 / 20150039619 - GROUPING DOCUMENTS AND DATA OBJECTS VIA MULTI-CENTER CANOPY CLUSTERING | 20 |
Sabine Lange | DE | Holzminden | 2016-01-07 / 20160000670 - COSMETIC COMPOSITIONS | 13 |
Hendrik Johannes Lange | DE | Kiel | 2016-03-24 / 20160084179 - CONTROLLING AN INTERNAL COMBUSTION ENGINE OPERATED ON GASEOUS FUEL | 3 |
Udo Lange | DE | Ludwigshafen | 2016-03-17 / 20160075691 - 4,5- DIHYDROPYRAZOLE DERIVATIVES, PHARMACEUTICAL COMPOSITIONS CONTAINING THEM, AND THEIR USE IN THERAPY | 24 |
Daniel H. Lange | IL | Kfar Vradim | 2014-07-24 / 20140207204 - PREDICTION AND MONITORING OF CLINICAL EPISODES | 12 |
Olav Lange | DE | Bottrop | 2014-07-31 / 20140213373 - INCLINED YOKE UNIVERSAL JOINT | 2 |
Stefan Lange | DE | Augsburg | 2016-04-21 / 20160111609 - Conversion LED with High Color Rendition Index | 12 |
Stefan Lange | SE | Goteborg | 2016-02-04 / 20160030515 - Use of Antisecretory Factors (AF) for Optimizing Cellular Uptake | 9 |
Jonathan E. Lange | US | Seattle | 2016-03-31 / 20160092678 - Protecting Application Secrets from Operating System Attacks | 2 |
Roland Lange | DE | Nottensdorf | 2015-10-08 / 20150284097 - Apparatus For Holding A Cabin Attendant Seat, Cabin Arrangement In A Vehicle And Vehicle Having A Cabin And Such A Cabin Arrangement | 7 |
Roland Lange | DE | Limburgerhof | 2015-01-15 / 20150017454 - CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION COMPRISING A PROTEIN | 2 |
Roland Lange | DE | Brigachtal | 2010-04-22 / 20100100749 - Single-Chip Computer and Tachograph | 3 |
Norman Lange | US | Chandler | 2014-11-06 / 20140325737 - RECONFIGURABLE ELECTRICAL WIRED CUMBERBUND | 2 |
Ilse De Lange | NL | Hellevoetsluis | 2013-01-24 / 20130023029 - NOVEL ASPARAGINASES AND USES THEREOF | 2 |
Beth A. Lange | US | Washington | 2014-12-11 / 20140363485 - WIPE AND METHODS FOR IMPROVING SKIN HEALTH | 1 |
Gudrun Lange | DE | Kelkheim | 2015-09-24 / 20150267180 - HPPD VARIANTS AND METHODS OF USE | 14 |
Klaus-Peter Lange | US | 2012-03-29 / 20120078269 - Epilator | 1 | |
Stephen Lange | US | Middletown | 2012-04-19 / 20120090365 - Organic Soil Amendments And Method For Enhancing Plant Health | 1 |
Ulrich Lange | DE | Mainz | 2016-05-05 / 20160122226 - METHOD FOR PRODUCING A CERAMIZABLE GREEN GLASS COMPONENT, AND CERAMIZABLE GREEN GLASS COMPONENT, AND GLASS CERAMIC ARTICLE | 12 |
Iise De Lange | NL | Hellevoetsluis | 2012-04-26 / 20120100249 - NOVEL ASPARAGINASE ENZYME | 1 |
Gerrit Lange | DE | Vorstetten | 2014-11-13 / 20140331472 - APPARATUS FOR THE INDUSTRIAL PRODUCTION OF PHOTOVOLTAIC CONCENTRATOR MODULES | 1 |
Steven R. Lange | US | Alamo | 2015-09-17 / 20150260660 - APPARATUS AND METHODS FOR DETECTING DEFECTS IN VERTICAL MEMORY | 8 |
Thomas Lange | DE | Donaueschingen | 2016-05-05 / 20160123459 - Control element, in particular for a motor vehicle | 1 |
Ludwig Lange | NL | Ct Nuland | 2012-05-31 / 20120135643 - Low-Cross-Talk Electrical Connector | 2 |
Neville Lange | GB | Gloucester | 2009-12-03 / 20090294375 - FLUID TREATMENT APPARATUS | 1 |
Nico Lange | DE | Wunstorf | 2015-03-12 / 20150068263 - METHOD AND DEVICE FOR MANUFACTURING A CORRUGATED METAL PIPE | 2 |
Björn Lange | DE | Teschow | 2014-05-08 / 20140124672 - DEVICE WITH A MEASUREMENT ARRANGEMENT FOR OPTICAL MEASUREMENT OF GASES AND GAS MIXTURES, WITH COMPENSATION OF ENVIRONMENTAL EFFECTS | 2 |
Stefan Lange | DE | Augsburg | 2016-04-21 / 20160111609 - Conversion LED with High Color Rendition Index | 12 |
Chad Lange | US | St. Joseph | 2012-07-05 / 20120168458 - SENSOR SYSTEM FOR A REFRIGERATOR DISPENSER | 1 |
Ulrich Lange | DE | Aichtal | 2015-06-11 / 20150162615 - Binder Materials for Anode and Cathode Materials of Lithium Cells | 2 |
Michael Ray Lange | US | Melbourne | 2012-07-26 / 20120189253 - ELECTRO-OPTICAL DEVICE HAVING AN ELASTOMERIC BODY AND RELATED METHODS | 1 |
Sig Lange | US | Tempe | 2015-07-23 / 20150207888 - MULTICAST MAPPED LOOK-UP ON CONTENT DELIVERY NETWORKS | 8 |
Gudrun Lange | DE | Kelkheim | 2015-09-24 / 20150267180 - HPPD VARIANTS AND METHODS OF USE | 14 |
Ekkehard Lange | DE | Karlsruhe | 2014-09-11 / 20140258053 - SYSTEM AND METHOD FOR ACCOUNTING OF FINANCIAL INSTRUMENTS | 1 |
Robert Lange | FR | Paris | 2013-11-14 / 20130304128 - ILIAC CONNECTOR, CONNECTOR HEAD, SPINAL FIXATION SYSTEM AND METHOD OF STABILIZING A SPINE | 6 |
Marc Lange | FR | Paris | 2011-07-07 / 20110165658 - PHOTOSYNTHETIC MICROORGANISMS ENRICHED IN SELENIUM USING SELENOHYDROXY ACID COMPOUNDS, USED THEREOF IN NUTRITION, COSMETICS AND PHARMACY | 1 |
Frederic Lange | FR | Chatillon | 2012-08-23 / 20120213320 - DEVICE FOR ASSISTING IN THE UNDERWATER EXTRACTION OR INSERTION OF AN ELONGATE ELEMENT DISPOSED IN A PIPE, AND METHOD FOR ASSISTING IN THE EXTRACTION OR INSERTION OF ONE SUCH ELEMENT | 1 |
Didier Lange | FR | St. Leonard | 2010-02-25 / 20100047004 - Combination writing instrument | 1 |
Didier Lange | FR | Saint Leonard | 2010-07-15 / 20100178098 - WRITING IMPLEMENT COMPRISING A DEVICE FOR VENTING THE RESERVOIR | 2 |
Arnaud Lange | FR | Rueil-Malmaison | 2014-11-27 / 20140350902 - Method for exploiting a fractured medium on the basis of a matched reservoir model for wells chosen by means of an equivalent transmissivity model | 2 |
Steven J. Lange | US | St. Paul | 2015-01-29 / 20150031766 - SURFACTANT PEROXYCARBOXYLIC ACID COMPOSITIONS | 12 |
Jeffrey Lange | US | New York | 2012-05-17 / 20120123571 - ENHANCED PARIMUTUEL WAGERING | 7 |
Kathryn R. Lange | US | Fishkill | 2011-02-24 / 20110042784 - Mechanical Barrier Element for Improved Thermal Reliability of Electronic Components | 1 |
Gabrielle Lange | US | Corning | 2010-12-02 / 20100304041 - Method For Coating Honeycomb Bodies | 1 |
Frances Lange | US | Uniondale | 2010-04-22 / 20100095789 - BOAT INCLUDING AUTOMATED WATER SAMPLING DEVICE AND METHOD OF USING THE SAME | 1 |
Clark Vaughn Lange | US | Ontario | 2010-01-21 / 20100014883 - METHOD AND APPARATUS FOR ELECTROSTATIC BRUSH CLEANING IN AN IMAGE PRODUCTION DEVICE | 3 |
Clark V. Lange | US | Ontario | 2010-01-21 / 20100014893 - MULTI-MODE LONG LIFE MONOCHROME PRINTING SYSTEM | 1 |
Carl J. Lange | US | Uniondale | 2009-04-16 / 20090095092 - BOAT INCLUDING AUTOMATED WATER SAMPLING DEVICE AND METHOD OF USING SAME | 1 |
Lawrence Lange | US | Wappingers Falls | 2009-03-12 / 20090070720 - System to Identify Timing Differences from Logic Block Changes and Associated Methods | 1 |
Jeffrey S. Lange | US | New York | 2012-10-18 / 20120265662 - METHODS, SYSTEMS, AND PRODUCTS FOR EFFICIENT ANNUITIZATION | 5 |
Christopher S. Lange | US | Manhasset | 2015-05-14 / 20150132792 - METHODS OF ASSAYING SENSITIVITY OF CANCER STEM CELLS TO THERAPEUTIC MODALITIES | 2 |
Cleston C. Lange | US | Farmington | 2012-09-20 / 20120237963 - APPARATUS AND METHOD FOR ASSESSING COMPOSTABILITY OR BIODEGRADABILITY | 1 |
Michael J. Lange | US | White Lake | 2012-09-27 / 20120242066 - KNEE AIRBAG MODULE | 1 |
Lene Lange | DK | Valby | 2016-02-18 / 20160046919 - Polypeptides Having Cellobiohydrolase I Activity and Polynucleotides Encoding Same | 16 |
Sven Lange | DK | Farum | 2010-07-22 / 20100184811 - ISONIAZID MEDIATED HEALING OF WOUNDS AND ULCERS | 1 |
Kai Lange | DK | Vedbaek | 2010-01-07 / 20100001192 - GAMMA CAMERA SYSTEM WITH SLANTED DETECTORS, SLANTED COLLIMATORS, AND A SUPPORT HOOD | 1 |
Jesper J. Lange | DK | Skodsborg | 2009-08-27 / 20090211032 - Support for supporting the neck and head of a human being | 1 |
Birgitte Holst Lange | DK | Gentofte | 2015-10-01 / 20150274781 - POTENT LIGANDS OF THE GHRELIN RECEPTOR | 2 |
Glenn A. Lange | US | Ferdinand | 2012-10-04 / 20120251233 - GANGED FURNITURE CONNECTOR | 1 |
Andreas Lange | DE | Wunstorf | 2008-09-11 / 20080216623 - METHOD FOR CONVERTING A TRIMMING MACHINE FOR THE PREFERABLY THREE-SIDED TRIMMING OF A STACK OF SHEETS | 1 |
Andreas Lange | DE | Zang | 2011-12-29 / 20110316282 - UNDERWATER POWER PLANT HAVING REMOVABLE NACELLE | 3 |
Andreas Lange | DE | Munchen | 2009-07-02 / 20090172631 - Method Of Adding A Functionality To An Executable First Module Of A Program Package | 1 |
Andreas Lange | DE | Bad Salzuflen | 2009-08-27 / 20090212677 - Door for Closing a Washing Machine or a Tumble Dryer | 2 |
Andreas Lange | DE | Fuerstenfeldbruck | 2012-10-18 / 20120266249 - Automatic Selection of Routines for Protection | 1 |
Gary R. Lange | US | La Crosse | 2012-10-18 / 20120260692 - WATER FLOW MEASUREMENT DEVICE | 1 |
Frederik Lange | DE | Nurnberg | 2012-11-01 / 20120278516 - Addressable FIFO | 1 |
Stefan Lange | SE | Goteborg | 2016-02-04 / 20160030515 - Use of Antisecretory Factors (AF) for Optimizing Cellular Uptake | 9 |
Ulrike Lange | GB | Cambridge | 2009-12-03 / 20090298155 - Epigenetic Regulatory Complex for Control of Gene Expression | 1 |
Scott A. Lange | US | Homer Glen | 2012-11-22 / 20120294997 - Edible Baking Liner | 1 |
Kenneth E. Lange | US | Brookfield | 2012-11-22 / 20120292583 - BLOCK | 1 |
Howard G. Lange | US | Mount Prospect | 2015-04-23 / 20150107665 - PHOTOVOLTAIC (PV) ENHANCEMENT FILMS OR PROTECTIVE COVERS FOR ENHANCING SOLAR CELL EFFICIENCIES | 11 |
David Milton Lange | US | Blountville | 2016-03-03 / 20160060202 - PROCESSES FOR PRODUCING TEREPHTHALIC ACID | 9 |
Meinolf Lange | DE | Starzach-Felldorf | 2013-03-14 / 20130066061 - PURIFICATION OF OLIGONUCLEOTIDES | 3 |
Gerhard Lange | DE | Schriesheim | 2015-11-26 / 20150337085 - METHOD FOR PRODUCING LOW-HALOGEN POLYBIPHENYLSULFONE POLYMERS | 10 |
Frank Cornelis Lange | NL | Leiden | 2012-12-20 / 20120318379 - METHOD FOR CONSTRUCTING A RISER ASSEMBLY FROM A VESSEL AND ON A SEABED | 1 |
Norbert Lange | CH | Nyon | 2014-05-08 / 20140128797 - COMPOUNDS FOR PHOTOCHEMOTHERAPY | 4 |
Ronald Lange | CH | Guemligen | 2012-11-01 / 20120273126 - SYSTEM AND METHOD FOR LAMINATING MODULES | 2 |
Ronald Frans Maria Lange | CH | Gumlingen | 2013-05-16 / 20130123108 - ACTIVE SUBSTANCE COMPOSITION COMPRISING AT LEAST ONE NITROGEN ATOM-CONTAINING, HYPERBRANCHED POLYMER | 2 |
Christian Lange | DE | Halle/saale | 2014-08-07 / 20140219959 - HUMAN FUSION PROTEINS COMPRISING INTERFERONS AND TARGETED MODIFIED UBIQUITIN PROTEINS | 1 |
Eric C. Lange | US | Pleasanton | 2014-07-10 / 20140194932 - EXPANDABLE SPINAL RODS AND METHODS OF USE | 18 |
Wilhelm Lange | NO | Sandefjord | 2011-03-03 / 20110054653 - METHOD AS TO WORK ON A PART TO BE FINISHED AND A FINISHED PART | 1 |
Belinda Lange | US | Aliso Viejo | 2014-07-03 / 20140188009 - CUSTOMIZABLE ACTIVITY TRAINING AND REHABILITATION SYSTEM | 1 |
Charles H. Lange | US | Woodbine | 2013-01-31 / 20130025369 - Inertial Measurement Systems, and Methods of Use and Manufacture Thereof | 1 |
Stephan Lange | DE | St. Leon-Rot | 2014-12-25 / 20140380181 - PRESENTING INFORMATION ON A MOBILE COMMUNICATION DEVICE | 2 |
Scott W. Lange | US | Atlanta | 2013-02-28 / 20130055148 - System and Method for Providing Controlled User Access to a Portable Electronic Device | 1 |
Paul M. Lange | US | Dryden | 2013-03-07 / 20130056963 - AIR BAG WITH PLEATED PORTION | 1 |
Christorpher W. Lange | US | El Cerrito | 2013-07-04 / 20130172315 - SUBSTITUTED ANILINES AS CCR(4) ANTAGONISTS | 1 |
Andreas Lange | DE | Urbach | 2015-07-30 / 20150211289 - SEALING ELEMENT FOR SEALING JOINTS IN THE AREA OF WINDOWS | 4 |
Greg Lange | US | San Antonio | 2013-04-11 / 20130091241 - Distributed Rate Limiting Of Handling Requests | 1 |
Udo Lange | DE | Wiesbaden | 2015-06-25 / 20150175570 - BENZENESULFONAMIDE COMPOUNDS SUITABLE FOR TREATING DISORDERS THAT RESPOND TO MODULATION OF THE DOPAMINE D3 RECEPTOR | 6 |
Christian Lange | DE | Frankfurt Am Main | 2013-06-20 / 20130156786 - PEPTIDE OR PEPTIDE COMPLEX BINDING TO 2 INTEGRIN AND METHODS AND USES INVOLVING THE SAME | 2 |
Christian Lange | DE | Holler | 2014-02-27 / 20140056895 - Dual Variable Region Antibody-Like Binding Proteins Having Cross-Over Binding Region Orientation | 4 |
Christian Lange | DE | Frankfurt | 2015-04-09 / 20150098939 - NOVEL ANTAGONIST ANTIBODIES AND THEIR FAB FRAGMENTS AGAINST GPVI AND USES THEREOF | 4 |
Christian Lange | DE | Berlin | 2015-09-10 / 20150251552 - DEVICE AND A METHOD FOR CONTROLLING AN INDUCTION COIL | 6 |
Christian Lange | DE | Eggolsheim | 2013-08-01 / 20130195329 - AUTOMATIC REGISTRATION OF IMAGE PAIRS OF MEDICAL IMAGE DATA SETS | 1 |
Joshua J. Lange | US | Tucson | 2008-08-28 / 20080203220 - AERIAL VEHICLE LAUNCHING SYSTEM AND METHOD | 1 |
Tim Lange | US | Phoenix | 2008-09-11 / 20080222205 - Development of Assertions for Integrated Circuit Design Simulation | 1 |
Michael D. Lange | US | Wall | 2008-10-02 / 20080239999 - METHODS AND APPARATUS FOR CUSTOMIZING THE AUDIO CHARACTERISTICS OF NETWORKED VOICE COMMUNICATIONS DEVICES | 2 |
Michael R. Lange | US | Melbourne | 2008-11-06 / 20080273828 - OPTICAL MICRORESONATOR WITH RESONANT WAVEGUIDE IMPARTING POLARIZATION | 1 |
Leonard V. Lange | US | Wrightsville | 2009-02-05 / 20090032054 - Cosmetic applicator and method of making | 1 |
Perry Lange | US | Virginia Beach | 2014-06-05 / 20140154663 - Process for Devitalizing Soft-Tissue Engineered Medical Implants, and Devitalized Soft-Tissue Medical Implants Produced | 2 |
William F. Lange | US | Wilmette | 2015-03-05 / 20150060258 - Process For Conversion of Organic, Waste, or Low-Value Materials into Useful Products | 3 |
Thomas Lange | DE | Munich | 2015-12-31 / 20150377740 - AUTO TIRE LOCALIZATION SYSTEMS AND METHODS UTILIZING A TPMS ANGULAR POSITION INDEX | 2 |
Thomas Lange | DE | Muenchen | 2016-02-04 / 20160031272 - DEVICE, ELEMENT, PASSIVE ELEMENT, METHODS AND COMPUTER PROGRAMS FOR OBTAINING TIRE CHARACTERISTICS | 4 |
Christopher Lange | US | Cambridge | 2016-02-25 / 20160053321 - METHOD FOR DETERMINING PREDISPOSITION TO PULMONARY INFECTION | 2 |
Keith Lange | US | Henderson | 2009-05-14 / 20090124369 - Reconfigurable Gaming Machine Method | 2 |
Harold Lange | US | Sanford | / - | 1 |
Robert G. Lange | US | Villa Park | 2009-06-25 / 20090159173 - LOW FIBER CALCINATION PROCESS FOR MAKING GYPSUM FIBERBOARD | 1 |
Philip F. Lange | US | Washington | / - | 1 |
Anja Lange | DE | Langenhagen | 2011-01-06 / 20110000084 - Method for repairing a sealing segment of a gas turbine | 4 |
Gudrun Lange | US | Lake Hopatcong | 2009-10-22 / 20090264959 - Vagus Nerve Stimulation for the Treatment of Fibromyalgia | 1 |
Oliver Lange | DE | Bremen | 2014-07-03 / 20140183347 - Multiple Ion Injection in Mass Spectrometry | 10 |
Lisa M. Lange | US | Medford | 2009-10-29 / 20090271210 - EMPLOYEE BENEFITS MANAGEMENT SYSTEM | 1 |
Eric Lange | US | Memphis | 2009-12-03 / 20090299478 - Lordotic Implant for Posterior Approach | 1 |
David Lange | US | La Crosse | 2016-03-31 / 20160090701 - PROCESS FOR COLD-IN-PLACE RECYCLING USING FOAMED ASPHALT AND LUBRICATION ADDITIVE | 4 |
Stefan Lange | DE | Heiligenhaus | 2013-09-12 / 20130234733 - CAPACITIVE SENSOR ARRANGEMENT FOR SWITCHING A DOOR OPENING ON A MOTOR VEHICLE | 1 |
Eric Belk Lange | US | Corrales | 2012-07-19 / 20120182403 - Stereoscopic imaging | 2 |
Peter Lange | US | Durham | 2010-05-20 / 20100125864 - MOBILE REMOTE CONTROL OF A SHARED MEDIA RESOURCE | 1 |
Mark Lange | US | Rougemont | 2013-05-23 / 20130129882 - METHOD FOR ENHANCING POST-PROCESSING CONTENT OF BENEFICIAL COMPOUNDS IN BEVERAGES NATURALLY CONTAINING SAME | 2 |
Kenneth Edward Lange | US | Brookfield | 2010-09-02 / 20100219389 - BLOCK WITH IMPROVED CENTRAL MOUNTING | 2 |
Eric C. Lange | US | Germantown | 2012-04-05 / 20120083890 - ANTERIOR IMPACTED BONE GRAFT AND DRIVER INSTRUMENTS | 4 |
Nancy Birbiglia Lange | US | Appleton | 2010-10-14 / 20100261812 - ABSORBENT STRUCTURE WITH SUPERABSORBENT MATERIAL | 1 |
Carl J. Lange | US | 2010-04-22 / 20100095789 - BOAT INCLUDING AUTOMATED WATER SAMPLING DEVICE AND METHOD OF USING THE SAME | 1 | |
Reid Lange | US | Sanger | 2011-12-08 / 20110302076 - METHOD AND SYSTEM FOR MONITORING FOR AND REPORTING OF LIEN DISTRESS EVENTS | 2 |
Nathaniel Joseph Lange | US | Indianapolis | 2010-11-04 / 20100276912 - SKATEBOARD LEASH | 1 |
Shay Lange | US | Farmington | 2010-12-02 / 20100306013 - SYSTEMS AND METHODS FOR SCHEDULING A MEDICAL SERVICE | 1 |
David V. Lange | US | Beloit | 2010-12-02 / 20100300635 - Process and device for treating a pulp web in an extended nip pressing unit | 1 |
Stephen Joseph Lange | US | Cincinnati | 2015-12-17 / 20150360458 - METHOD FOR MAINTAINING A FASTENER IN A FOLDED CONFIGURATION | 9 |
David Lange | US | Columbus | 2016-01-07 / 20160005533 - INDUCTOR WITH THERMALLY STABLE RESISTANCE | 7 |
Grant Lange | US | Mandeville | 2010-03-11 / 20100060081 - System and Method for Providing Power to Portable Electronic Devices | 1 |
Michael Lange | US | Rochester Hills | 2009-12-10 / 20090305623 - VENTILATION CONTROLLING APPARATUS AND METHOD FOR CONTROLLING VENTILATION IN MOTOR VEHICLES | 1 |
Krista L. Lange | US | Tucson | 2011-03-10 / 20110057739 - BI-PHASE MODULATOR APPARATUS AND METHOD | 1 |
Richard J. Lange | US | Troy | 2015-11-19 / 20150330133 - VEHICLE INCLUDING AN ASSEMBLY FOR OPENING A VEHICLE DOOR | 20 |
Jonathan Lange | US | Katy | 2008-09-25 / 20080235688 - Enhanced Distance Calculation for Job Route Optimization | 1 |
Eric Lange | US | Collierville | 2008-12-04 / 20080300685 - Posterior Total Joint Replacement | 1 |
Kurt Lange | US | Southington | 2008-12-25 / 20080320600 - SECURE DOCUMENT MANAGEMENT SYSTEM AND APPARATUS | 1 |
Richard M. Lange | US | Euclid | 2010-07-08 / 20100173814 - Titanium Compounds and Complexes as Additives in Lubricants | 4 |
Danny B. Lange | US | Sammamish | 2016-05-05 / 20160125432 - IDENTIFYING INFLUENTIAL USERS OF A SOCIAL NETWORKING SERVICE | 5 |
Philip Richard Lange | AU | Coburg | 2013-10-24 / 20130282419 - GOAL-ORIENTED PLANNING SYSTEM | 1 |
Isabell Lange | DE | Hockenheim | 2012-03-29 / 20120074358 - Anticorrosion additives for manufacturing processes, a process for preparation thereof and use thereof | 2 |
Thomas Lange | DE | Furth | 2015-08-13 / 20150229184 - ROTOR OF AN ELECTRIC MACHINE, AND ELECTRIC MACHINE | 4 |
Richard J. Lange | US | Troy | 2015-11-19 / 20150330133 - VEHICLE INCLUDING AN ASSEMBLY FOR OPENING A VEHICLE DOOR | 20 |
Jeffrey S. Lange | US | Chicago | 2015-07-23 / 20150206246 - SYSTEMS AND METHODS FOR CROWDSOURCING OF ALGORITHMIC FORECASTING | 3 |
Carol Lange | US | Minneapolis | 2013-11-28 / 20130316992 - BREAST CANCER PROGNOSIS | 1 |
Hilton Arnold Lange | US | Bellevue | 2013-12-19 / 20130339800 - FAILOVER ESTIMATION USING CONTRADICTION | 1 |
Danny Lange | US | Sammammish | 2013-12-19 / 20130339000 - IDENTIFYING COLLOCATIONS IN A CORPUS OF TEXT IN A DISTRIBUTED COMPUTING ENVIRONMENT | 1 |
Arthur F. Lange | US | Sunnyvale | 2014-04-03 / 20140095010 - CROP FEELER SYSTEM AND METHOD | 10 |
Paul P. Lange | CH | Dintikon | 2014-01-09 / 20140012000 - PROCESS FOR PREPARING A PROPIOLIC ACID OR A DERIVATIVE THEREOF | 1 |
Bernhard Lange | DE | Freising | 2013-08-29 / 20130221526 - System in Package and Method for Manufacturing The Same | 4 |
Bernhard P. Lange | DE | Freising | 2011-08-04 / 20110189383 - Device and Method for Inert Gas Cure for Leadframe or Substrate Strips | 4 |
Lawrence Langebrake | US | Seminole | 2011-05-05 / 20110107179 - METHOD AND APPARATUS FOR ERROR CORRECTION ON A MOBILE DEVICE | 1 |
Larry Langebrake | US | St. Petersburg | 2014-10-09 / 20140302406 - Electrode Mesh Galvanic Cells | 3 |
L.c. Langebrake | US | Seminole | 2010-05-13 / 20100118465 - Method of Manufacturing Silicon Topological Capacitors | 1 |
Larry C. Langebrake | US | Seminole | 2010-08-26 / 20100216038 - METHOD AND SYSTEM FOR PROVIDING A FLOW THROUGH BATTERY CELL AND USES THEREOF | 1 |
Larry Langebrake | US | Seminole | 2012-06-21 / 20120152835 - FUEL CELL DEVICES FOR USE IN WATER TREATMENT AND RECLAMATION PROCESSES | 2 |
Terje Langedal | NO | Soreidgrend | 2011-12-29 / 20110315249 - DEVICE FOR PROVIDING A CONTROLLABLE PRESSURE REDUCTION | 1 |
Ilse Lange De | NL | Hellevoetsluis | 2010-06-03 / 20100136169 - NOVEL ASPARAGINASES AND USES THEREOF | 1 |
Armin Lange De Oliveira | DE | Mannheim | 2010-12-02 / 20100305221 - METHOD FOR PRODUCING SYNTHESIS GAS | 1 |
Armin Lange De Oliveira | DE | Heidelberg | 2015-12-03 / 20150344394 - PROCESS FOR PREPARING ACRYLIC ACID USING AN ALKALI METAL-FREE AND ALKALINE EARTH METAL-FREE ZEOLITIC MATERIAL | 7 |
Johannes Petrus Maria Langedijk | NL | Leiden | 2016-04-14 / 20160102123 - STABILIZED SOLUBLE PREFUSION RSV F POLYPEPTIDES | 1 |
Patrik Langehanenberg | DE | Hamburg | 2012-05-31 / 20120133951 - Method and Apparatus for Measuring Spacings Between Optical Surfaces of an Optical System | 2 |
Ülo Langel | EE | Tartu | 2014-02-06 / 20140038281 - SYSTEM FOR CARGO DELIVERY INTO THE CELLS | 1 |
Ülo Langel | SE | Stockholm | 2015-11-12 / 20150322493 - METHOD AND ITS COMPOSITIONS FOR DETECTION OF NUCLEIC ACID TARGET FROM BIOLOGICAL SAMPLES AND BODY FLUIDS | 6 |
Randall L. Langel | US | Newport Beach | 2012-08-30 / 20120221377 - Augmenting Service Oriented Architecture Governance Maturity | 2 |
Andre Langel | DE | Stadtlohn | 2013-11-21 / 20130307271 - INRUSH CURRENT PROTECTION FOR WIND TURBINES AND WIND FARMS | 4 |
Ülo Langel | SE | Bandhagen | 2013-02-14 / 20130040309 - Methods and Compositions for In-Vivo Enzyme Capture | 4 |
Ülo Langel | SE | Bandhagen | 2013-02-14 / 20130040309 - Methods and Compositions for In-Vivo Enzyme Capture | 2 |
Andre Langel | DE | Munster | 2011-06-09 / 20110133563 - METHOD AND APPARATUS FOR REDUCTION OF HARMONICS IN A POWER SUPPLY | 2 |
Ülo Langel | SE | Stockholm | 2013-02-14 / 20130040296 - METHOD AND RAPID TEST DEVICE FOR DETECTION OF TARGET MOLECULE | 2 |
Joerg Langel | DE | Koeln | 2014-08-21 / 20140231555 - METHOD FOR GRINDING MILL MATERIAL AND ROLLER MILL | 2 |
Andre Langel | DE | Stadtiohn | 2013-09-12 / 20130234434 - OVERVOLTAGE CLIPPING DEVICE FOR A WIND TURBINE AND METHOD | 1 |
Joerg Langel | DE | Koeln-Porz | 2012-12-20 / 20120318900 - ROLLER MILL | 2 |
David Raymond Langelan | US | Oak Park | 2011-04-21 / 20110089174 - RECLOSABLE CONTAINER LID | 1 |
Stian Langeland | NO | Stavern | 2010-09-30 / 20100249592 - SYSTEM AND METHOD FOR COMPENSATING FOR MOTION WHEN DISPLAYING ULTRASOUND MOTION TRACKING INFORMATION | 2 |
William Langeland | US | Incline Village | 2016-03-31 / 20160088870 - Method, System and Apparatus for Creating 3D-Printed Edible Objects | 1 |
Jan-Age Langeland | NO | Indre Arna | 2013-02-14 / 20130039148 - MARINE SEISMIC SURVEY SYSTEM AND METHOD FOR ACTIVE STEERING OF SOURCE ARRAYS IN SUCH A SYSTEM | 1 |
Johansen Nils Langeland | DK | Kobenhavn | 2011-09-15 / 20110223151 - CONJUGATED PROTEINS WITH PROLONGED IN VIVO EFFICACY | 1 |
Stian Langeland | NO | Vestfold | 2010-09-30 / 20100246911 - METHODS AND SYSTEMS FOR DISPLAYING QUANTITATIVE SEGMENTAL DATA IN 4D RENDERING | 3 |
Thomas R. Langeland | US | Ypsilanti | 2010-10-14 / 20100261577 - Gear Shift Control of a Dual Clutch Transmission | 1 |
Bjarte Langeland | NO | Stavanger | 2010-12-02 / 20100302103 - Method for retrieving a geographical point on the seabed | 1 |
Mark S. Langelier | US | Waltham | 2014-07-31 / 20140213126 - Unmanned Underwater Vehicle | 1 |
Céline Langelier | CA | Granby | 2011-12-15 / 20110304182 - CHAIR ADJUSTMENT MECHANISM | 1 |
Céline Langelier | CA | Granby | 2011-12-15 / 20110304182 - CHAIR ADJUSTMENT MECHANISM | 1 |
Sean Michael Langelier | AU | St Kilda, Victoria | 2016-04-14 / 20160101273 - NON-INVASIVE AGENT APPLICATOR | 1 |
Sean M. Langelier | US | Ann Arbor | 2011-11-17 / 20110277848 - Acoustical Fluid Control Mechanism | 2 |
Marc Langelier | CA | Durham Sud | 2013-07-25 / 20130185907 - Funeral urn system and method of using same | 1 |
Serge Langelier | CA | Sept-Iles | 2015-06-04 / 20150154325 - VESSEL STABILITY SIMULATOR | 1 |
Philippe Langella | FR | Jouy En Josas | 2015-03-12 / 20150073125 - RECOMBINANT PROBIOTIC BACTERIA FOR THE PREVENTION AND TREATMENT OF INFLAMMATORY BOWEL DISEASE (IBD) AND IRRITABLE BOWEL SYNDROME (IBS) | 2 |
Albert Langella | US | White Plains | 2009-07-09 / 20090174685 - SYSTEM FOR CONTROLLING AN AUDIO-VIDEO SYSTEM | 1 |
Philippe Langella | US | 2012-08-02 / 20120195859 - RECOMBINANT PROBIOTIC BACTERIA FOR THE PREVENTION AND TREATMENT OF INFLAMMATORY BOWEL DISEASE (IBD) AND IRRITABLE BOWEL SYNDROME (IBS) | 1 | |
Valentina Langella | IT | Albizzate | 2011-07-07 / 20110166341 - GLYOXAL FREE PURIFIED CATIONIC POLYGALACTOMANNAN | 1 |
Valentina Langella | IT | Milano | 2013-06-27 / 20130165322 - AQUEOUS ADJUVANT CONCENTRATES WITH IMPROVED SPRAY DRIFT PROPERTIES | 2 |
Daniele Langella | IT | Lucca | 2013-01-10 / 20130008995 - MACHINE AND METHOD FOR WINDING REELS OF WEB MATERIAL | 1 |
Albert G. Langella | US | Hartsdale | 2013-03-07 / 20130057199 - ELECTRICAL JUNCTION BOX MOUNTED ELECTRONIC DEVICE CHARGING STATION | 1 |
Valentina Langella | IT | Milano (mi) | 2015-05-07 / 20150126416 - METHOD OF TREATING SUBTERRANEAN FORMATIONS | 3 |
Philippe Langella | FR | Velizy-Villacoublay | 2015-11-26 / 20150335705 - ANTI-INFLAMMATORY PEPTIDES | 2 |
Laurent Langellier | CN | Shanghai | 2013-01-31 / 20130028043 - RECLAIM FUNCTION FOR SEMICONDUCTOR PROCESSING SYSTEM | 2 |
Laurent Langellier | US | Dallas | 2009-06-04 / 20090141583 - Reclaim Function for Semiconductor Processing Systems | 1 |
Laurent Langellier | US | Houston | 2013-05-09 / 20130112276 - SYSTEMS AND METHODS FOR MANAGING FLUIDS IN A PROCESSING ENVIRONMENT USING A LIQUID RING PUMP AND RECLAMATION SYSTEM | 1 |
Gary D. Langeman | CA | Ruthven | 2008-08-28 / 20080203192 - Third stream automotive color injection | 2 |
Gary D. Langeman | CA | Leamington | 2016-04-28 / 20160114561 - COMPOSITE EDGE TRIMMING TAPE | 4 |
Gary D. Langeman | CA | Lemington | 2015-12-24 / 20150367593 - ROLL OF NON-UNIFORM THICKNESS TAPE AND METHOD FOR MAKING SAME | 1 |
Wei Lange-Mao | DE | Hagen | 2015-05-07 / 20150123437 - HEAD RESTRAINT AND METHOD FOR OPERATING A HEAD RESTRAINT | 4 |
Paul W. Langemeier | US | Houston | 2010-09-02 / 20100222480 - COMPOSITIONS USEFUL FOR NON-CELLULOSE FIBER SIZING, COATING OR BINDING COMPOSITIONS, AND COMPOSITES INCORPORATING SAME | 1 |
Lars Langemyr | SE | Stockholm | 2016-03-17 / 20160077810 - SYSTEMS AND METHODS FOR CREATING USER INTERFACES FOR GUIDING THE CREATION OF MODELING APPLICATIONS FOR FORMING AND SOLVING PROBLEMS IN A MULTIPHYSICS MODELING SYSTEM | 10 |
Barbara Langen | DE | Radebeul | 2012-11-29 / 20120302564 - (1,2,4)TRIAZOLO[4,3-A]QUINOXALINE DERIVATIVES AS INHIBITORS OF PHOSPHODIESTERASES | 8 |
Reinhard Langen | DE | Haltern | 2011-08-25 / 20110206510 - MODULAR ROTOR BLADE AND METHOD FOR MOUNTING A WIND TURBINE | 1 |
Anno R. Langen | US | Berkeley | 2010-08-12 / 20100205263 - SIP SERVER ARCHITECTURE FOR IMPROVING LATENCY DURING MESSAGE PROCESSING | 3 |
Manfred Langen | DE | Munich | 2010-04-01 / 20100082508 - Method for tagging of a content and a corresponding system | 3 |
Jos Langen | NL | Cc Zetten | 2011-06-16 / 20110145653 - METHOD AND SYSTEM FOR TESTING COMPLEX MACHINE CONTROL SOFTWARE | 1 |
Stefan Langen | DE | Kummersbruck | 2010-04-29 / 20100104875 - MANUFACTURING METHOD FOR A PLUNGER AND SUCH A PLUNGER | 2 |
Alfred Langen | DE | Aschaffenburg | 2015-02-26 / 20150052889 - Hydrostatic Power Unit | 9 |
Dominik Langen | DE | Brunnthal | 2012-12-27 / 20120331240 - DATA PROCESSING DEVICE AND DATA PROCESSING ARRANGEMENT | 1 |
H.j. Paul Langen | CA | Brampton | 2011-07-07 / 20110166007 - METHOD AND SYSTEM FOR FORMING A CARTON FROM A CARTON BLANK | 1 |
Anno Langen | US | Berkeley | 2014-03-13 / 20140074854 - SCALABLE RENDERING OF LARGE SPATIAL DATABASES | 3 |
Guenter Langen | DE | Wolfstein | 2011-07-14 / 20110172575 - SYSTEM FOR PRODUCING AN ORTHOPEDIC SPLINT | 3 |
Michael Langen | DE | Hilden | 2011-05-19 / 20110118168 - Cleaning Composition Comprising Graft Copolymers | 2 |
Peter Langen | DE | Woerthsee | 2016-03-24 / 20160082936 - Method for Braking a Motor Vehicle | 1 |
Barbara Langen | DE | Radebeul | 2012-11-29 / 20120302564 - (1,2,4)TRIAZOLO[4,3-A]QUINOXALINE DERIVATIVES AS INHIBITORS OF PHOSPHODIESTERASES | 8 |
Ralf Langen | US | Pasadena | 2013-11-14 / 20130302827 - ANNEXIN-BASED APOPTOSIS MARKERS | 3 |
H. J. Paul Langen | CA | Brampton | 2015-11-12 / 20150324893 - METHOD AND SYSTEM FOR ORDER FULFILMENT | 6 |
Manfred Langen | DE | Munchen | 2016-05-19 / 20160140186 - Identifying Subject Matter Experts | 6 |
Fabian Langen | DE | Berlin | 2016-05-12 / 20160129234 - CONNECTING ELEMENT AND CONNECTING ASSEMBLY | 1 |
Albert Langen | US | Canton | 2015-08-27 / 20150239315 - Damper | 1 |
Manfred Langen | DE | Muenchen | 2013-03-07 / 20130060864 - METHOD AND AN APPARATUS FOR DISTRIBUTION OF A MESSAGE | 1 |
Thorsten Langen | DE | Stuttgart | 2009-08-20 / 20090205499 - Method for cleaning the filters of a vacuum cleaner and vacuum cleaner for carrying out the method | 4 |
Katja Langen | US | Orlando | 2010-07-08 / 20100172469 - Method to estimate position, motion and trajectory of a target with a single x-ray imager | 1 |
Alfred Langen | DE | Aschaffenburg | 2015-02-26 / 20150052889 - Hydrostatic Power Unit | 9 |
Elizabeth Langen | US | Stanford | 2009-06-04 / 20090143650 - MINIATURIZED, DERMAL-ADHESIVE-BASED DEVICE FOR POSITION-INDEPENDENT, NON-INVASIVE FETAL MONITORING | 1 |
Herman Josef Langen | NL | Amstelveen | 2008-08-21 / 20080197142 - Apparatus and Method for Dispensing and Folding of Sheets From a Stack | 1 |
Kai Thorsten Langen | DE | Stuttgart | 2013-09-26 / 20130247328 - VACUUM CLEANER | 1 |
Alfred Langen | DE | Grossostheim | 2015-07-23 / 20150204355 - Hydrostatic Hybrid Drive Device For A Hybrid Drive Train | 1 |
Sebastian Langen | DE | Bohl-Iggelheim | 2015-10-15 / 20150289767 - TEMPERATURE SENSOR, TEMPERATURE MEASURING DEVICE AND MEDICAL ENGINEERING SYSTEMS COMPRISING A TEMPERATURE SENSOR OR A TEMPERATURE MEASURING DEVICE | 1 |
Arjan Langen | NL | Delft | 2015-02-05 / 20150037506 - MANUFACTURING FACILITY AND METHOD OF MANUFACTURING | 1 |
Evelyn Langen | DE | Dusseldorf | 2012-06-21 / 20120151683 - LIQUID WASHING OR CLEANING AGENT HAVING A POLYMER PREVENTING GRAYING | 2 |
Hanno Langen | DE | Loerrach | 2012-04-19 / 20120095029 - IPP COMPLEX AS MARKER FOR ERLOTINIB TREATMENT | 1 |
Johannes Christina Langen | NL | Cuijk | 2008-08-21 / 20080197142 - Apparatus and Method for Dispensing and Folding of Sheets From a Stack | 1 |
Caspar Langenbach | DE | Aachen | 2015-11-12 / 20150322454 - Genes to Enhance Disease Resistance in Crops | 1 |
Bruce Langenbach | US | Milwaukee | 2013-09-19 / 20130243153 - Automatically Determining 3D Catheter Location and Orientation Using 2D Fluoroscopy Only | 1 |
Stefan Langenbach | DE | Lauf | 2015-12-17 / 20150363247 - Accurate and Fast In-Service Estimation of Input Bit Error Ratio of Low Density Parity Check Decoders | 1 |
Stefan Langenbach | DE | Nuremberg | 2011-12-15 / 20110305270 - METHODS FOR OBTAINING A SET OF PATH METRICS AND EQUALIZER FOR A RECEIVER FOR DIGITAL DATA | 1 |
Christian Langenbach | DE | Erbstetten | 2013-01-31 / 20130025569 - METHOD FOR SUPPLYING A HIGH-PRESSURE PUMP IN A FUEL INJECTION SYSTEM OF AN INTERNAL COMBUSTION ENGINE WITH FUEL AND FUEL INJECTION SYSTEM | 2 |
Stefan Langenbach | DE | Lauf A.d. Pegnitz | 2015-11-12 / 20150326253 - FORWARD ERROR CORRECTION WITH TURBO/NON-TURBO SWITCHING | 1 |
Stefan Langenbach | DE | Nurnberg | 2013-05-16 / 20130124948 - Method for Obtaining a Set of Path Metrics and Equalizer for a Receiver for Digital Data | 2 |
Gerhart S. Langenbach | US | Oconomowoc | 2013-12-12 / 20130327315 - Cooktop with Downdraft Ventilator | 2 |
Todd S. Langenbach | US | Zimmerman | 2016-02-04 / 20160031352 - ADAPTABLE BOAT TRAILER AND METHOD OF USE | 1 |
Harald Langenbach | DE | Salem | 2015-05-07 / 20150124305 - Beam Steering Mirror Device | 1 |
John Langenbach | US | St. Louis | 2008-09-18 / 20080228132 - Valve for Transcutaneous Access to Existing Blood Vessel or Fistula | 1 |
Christian Langenbach | DE | Affalterbach | 2012-05-31 / 20120132177 - Fuel Injection System For an Internal Combustion Engine | 4 |
Ralf Langenbach | DE | Freudenberg | 2009-12-24 / 20090316981 - Method and device for inspecting a disk-shaped object | 1 |
Christian Langenbach | DE | Burgstetten | 2010-08-05 / 20100192921 - FUEL PUMP, IN PARTICULAR FOR A FUEL SYSTEM OF A PISTON ENGINE | 1 |
Thomas Langenbacher | DE | Mering | 2015-07-16 / 20150197198 - VEHICLE WITH TELESCOPABLE ENTRY LADDER | 1 |
Fritz Langenbacher | US | San Diego | 2014-02-27 / 20140053567 - SYSTEM AND METHOD FOR CONTROLLING A GAS TURBINE ENGINE GENERATOR SET | 1 |
Kim Langenbacher | US | St. Clair Shores | 2015-11-05 / 20150314819 - VEHICLE TRIM COMPONENT HAVING BEVERAGE RETAINER AND METHOD | 6 |
Markus Langenbacher | DE | Schluchsee | 2010-07-08 / 20100172391 - Method for Testing a Frying Oil Tester | 3 |
Markus Langenbacher | DE | Lenzkirch | 2009-12-24 / 20090314053 - Device for calibration of a humidity sensor and a sensor arrangement with a humidity sensor which may be calibrated | 2 |
Klaus Langenbacher | DE | Weingarten | 2011-06-09 / 20110133585 - SECURITY IMPROVED SEALED ELECTRIC MACHINE | 2 |
Keith Allen Langenbeck | US | Roanoke | 2011-09-29 / 20110233292 - INTEGRATED TRAIN RAIL SYSTEM WITH TIES AND THERMAL EXPANSION JOINTS | 1 |
Keith A. Langenbeck | US | Pleasant View | 2014-10-23 / 20140312133 - Train Rail Track Structure Systems | 2 |
Keith A. Langenbeck | US | Roanoke | 2011-07-21 / 20110174697 - MULTIPLE FLAT DISC TYPE PUMP AND HYDROCYCLONE | 1 |
Keith Allen Langenbeck | US | Keller | 2015-11-12 / 20150323222 - Heat Exchanger Device and System Technologies | 1 |
Andreas Langenberg | DE | Wuppertal | 2011-01-27 / 20110016933 - PIVOT LEVER WHICH IS LOCKABLE IN A TROUGH AND HAS A LOCK COVER | 1 |
Andreas Langenberg | DE | Schwelm | 2011-05-05 / 20110101708 - PIVOT LEVER ACTUATION HAVING SAFETY DEVICE | 1 |
Daniel Langenberg | US | Maryland Heights | 2013-07-11 / 20130177087 - AGILE SWITCHED-LOAD RESONATING TRANSMITTER FOR PASSBAND POWER LINE COMMUNICATION | 2 |
Andreas Langenberg | DE | Dusseldorf | 2013-08-15 / 20130205848 - QUARTER-TURN LATCH HAVING COVERED KEY ACCESS | 1 |
Gero C. Langenberg | DE | Flensburg | 2014-06-26 / 20140174109 - METHOD FOR CONTROLLING A REFRIGERATOR, A CONTROL UNIT AND A REFRIGERATOR | 2 |
Markus Langenberg | DE | Hannover | 2015-11-12 / 20150324650 - DEVICE FOR THE EXPANDED REPRESENTATION OF A SURROUNDING REGION OF A VEHICLE | 1 |
Matthew Michael Langenderfer | US | Palm Coast | 2014-05-01 / 20140119912 - FILM RIDING AERODYNAMIC SEALS FOR ROTARY MACHINES | 1 |
Terence Langenderfer | US | Maumee | 2014-12-25 / 20140374547 - Stabilizing Support For A Downspout Extension | 1 |
Rob Langendijk | DE | Berlin | 2016-02-11 / 20160040405 - KITCHEN FITTING AND OPERATING METHOD | 1 |
Erno H.a. Langendijk | NL | Eindhoven | 2008-08-21 / 20080198180 - Method and Apparatus of Converting Signals for Driving Display and a Display Using the Same | 1 |
Erno Hermanus Antonius Langendijk | NL | Eindhoven | 2015-12-10 / 20150355505 - LIGHTING DEVICE | 16 |
Erno Hermanus Langendijk | NL | Eindhoven | 2014-03-27 / 20140085352 - STEREOSCOPIC DISPLAY WITH IMPROVED VERTICAL RESOLUTION | 1 |
Andre P. Langendoen | NL | Werkendam | 2009-06-04 / 20090140034 - METHOD FOR PACKAGING ROLL OF PAPER AND THE PACKAGING BOX TO BE APPLIED THEREWITH | 1 |
Brian Keith Langendorf | US | Benicia | 2015-09-17 / 20150261901 - RELIABILITY AWARE THERMAL DESIGN | 7 |
Winfried Langendorf | DE | Wiesbaden | 2014-06-12 / 20140159396 - MOTOR VEHICLE DOOR WITH TAMPER-PROOF SAFETY MECHANISM | 4 |
Peter Langendörfer | DE | Frankfurt | 2015-12-31 / 20150380365 - INDIVIDUALISED VOLTAGE SUPPLY OF INTEGRATED CIRCUITS COMPONENTS AS PROTECTIVE MEANS AGAINST SIDE CHANNEL ATTACKS | 2 |
Peter Langendörfer | DE | Frankfurt | 2012-01-05 / 20120002669 - PROTOCOL ACCELERATOR MODULE WITH PACKET FORWARDING FUNCTION AND A METHOD OF TRANSCEIVER OPERATION FOR RAPID FORWARDING OF DATA PACKETS | 1 |
Peter Langendörfer | DE | Frankfurt (oder) | 2010-03-11 / 20100061547 - METHOD OF AND APPARATUS FOR THE REDUCTION OF A POLYNOMIAL IN A BINARY FINITE FIELD, IN PARTICULAR IN THE CONTEXT OF A CRYPTOGRAPHIC APPLICATION | 1 |
Armin Langenegger | US | Las Vegas | 2009-12-03 / 20090296885 - TREATMENT OF PATIENT TUMORS BY CHARGED PARTICLE THERAPY | 1 |
Nicole Langenegger | CH | Zurich | 2013-07-25 / 20130186046 - CAPSULE OPENING KIT | 1 |
Simon Matthias Langenegger | CH | Wiler | 2011-04-21 / 20110092693 - NOVEL COMPOUNDS | 1 |
Daniel Langenegger | CH | Brittnau | / - | 1 |
Daniel Langenegger | CH | Strengelbach | 2010-04-08 / 20100086379 - METHOD AND ARRANGEMENT FOR PRODUCING PERFECT BOUND BOOK BLOCKS | 1 |
John Langenfeld | US | Flemington | 2014-09-11 / 20140256720 - CANCER TREATMENT USING BMP INHIBITOR | 2 |
Peter T. Langenfeld | US | Belmont | 2015-02-05 / 20150040007 - PUSHING A USER INTERFACE TO A REMOTE DEVICE | 11 |
Christopher Charles Langenfeld | US | Nashua | 2015-02-12 / 20150040751 - Stirling Cycle Machine | 1 |
Christropher C. Langenfeld | US | Nashua | 2010-03-18 / 20100064682 - Thermal Energy Recovery System | 1 |
Chrsitopher C. Langenfeld | US | Nashua | 2010-04-29 / 20100101929 - Water Vending Apparatus | 1 |
Peter T. Langenfeld | US | Belmont | 2015-02-05 / 20150040007 - PUSHING A USER INTERFACE TO A REMOTE DEVICE | 11 |
Michael D. Langenfeld | US | Pella | 2015-10-15 / 20150292275 - TAPERED THREAD CONFIGURATION WITH IMPROVED DURABILITY | 3 |
Jonathan W. Langenfeld | US | Sherwood | 2015-06-25 / 20150176954 - SHOT CUP WAD | 1 |
Peter T. Langenfeld | US | Palo Alto | 2011-03-10 / 20110058052 - SYSTEMS AND METHODS FOR REMOTE CAMERA CONTROL | 1 |
Gregg Donald Langenfeld | US | Fond Du Lac | 2015-07-30 / 20150210201 - TRAILER STEP AND GUIDE | 1 |
Christopher C. Langenfeld | US | Nashua | 2016-04-07 / 20160097382 - System, Method, and Apparatus for Infusing Fluid | 46 |
David Langenfeld | US | Pella | 2013-09-19 / 20130240269 - LATCHING ROD BOX | 1 |
Joseph W. Langenfeld | US | Onawa | 2014-05-15 / 20140131992 - HYDRAULIC LINE ATTACHMENT DEVICE AND METHOD | 3 |
Peter Langenfeld | US | Belmont | 2010-07-15 / 20100180063 - SERIAL PASS-THROUGH DEVICE | 1 |
Alex Langenfeld | US | Redwood City | 2014-07-03 / 20140188899 - Modifying Structured Search Queries on Online Social Networks | 1 |
Christopher Langenfeld | US | Nashua | 2010-02-11 / 20100033315 - TRANSPORTER MOTOR ALARM | 1 |
Beate Langenhan | DE | Niederkrüchten | 2009-10-01 / 20090242084 - Method for Producing a Shaft for Compressors | 1 |
Beate Langenhan | DE | Niederkrüchten | 2009-10-01 / 20090242084 - Method for Producing a Shaft for Compressors | 2 |
Derk Langenkaemper | DE | Lindau | 2013-08-22 / 20130213758 - MULTIDISK SHIFTING ELEMENT | 1 |
Ulrich Langenkamp | DE | Neubiberg | 2015-07-23 / 20150203122 - Method, Device, and Computer Program Product for Operating a Motor Vehicle | 1 |
Winfried Langenkamp | DE | Munchen | 2014-01-02 / 20140005608 - LABEL FOR AFFIXING TO A SYRINGE BODY | 1 |
Guido Langenkamp | DE | Dortmund | 2015-03-26 / 20150082563 - BEATER FOR DUST-AFFECTED TUBE WALLS | 1 |
Eric J. Langenmayr | US | Bryn Mawr | 2011-05-26 / 20110124922 - Metal Alloy Catalyst Composition | 1 |
Nadine Langenscheidt | DE | Aachen | 2011-01-27 / 20110021798 - Ruthenium Complexes with (P-P)-Coordinated Ferrocenyldiphosphine Ligands, Process for Preparing Them and Their Use in Homogeneous Catalysis | 1 |
Nadine Langenscheidt-Dabringhausen | DE | Baesweiler | 2014-08-28 / 20140243253 - STRUCTURED DETERGENT OR CLEANING AGENT HAVING A FLOW LIMIT | 2 |
Eric Langensiepen | US | Beverly Hills | 2015-10-01 / 20150274049 - VENTILATED AND HEATED VEHICLE SEAT ASSEMBLY | 2 |
Ralph A. Langensiepen | US | Corning | 2009-11-05 / 20090272150 - CORROSION-RESISTANT CRADLE AND CASTABLE MATERIALS FOR GLASS PRODUCTION | 1 |
Ralph Alfred Langensiepen | US | Cape Coral | 2016-05-12 / 20160130186 - LARGE XENOTIME CERAMIC BLOCK AND PROCESS FOR MAKING THE SAME | 2 |
Ralph Alfred Langensiepen | US | Corning | 2014-05-29 / 20140144183 - GLASS MANUFACTURING APPARATUS AND METHODS | 6 |
Duane Langenwalter | US | Newtown | 2012-01-26 / 20120018692 - Fence rail support system | 1 |
Duane Langenwalter | US | Monroe | 2012-07-12 / 20120175579 - FENCING SYSTEM | 3 |
Duane E. Langenwalter | US | Monroe | 2014-11-27 / 20140346422 - RACKABLE FENCING OF COMPONENTS OPTIMIZED FOR PREASSEMBLY SHIPPING | 2 |
Adam Charles Lange-Pearson | US | Rochester | 2008-10-16 / 20080256501 - System and Method for Updating a Time-Related State of a Migrating Logical Partition | 1 |
Adam C. Lange-Pearson | US | Rochester | 2015-07-23 / 20150205661 - HANDLING SYSTEM INTERRUPTS WITH LONG-RUNNING RECOVERY ACTIONS | 7 |
Nimrod Langer | IL | Kibbutz Gesher Haziv | 2013-10-24 / 20130283396 - SYSTEM AND METHOD FOR LIMITING EXECUTION OF SOFTWARE TO AUTHORIZED USERS | 1 |
Thomas Langer | US | Teaneck | 2009-03-19 / 20090077593 - Interactive Reward Associated With A Broadcast | 1 |
Andreas Langer | DE | Martinsried | 2015-12-24 / 20150368705 - METHOD FOR SEQUENCING A TEMPLATE NUCLEIC ACID IMMOBILIZED ON A SUBSTRATE | 1 |
David M. Langer | US | Warren | 2012-08-23 / 20120210558 - UPSET PROTRUSION JOINING | 2 |
Kimberly Susan Langer | US | Aventura | 2015-12-24 / 20150370997 - Bundling Invasive and/or Operative Procedures | 1 |
Felix Langer | US | Flushing | 2008-09-11 / 20080216445 - Monolithic Buildings and Construction Technology | 1 |
Alexander G. Langer | US | Boston | 2008-08-28 / 20080202015 - Pliable spinning appendages and attachments for fishing lures | 1 |
George O. Langer | US | Boulder | 2012-10-25 / 20120268100 - ERROR COMPENSATION FOR CURRENT TRANSFORMER SENSORS | 1 |
Andreas Langer | DE | Munich | 2014-05-01 / 20140116892 - Apparatus and Method for Evaluating Characteristics of Target Molecules | 1 |
Hendrick Langer | DE | Schmallenberg | 2011-06-30 / 20110154877 - ROLL STAND, PARTICULARLY PUSH ROLL STAND | 1 |
Gernot Langer | AT | Katzelsdorf | 2015-12-24 / 20150371637 - METHODS AND APPARATUS FOR ASSOCIATING DICTATION WITH AN ELECTRONIC RECORD | 1 |
Paul Langer | US | Westminster | 2016-05-05 / 20160127786 - APPARATUS, SYSTEMS AND METHODS FOR MEDIA DEVICE SECURITY | 9 |
Falk Langer | DE | Zwonitz | 2015-12-24 / 20150372409 - CONTACT CARRIER WITH A TOLERANCE-COMPENSATING PORTION | 1 |
Alois Antonin Langer | US | Harrison City | 2014-06-05 / 20140152436 - DEFIBRILLATION SYSTEM FOR NON-MEDICAL ENVIRONMENTS | 2 |
Falk Langer | DE | Zwoenitz | 2015-12-24 / 20150372432 - CONNECTION MODULE WITH LIGHT DISPLAY | 1 |
Reinhard Langer | DE | Tönisvorst | 2009-08-27 / 20090216038 - High-purity vinylene carbonate and a method of purifying vinylene carbonate | 3 |
Martin Langer | DE | Wolfsburg | 2015-11-26 / 20150337914 - HYDRAULIC SUPPLY APPARATUS | 1 |
Paul A. Langer | US | Westminster | 2013-05-23 / 20130132543 - Differentiating Bookmarks in Content Access Lists Shared Among Multiple Content Player Devices | 1 |
Julia Langer | DE | Frankfurt | 2013-10-17 / 20130270802 - KNEE AIRBAG WITH CLAMSHELL HOUSING | 1 |
Thomas Langer | DE | Frankfurt | 2015-04-09 / 20150098939 - NOVEL ANTAGONIST ANTIBODIES AND THEIR FAB FRAGMENTS AGAINST GPVI AND USES THEREOF | 2 |
Peter Langer | DE | Rottenberg | 2013-07-18 / 20130183110 - INDEXABLE CUTTING INSERT | 1 |
Andreas Langer | DE | Lohhof | 2016-04-14 / 20160105151 - Switchable Dual Core Power Amplifier | 10 |
Yuri Langer | US | Wheaton | 2014-04-10 / 20140101492 - ADAPTIVE PREVENTIVE MAINTENANCE FOR COMPUTING SYSTEMS | 2 |
Paul Anthony Langer | US | Westminster | 2013-05-23 / 20130128941 - SYSTEMS AND METHODS FOR MEASURING A SIGNAL QUALITY BETWEEN AN ENTERTAINMENT DEVICE AND A REMOTE CONTROL | 1 |
Michael Langer | DE | Bad Rodach | 2016-02-11 / 20160040665 - HYDRAULIC CONVEYING DEVICE AND HYDRAULIC SYSTEM | 2 |
Peter Langer | DE | Karlsruhe | 2014-11-06 / 20140327536 - Combination Display Instrument in a Vehicle | 2 |
Alois Langer | US | Pasadena | 2015-10-29 / 20150306403 - ENERGY HARVESTING STIMULATOR | 1 |
Martin Langer | DE | Munster | 2015-12-31 / 20150374421 - METACARPAL NECK PLATE | 1 |
Britta Langer | DE | Berlin | 2014-10-30 / 20140323996 - Transdermal Therapeutic System for Administering an Active Substance | 1 |
Karsten Langer | DE | Bochum | 2011-10-06 / 20110240689 - TUNDISH IMPACT PAD | 1 |
Klaus Langer | DE | Muenster | 2012-10-18 / 20120263739 - ANTI INTEGRIN ANTIBODIES LINKED TO NANOPARTICLES LOADED WITH CHEMOTHERAPEUTIC AGENTS | 1 |
Michael Langer | DE | Hergensweiler | 2015-07-23 / 20150203999 - Device for the Intermediate Storage of Band-Like Weft Material for a Weaving Machine and Weaving Machine Having Such a Device | 2 |
Björn Langer | DE | Olfen | 2010-07-01 / 20100165487 - Apparatus for Shaping of Laser Radiation | 1 |
Klaus Langer | DE | Schöneck | 2010-02-04 / 20100028446 - TRANSPORT OF DRUGS VIA THE BLOOD-BRAIN BARRIER BY MEANS OF APOLIPOPROTEINS | 3 |
Jessica Langer | US | Highland Park | 2015-11-05 / 20150315554 - CELL LINES EXPRESSING CFTR AND METHODS OF USING THEM | 8 |
Randall Langer | US | Oak Creek | 2015-11-19 / 20150333685 - QUASI VECTOR MOTOR CONTROLLER | 2 |
Gregor Langer | AU | Wolfnitz | 2014-02-20 / 20140050442 - OPTICAL COUPLING SYSTEM FOR TWO OPTICAL WAVEGUIDES | 1 |
Werner Langer | DE | Hegnenbach | 2013-10-10 / 20130264023 - LATENT HEAT STORAGE DEVICE WITH PHASE CHANGE MATERIAL AND GRAPHITE MATRIX | 1 |
Gary Langer | US | New City | 2011-11-03 / 20110266189 - BLISTER PACKAGING | 1 |
Andreas Langer | DE | Ingelheim Am Rhein | 2015-09-17 / 20150259419 - Anti-MCAM Antibodies and Associated Methods of Use | 1 |
Harald Langer | DE | Wemding | 2016-04-28 / 20160119041 - DISTRIBUTED ANTENNA SYSTEM FOR MIMO SIGNALS | 2 |
Kurt Langer | DE | Walldorf | 2013-08-22 / 20130218307 - METHOD FOR DEBUGGING OF PROCESS OR MANUFACTURING PLANT SOLUTIONS COMPRISING MULTIPLE SUB-SYSTEMS | 1 |
Alexander G. Langer | US | Framingham | 2013-07-25 / 20130185989 - FISHING LURE ASSEMBLY | 2 |
Jûrgen Langer | DE | Offenbach | 2013-09-05 / 20130228451 - COATING SUBSTRATES WITH AN ALLOY BY MEANS OF CATHODE SPUTTERING | 2 |
Alexander Langer | US | Wallingford | 2014-01-30 / 20140028020 - COMPRESSION FITTING | 1 |
Otto Langer | DE | Neumarkt-St. Veit | 2016-02-25 / 20160054007 - Kitchen Furniture | 1 |
Tamir Langer | IL | Tel Aviv | 2015-07-23 / 20150205341 - BUS ENERGY CONSUMPTION REDUCTION APPARATUS AND METHOD | 1 |
Silke Langer | DE | Düsseldorf | 2016-02-18 / 20160045424 - COSMETIC FORMULATION CONTAINING COPOLYMER AND SULFOSUCCINATE AND/OR BIOSURFACTANT | 1 |
Silke Langer | DE | Duesseldorf | 2016-02-18 / 20160045424 - COSMETIC FORMULATION CONTAINING COPOLYMER AND SULFOSUCCINATE AND/OR BIOSURFACTANT | 1 |
Robert S. Langer | US | Cambridge | 2015-08-20 / 20150232883 - DELIVERY, USE AND THERAPEUTIC APPLICATIONS OF THE CRISPR-CAS SYSTEMS AND COMPOSITIONS FOR TARGETING DISORDERS AND DISEASES USING PARTICLE DELIVERY COMPONENTS | 3 |
Karl Langer | AT | Bludenz | 2013-09-19 / 20130245816 - CRANE CONTROLLER WITH CABLE FORCE MODE | 1 |
Klaus-Dieter Langer | DE | Berlin | 2012-02-23 / 20120045219 - Method and Apparatus for Optically Transmitting Data | 2 |
Robert S. Langer | TW | Hsinchu | 2014-12-25 / 20140377787 - THREE DIMENSIONAL LIGNOCELLULOSIC DETECTION DEVICE | 2 |
Jürgen Langer | DE | Offenbach | 2013-09-05 / 20130228451 - COATING SUBSTRATES WITH AN ALLOY BY MEANS OF CATHODE SPUTTERING | 2 |
Bernhard Langer | DE | Bad Groenenbach | 2012-12-27 / 20120324835 - METHOD AND SEALING STATION FOR SEALING PACKAGING UNITS | 1 |
Robert S. Langer | US | Newton | 2016-05-19 / 20160137785 - POLY(BETA-AMINO ALCOHOLS), THEIR PREPARATION, AND USES THEREOF | 155 |
Alexander Langer | DE | Wernigerode | 2011-02-24 / 20110041790 - Camshaft Module for Attachment to a Cylinder head of an Internal Combustion Engine | 1 |
Robert Langer | US | Newton | 2015-11-19 / 20150327989 - BREAST IMPLANT WITH ANALYTE SENSORS RESPONSIVE TO EXTERNAL POWER SOURCE | 178 |
Gerald Langer | DE | Buelstringen | 2012-09-27 / 20120243930 - CONNECTION ARRANGEMENT OF A SHAFT/HUB CONNECTION | 6 |
Peter Langer | DE | Karlsruhe-Durlach | 2012-07-12 / 20120176596 - METHOD FOR MEASURING THE PROPAGATION TIME OF LIGHT | 1 |
R. Stephen Langer | US | Auburn Township | 2014-01-23 / 20140020330 - PACKAGING MACHINE | 1 |
Stephan Langer | DE | Neuhausen | 2015-05-21 / 20150143008 - FIELD BUS SYSTEM | 1 |
Tal Langer | IL | Hod Hasharon | 2014-08-07 / 20140218700 - APPARATUS AND METHODS FOR LOCKING RESONATING FREQUENCY OF A MINIATURE SYSTEM | 1 |
Paul Stuart Langer | US | Fort Salonga | 2011-07-14 / 20110167674 - Rearfoot Post for Orthotics | 1 |
Goetz Langer | DE | Roetgen | 2012-07-12 / 20120179424 - SENSOR AND SENSOR NETWORK AND METHOD FOR THE OPERATION THEREOF | 3 |
Robert Langer | US | Cambridge | 2014-10-23 / 20140314826 - NOVEL POLYMERS WHICH RESIST BACTERIAL ATTACHMENT | 1 |
Tal Langer | IL | South Yokneam | 2015-08-06 / 20150219875 - SUPPLY INDEPENDENT AND PROGRAMMABLE NON-RESONANT MEMS DRIVER | 1 |
Martin Langer | DE | Karlsruhe | 2011-09-08 / 20110217232 - Method for Determination of the Responsiveness of an Individual to Misletoe Lectin | 1 |
Martin Langer | DE | Unna | 2011-09-08 / 20110218576 - Plating Concept for Distal Radial Fractures | 1 |
Björn Langer | DE | Olfen | 2010-07-01 / 20100165487 - Apparatus for Shaping of Laser Radiation | 2 |
Gerald Langer | DE | Bulstringen | 2010-05-13 / 20100119301 - DEVICE FOR THE ROTATIONALLY FIXED CONNECTION OF A PIN GEARBOX TO AN ARTICULATED BODY OF A DRIVE COUPLING OF A DRIVE SHAFT | 3 |
Martin Langer | DE | Ulm | 2013-08-15 / 20130210754 - METHOD FOR PRODUCING AN ENRICHED EXTRACT FROM VITIS VINIFERA L. LEAVES | 2 |
David K. Langer | US | Urbandale | 2013-08-01 / 20130197806 - AUTOMATED LOCATION-BASED INFORMATION RECALL | 2 |
Robert S. Langer | US | 2011-06-16 / 20110143993 - ENDOTHELIAL BASEMENT MEMBRANE TARGETING PEPTIDE LIGANDS | 1 | |
Klaus Langer | DE | Munster | 2011-11-10 / 20110275686 - NANOPARTICLE CARRIER SYSTEMS BASED ON POLY(DL-LACTIC-CO-GLYCOLIC ACID) (PLGA) FOR PHOTODYNAMIC THERAPY (PDT) | 2 |
Peter Langer | US | Macomb | 2011-04-21 / 20110090068 - APPARATUSES AND METHODS FOR DRIVING A DOORBELL SYSTEM PERIPHERAL LOAD AT A HIGHER CURRENT | 2 |
Alexander S. Langer | US | Wallingford | 2012-05-24 / 20120126523 - Laterally sliding roller ski | 1 |
Andreas Langer | DE | Lohhof | 2016-04-14 / 20160105151 - Switchable Dual Core Power Amplifier | 10 |
Scott E. Langer | US | Woodbury | 2009-10-08 / 20090253695 - Hydroxyalkyl Substituted Imidazonaphthyridines | 4 |
Paul Langer | US | Westminster | 2016-05-05 / 20160127786 - APPARATUS, SYSTEMS AND METHODS FOR MEDIA DEVICE SECURITY | 9 |
Gary N. Langer | US | New City | 2011-03-03 / 20110049147 - RECLOSABLE CONTAINER | 2 |
Jessica Langer | US | Highland Park | 2015-11-05 / 20150315554 - CELL LINES EXPRESSING CFTR AND METHODS OF USING THEM | 8 |
Susan Langer | US | Newton | 2010-10-21 / 20100266642 - MODIFIED CELLS FOR TARGETED CELL TRAFFICKING AND USES THEREOF | 1 |
Jürgen Langer | DE | Offenbach Am Mai | 2012-09-27 / 20120241310 - DEVICE AND METHOD FOR COATING A SUBSTRATE | 1 |
Roger L. Langer | US | Hudson | 2014-04-17 / 20140101911 - METHOD OF MAKING SELF-SUPPORTING INSULATING END CONE LINERS AND POLLUTION CONTROL DEVICES | 3 |
Angela Langer | DE | Schmallenberg | 2015-06-25 / 20150173430 - UPPER BODY ARTICLE OF APPAREL | 2 |
Jessica C. Langer | US | Highland Park | 2015-05-21 / 20150141289 - Methods and Compositions for Identifying and Validating Modulators of Cell Fate | 2 |
Elizabeth Joy Langer | US | Minneapolis | 2016-04-14 / 20160102791 - Coupling Assembly with Retaining Clip Member | 1 |
Steve Langer | US | Saint Charles | 2010-06-24 / 20100160781 - DOPPLER AND IMAGE GUIDED DEVICE FOR NEGATIVE FEEDBACK PHASED ARRAY HIFU TREATMENT OF VASCULARIZED LESIONS | 1 |
Alois A. Langer | US | Pasadena | 2010-04-29 / 20100104848 - Foam-like structures based on reactive composite materials | 2 |
Robert Samuel Langer | US | Newton | 2016-04-14 / 20160101056 - MUCOADHESIVE DEVICES FOR DELIVERY OF ACTIVE AGENTS | 2 |
Arnd Langer | DE | Cleebronn | 2013-03-14 / 20130065721 - DRIVE UNIT HAVING A TRANSMISSION | 1 |
Nicolle Langer | NL | Heppenheim | 2013-10-31 / 20130289279 - THIOCYANATO OR ISOTHIOCYANATO SUBSTITUTED NAPHTHALENE DIIMIDE AND RYLENE DIIMIDE COMPOUNDS AND THEIR USE AS N-TYPE SEMICONDUCTORS | 1 |
Richard G. Langer | US | Lakeville | 2011-02-03 / 20110029404 - TRANSACTION PAYABLES PROCESSING SYSTEM AND APPROACH | 3 |
David Brian Langer | US | Lexington | 2011-12-01 / 20110293327 - Method for Increasing Useful Life of an Image Forming Apparatus | 1 |
Scott E. Langer | US | Saint Paul | 2009-12-03 / 20090298821 - HYDROXY AND ALKOXY SUBSTITUTED IH-IMIDAZONAPHTHYRIDINES AND METHODS | 2 |
William J. Langer | US | Minnestrista | 2009-01-08 / 20090012763 - METHOD AND SYSTEM FOR TIRE EVALUATION AND TUNING WITH LOADING SYSTEM AND VEHICLE MODEL | 3 |
Vratislav Langer | SE | Lerum | 2010-09-16 / 20100234339 - SILVER(I) COMPOUNDS AND THEIR USE IN PHARMACEUTICAL COMPOSITIONS FOR THE TREATMENT,PROPHYLAXIS AND PREVENTION OF INFECTIONS | 1 |
Josef Langer | AT | St. Georgen/gusen | 2011-02-10 / 20110031070 - LIFT INSTALLATION, METHOD OF OPERATING SUCH A LIFT INSTALLATION AND METHOD OF RETROFITTING AN EXISTING LIFT INSTALLATION TO FORM SUCH A LIFT INSTALLATION | 1 |
Timothy Langer | US | Del Mar | 2015-03-05 / 20150060340 - AQUARIUM FILTRATION SYSTEM | 1 |
Tamir Langer | IL | Givataim | 2010-08-19 / 20100207531 - COLOR MANAGEMENT FOR FIELD-SEQUENTIAL LCD DISPLAY | 4 |
Moshe Langer | IL | Nes-Ziona | 2012-11-22 / 20120292502 - HIGH ELECTRON ENERGY BASED OVERLAY ERROR MEASUREMENT METHODS AND SYSTEMS | 3 |
Gregor Langer | AT | Wolfnitz | 2015-04-30 / 20150115303 - LED Module with Circuit Board | 6 |
Robert Langer | FR | Grenoble | 2011-08-11 / 20110192343 - METHOD OF MANUFACTURING A STRUCTURE COMPRISING A SUBSTRATE AND A LAYER DEPOSITED ON ONE OF ITS FACES | 2 |
Alois A. Langer | US | Harrison City | 2012-05-31 / 20120134975 - Biological targeting compositions and methods of using the same | 5 |
Gregor Langer | AT | Graz | 2009-12-17 / 20090310905 - PRINTED CIRCUIT BOARD ELEMENT INCLUDING AN OPTOELECTRONIC COMPONENT AND AN OPTICAL WAVEGUIDE | 1 |
Moshe Langer | IL | Gimzo | 2008-11-13 / 20080281905 - METHOD AND SYSTEM FOR NOTIFYING AN ADDRESSEE OF A COMMUNICATION SESSION | 1 |
Randy Langer | US | Port Orchard | 2010-01-28 / 20100023759 - METHOD AND SYSTEM FOR AUTHORIZING CLIENT DEVICES TO RECEIVE SECURED DATA STREAMS | 1 |
Salomon Z. Langer | GB | London | 2008-10-30 / 20080269306 - Antidepressant Medicament Comprising Idazoxan and a Selective Serotonin Reuptake Inhibitor | 1 |
Dane J. Langer | US | Helenville | 2015-03-26 / 20150087484 - TREADMILL WITH INTEGRATED WALKING REHABILITATION DEVICE | 2 |
Eckhard Langer | DE | Radebeul | 2012-04-12 / 20120088365 - TECHNIQUE FOR FORMING METAL LINES IN A SEMICONDUCTOR BY ADAPTING THE TEMPERATURE DEPENDENCE OF THE LINE RESISTANCE | 4 |
Sven Langer | DE | Waldbronn | 2010-08-19 / 20100206044 - COILED CAPILLARY FOR COMPENSATING MECHANICAL STRESS | 1 |
Falk Langer | DE | Marienberg | 2011-07-07 / 20110166764 - Method For Controlling Speed And/Or Distance In Motor Vehicles | 3 |
Thomas Langer | DE | Friedberg | 2013-11-28 / 20130312865 - DOUBLE-WALL PIPE AND PRODUCTION PROCESS | 1 |
Alfred Langer | DE | Kissing | 2009-12-10 / 20090302761 - DISCHARGE LAMP COMPRISING A DISCHARGE VESSEL AND AN ELECTRODE FRAME | 1 |
Scott E. Langer | US | 2012-03-22 / 20120071463 - HYDROXY AND ALKOXY SUBSTITUTED 1H-IMIDAZOQUINOLINES AND METHODS | 1 | |
Peter Langer | DE | Rostock | 2009-12-17 / 20090311745 - GROUP OF ESTERASES FOR THE ENANTIOSELECTIVE PRODUCTION OF FINE AND SPECIALITY CHEMICALS | 1 |
Roland Langer | DE | Schwanfeld | 2015-12-03 / 20150343841 - WHEEL HUB ROLLING BEARING UNIT WITH A SIGNAL EMITTER ON A HIGHER AREA OF AN INNER RING WITHIN A SEALING CAP HAVING A PROTRUSION FOR SECURING A SENSOR | 19 |
Thomas Langer | DE | Koln | 2010-08-19 / 20100209436 - METHOD FOR TREATING DISEASES RELATED TO MITOCHONDRIAL DYSFUNCTION | 1 |
Matthew Langer | US | Shelton | 2016-05-19 / 20160136462 - WEARABLE ULTRASOUND DEVICE | 1 |
Hendrik Langer | DE | Schmallenberg | 2010-08-12 / 20100199735 - Device For Adjusting the Distance of a Stripper Chisel | 1 |
Frank Langer | DE | Zweibrucken | 2011-09-22 / 20110230961 - DYNAMICALLY ADJUSTABLE ANNULOPLASTY RING AND PAPILLARY MUSCLE REPOSITIONING SUTURE | 1 |
Christian Langer | DE | Berlin | 2010-08-05 / 20100196642 - SENSOR HOUSING | 2 |
Gernot Langer | DE | Falkensee | 2016-02-25 / 20160052936 - SPIROINDOLINE DERIVATIVES FOR USE AS GONADOTROPIN-RELEASING HORMONE RECEPTOR ANTAGONISTS | 7 |
Klaus Langer | DE | Schöneck | 2010-02-04 / 20100028446 - TRANSPORT OF DRUGS VIA THE BLOOD-BRAIN BARRIER BY MEANS OF APOLIPOPROTEINS | 3 |
Robert Langer | US | 2016-01-21 / 20160020436 - Safely Ingestible Batteries | 1 | |
Harald Langer | DE | Tuebingen | 2010-03-18 / 20100068145 - Bispecific fusion protein having therapeutic and diagnostic potential | 1 |
Delony L. Langer | US | St. Paul | 2010-06-24 / 20100154943 - DROP CLOTH | 1 |
Werner Langer | DE | Altenmuenster | 2013-08-15 / 20130209858 - HEAT DISSIPATER AND ELECTRICAL ENERGY STORAGE DEVICE | 1 |
Dirk Langer | US | Palo Alto | 2010-05-27 / 20100131148 - SYSTEM AND METHOD FOR ESTIMATED DRIVER INTENTION FOR DRIVER ASSISTANCE SYSTEM CONTROL | 1 |
Deborah A. Langer | US | Chesterland | 2011-09-08 / 20110218129 - Delivery of Substantially Insoluble Additives to Functional Fluids | 1 |
Juergen Langer | DE | Mammendorf | 2013-09-19 / 20130240273 - Electrically Driven Axle of a Two-Track Vehicle | 1 |
Nicolle Langer | DE | Mannheim | 2010-07-29 / 20100187980 - SILANES CONTAINING PHENOTHIAZINE-S-OXIDE OR PHENOTHIAZINE-S,S-DIOXIDE GROUPS AND THE USE THEREOF IN OLEDS | 1 |
Werner Langer | DE | Altenmunster | 2010-04-22 / 20100096258 - REDUCED VOLTAGE DROP ANODE ASSEMBLY FOR ALUMINUM ELECTROLYSIS CELL, METHOD OF MANUFACTURING ANODE ASSEMBLIES AND ALUMINUM ELECTROLYSIS CELL | 2 |
Patrick Lars Langer | DE | Neu-Ulm | 2013-10-17 / 20130275924 - LOW-ATTENTION GESTURAL USER INTERFACE | 1 |
Thierry Langer | FR | Oberschaeffolsheim | 2013-11-28 / 20130317022 - 7-OXO-THIAZOLOPYRIDINE CARBONIC ACID DERIVATIVES AND THEIR USE IN THE TREATMENT, AMELIORATION OR PREVENTION OF A VIRAL DISEASE | 4 |
Rupert Langer | CH | Bern | 2013-12-05 / 20130323748 - METHOD OF JUDGING RISK OF CANCER RECURRENCE AND COMPUTER PROGRAM | 1 |
Christian Langer | DE | Noerdlingen | 2013-12-19 / 20130333127 - WHEEL WASHING APPARATUS | 1 |
Itai Langer | IL | Ness Ziona | 2014-01-23 / 20140024349 - SYSTEM AND METHOD FOR CELLULAR CALL MONITORING USING DOWNLINK CHANNEL CORRELATION | 1 |
Matthew D. Langer | US | Milford | 2015-08-20 / 20150231415 - LIMITED USE ULTRASONIC COUPLING DEVICE | 1 |
Andreas Langer | DE | Unterschleissheim | 2015-11-19 / 20150333711 - TRANSMIT CIRCUIT, METHOD FOR ADJUSTING A BIAS OF A POWER AMPLIFIER AND METHOD FOR ADAPTING THE PROVISION OF A BIAS INFORMATION | 15 |
Eric Langer | DE | Erftstadt | 2015-08-20 / 20150236927 - UNIFIED COMMUNICATION SERVICE DEPLOYMENT SYSTEM | 1 |
Nicolle Langer | DE | Heppenheim | 2013-12-05 / 20130324723 - TETRAAZAPYRENE COMPOUNDS AND THEIR USE AS N-TYPE SEMICONDUCTORS | 25 |
Matthias Langer | DE | Heubach-Lautern | 2014-04-03 / 20140092230 - METHOD FOR AVOIDING ARTEFACTS DURING SERIAL BLOCK FACE IMAGING | 1 |
Lucy Ruwitch Langer | US | Portland | 2015-01-22 / 20150025628 - Apparatus and Methods for Realistically Simulating the Human Nipple-Areolar Complex | 1 |
Marion Langer | DE | Munich | 2015-08-20 / 20150235367 - METHOD OF DETERMINING A POSITION AND ORIENTATION OF A DEVICE ASSOCIATED WITH A CAPTURING DEVICE FOR CAPTURING AT LEAST ONE IMAGE | 1 |
Marvin Langer | IL | Ein Hanatziv | 2015-08-27 / 20150238820 - MULTI-FOAM LAYERS EXERCISE DEVICE AND METHOD FOR USING SAME | 1 |
Andreas Langer | DE | Unterschleissheim-Lohhof | 2012-06-14 / 20120149442 - METHOD AND APPARATUS FOR OPTIMIZING OUTPUT POWER LEVELS IN POWER AMPLIFIERS | 3 |
Andreas Langer | DE | Unterschleissheim | 2015-11-19 / 20150333711 - TRANSMIT CIRCUIT, METHOD FOR ADJUSTING A BIAS OF A POWER AMPLIFIER AND METHOD FOR ADAPTING THE PROVISION OF A BIAS INFORMATION | 15 |
Andreas Langer | DE | Maselheim | 2015-03-19 / 20150079074 - Antibody Formulations And Methods | 3 |
Hartmut Langer | DE | Goettingen | 2014-09-25 / 20140283924 - TEST APPARATUS FOR TESTING FILTERS OR CONTAINERS | 3 |
Robert S. Langer | TW | Hsinchu City | 2015-06-04 / 20150153286 - DETECTION DEVICE AND MANUFACTURING METHOD FOR THE SAME | 2 |
Nicolle Langer | DE | Lampertheim | 2015-11-05 / 20150318501 - PHENOXASILINE BASED COMPOUNDS FOR ELECTRONIC APPLICATION | 4 |
Reinhard Langer | DE | Tonisvorst | 2010-12-30 / 20100331570 - METHOD FOR THE NITRATION OF SUBSTITUTED BENZENES IN THE PRESENCE OF PROPIONIC ACID | 6 |
Robert A. Langer | US | Newton | 2015-11-12 / 20150320837 - INSULIN DERIVATIVES FOR DIABETES TREATMENT | 1 |
Timothy J. Langer | US | Los Angeles | 2015-10-08 / 20150284673 - HARVESTING ALGAE FROM WATER | 2 |
Markus Langer | DE | Altenstadt | 2014-07-03 / 20140186505 - METHOD AND DEVICE FOR EXTRACTING AROMATIC SUBSTANCES FROM SOLID PLANT AROMATIC SUBSTANCE BEARERS IN A BREW LIQUID | 1 |
Roland Langer | DE | Schwanfeld | 2015-12-03 / 20150343841 - WHEEL HUB ROLLING BEARING UNIT WITH A SIGNAL EMITTER ON A HIGHER AREA OF AN INNER RING WITHIN A SEALING CAP HAVING A PROTRUSION FOR SECURING A SENSOR | 19 |
Winfried Langer | DE | Illingen | 2015-10-08 / 20150285164 - Fuel System for an Internal Combustion Engine which can be Operated with at least Two Fuel Types | 6 |
Peter Langer | DE | Penig | 2008-08-21 / 20080196527 - BEVEL GEAR MECHANISM, ESPECIALLY BEVEL SPUR-GEAR MECHANISM | 1 |
Alfred Langer | DE | Kiefersfelden | 2008-11-13 / 20080278146 - GUIDE DEVICE AND TEST APPARATUS FOR ELECTRONIC DEVICES | 1 |
Thomas Langer | GB | Cheshire | 2016-04-21 / 20160108023 - Novel Compound | 2 |
Kathrin Langer | DE | Dassel | 2015-12-03 / 20150342238 - Dry Food Composition | 2 |
Matthias Langer | DE | Malsch | 2009-01-22 / 20090024569 - METHOD AND SYSTEM FOR MANAGING COMPLEX DATABASE INFORMATION | 1 |
Gemot Langer | DE | Falkensee | 2009-02-12 / 20090042913 - Indolylalkylthienopyrimidylamines as modulators of the EP2 receptor | 1 |
Klaus Langer | DE | Erlangen | 2011-08-18 / 20110200555 - CONJUGATES OF HYDROXYALKYL STARCH AND A PROTEIN | 2 |
Helmar Langer | DE | Unterhaching | 2009-03-12 / 20090068376 - Device and Method for Manufacturing a Three-Dimensional Object with a Heated Recoater for a Building Material in Powder Form | 1 |
Ellen Langer | US | Portland | 2016-02-11 / 20160040132 - THREE-DIMENSIONAL BIOPRINTED PANCREATIC TUMOR MODEL | 1 |
Matthias Langer | DE | Heubach | 2013-06-20 / 20130153742 - ARRANGEMENT FOR HOLDING A PARTICLE BEAM APPARATUS | 3 |
Jerome Langer | US | Highland Park | 2011-09-15 / 20110224407 - Type I Interferon Antagonists | 1 |
Matthias Langer | DE | Waidhaus | 2009-03-19 / 20090070983 - SELF-PIERCING ELEMENT | 1 |
Randall S. Langer | US | Oak Creek | 2012-04-05 / 20120081202 - HIGH SPEED TRANSFORMER | 1 |
Alexander Langer | DE | Herzogenrath | 2015-04-16 / 20150103197 - TECHNIQUE FOR VIEW SYNTHESIS | 1 |
Klaus Langer | DE | Munich | 2010-10-07 / 20100255308 - CYCLIC PROCESS FOR THE PREPARATION OF BARIUM SULPHATE AND LITHIUM METAL PHOSPHATE COMPOUNDS | 1 |
Thomas Langer | DE | Frankfurt Am Main | 2014-03-13 / 20140073563 - FUSION PROTEINS FOR TREATING A METABOLIC SYNDROME | 4 |
Andreas Langer | DE | Waldkirch | 2013-08-29 / 20130225964 - ELECTRODE FOR INTRAOPERATIVE NERVE STIMULATION | 2 |
Diane Langer | DE | Syke | 2009-03-26 / 20090082522 - ELASTOMERIC COMPOSITION | 1 |
Gunter Langer | DE | Bannewitz | 2009-04-09 / 20090091464 - Support for service activities at a Progammable Logic Controller (PLC) | 1 |
Kathrin Langer | DE | Dassel-Hilwartshausen | 2014-09-25 / 20140287122 - USE OF RUBUSOSIDE FOR REDUCING OR SUPPRESSING CERTAIN UNPLEASANT TASTE IMPRESSIONS | 3 |
Uwe Langer | DE | Boeblingen | 2015-06-25 / 20150176550 - DEVICE FOR TRANSMITTING SOUND | 3 |
Wolfgang Langer | DE | Frankfurt/m | 2009-05-21 / 20090127036 - Brake Caliper | 1 |
Hendrik Mark Langerak | US | Bellevue | 2014-11-13 / 20140337023 - SPEECH TO TEXT CONVERSION | 1 |
Marielle Johanna Langerak | NL | Eindhoven | 2008-12-11 / 20080303351 - Coupling System | 1 |
Anthonie Willem Langerak | NL | Barendrecht | 2015-04-09 / 20150099646 - NUCLEIC ACID AMPLIFICATION PRIMERS FOR PCR-BASED CLONALITY STUDIES | 2 |
Jakob Arie Cornelis Langerak | NL | Rijswijk | 2012-01-26 / 20120017638 - METHOD OF REMOVING CARBON DIOXIDE FROM A FLUID STREAM AND FLUID SEPARATION ASSEMBLY | 2 |
Anthonie Willem Langerak | NL | Rotterdam | 2015-06-11 / 20150160226 - METHOD, REAGENTS, AND KITS FOR DETECTING MINIMAL RESIDUAL DISEASE | 1 |
Ronald Langereis | NL | Veldhoven | 2011-10-27 / 20110259751 - METHOD AND DEVICE FOR CONTROLLING UPLINK POWER | 1 |
Geert Langereis | NL | Eindhoven | 2012-11-29 / 20120299130 - ACCELEROMETER AND PRODUCTION METHOD | 26 |
Alexander Langereis | SE | Sigtuna | 2016-05-05 / 20160128076 - Triggering of Transmission Time Interval Bundling Based on Frequency Selective Channel Quality Information in a Radio Communication System | 6 |
Sander Langereis | NL | Eindhoven | 2015-07-30 / 20150209245 - RELEASE OF MOLECULES FROM CONTAINER BY SELECTIVE HEATING OF HAIR | 5 |
Gerardus Rudolph Langereis | NL | Eindhoven | 2009-09-17 / 20090234331 - ELECTRONICALLY CONTROLLED PILL AND SYSTEM HAVING AT LEAST ONE SENSOR FOR DELIVERING AT LEAST ONE MEDICAMENT | 2 |
Sander Langereis | NL | Mierlo | 2015-09-03 / 20150247008 - CHELATING AMPHIPHILIC POLYMERS | 6 |
Geert Langeries | NL | Eindhoven | 2012-05-31 / 20120133005 - COLLAPSED MODE CAPACITIVE SENSOR | 1 |
Solomon Langermann | US | Baltimore | 2016-05-12 / 20160130348 - ANTIBODIES AND OTHER MOLECULES THAT BIND B7-H1 AND PD-1 | 20 |
Solomon Langermann | US | Baltimore | 2016-05-12 / 20160130348 - ANTIBODIES AND OTHER MOLECULES THAT BIND B7-H1 AND PD-1 | 20 |
Anita Langerød | NO | Oslo | 2011-04-28 / 20110097732 - NOVEL BIOMARKER FOR THE PROGNOSIS OF BREAST CANCER | 1 |
Alan D. Langerud | US | Plymouth | 2011-11-17 / 20110282300 - Apparatus for dispensing medicinal fluids and method of making same | 8 |
Alan D. Langerud | US | Plymouth | 2011-11-17 / 20110282300 - Apparatus for dispensing medicinal fluids and method of making same | 8 |
Christoph Langes | AT | Ampfererstrasse | 2008-11-13 / 20080280841 - Amorphous Telithromycin Compound | 1 |
Christoph Langes | AT | Kundl | 2014-07-17 / 20140200252 - CRYSTAL FORMS OF SAXAGLIPTIN | 2 |
Christoph Langes | AT | Innsbruck | 2016-02-11 / 20160039871 - NOVEL FORMS OF TELAPREVIR | 6 |
René Langeslag | CA | Calgary | 2013-04-25 / 20130098630 - DOWNHOLE FLOW CONTROL DEVICE AND METHOD | 1 |
Hinderikus Maria Wilhelmus Langeslag | NL | Wijchen | 2012-04-12 / 20120086354 - GENERATION FROM PHASE CUT DIMMER OUTPUT WITH FAST RESPONSE TO CHANGES IN DIMMER POSITION | 1 |
Wihelmus Hinderikus Maria Langeslag | NL | Wijchen | 2014-05-08 / 20140125235 - CIRCUIT FOR DRIVING LEDS | 1 |
Wilhelmus Hinderikus Maria Langeslag | NL | Wijchen | 2014-07-03 / 20140184145 - CONTROL CIRCUIT FOR A POWER SUPPLY | 7 |
Wilhelmus Hinderikus Langeslag | NL | Wijchen | 2011-01-13 / 20110006698 - OVERLOAD PROTECTION | 1 |
Wilhelmus H. M. Langeslag | NL | Wijchen | 2011-03-24 / 20110068713 - METHOD AND CIRCUIT ARRANGEMENT FOR CYCLE-BY-CYCLE CONTROL OF A LED CURRENT FLOWING THROUGH A LED CIRCUIT ARRANGEMENT, AND ASSOCIATED CIRCUIT COMPOSITION AND LIGHTING SYSTEM | 3 |
Rene Langeslag | CA | Calgary | 2013-04-25 / 20130098630 - DOWNHOLE FLOW CONTROL DEVICE AND METHOD | 5 |
Wilhemus H. M. Langeslag | NL | Wijchen | 2011-04-28 / 20110095730 - SWITCHED MODE POWER SUPPLY | 1 |
Wilhelmus Hinderikus Maria Langeslag | NL | Wychen | 2013-02-07 / 20130033177 - Electronic Ballast | 1 |
Jennie Langeström | SE | Goteborg | 2012-12-27 / 20120325515 - CABLE AND POLYMER COMPOSITION | 1 |
Jennie Langeström | SE | Goteborg | 2012-12-27 / 20120325515 - CABLE AND POLYMER COMPOSITION | 1 |
Hélène Langet | FR | Sevres | 2012-06-28 / 20120163532 - TOMOGRAPHIC RECONSTRUCTION OF AN OBJECT IN MOTION | 1 |
Hélène Langet | FR | Sevres | 2012-06-28 / 20120163532 - TOMOGRAPHIC RECONSTRUCTION OF AN OBJECT IN MOTION | 1 |
Bjarne Langeteig | NO | Balestrand | 2013-08-08 / 20130199793 - WELL INTERVENTION | 1 |
Willem Gerhardus Johannes Langeveld | US | Menlo Park | 2015-11-12 / 20150325401 - LOW-DOSE RADIOGRAPHIC IMAGING SYSTEM | 3 |
G.j. Langeveld | US | Menlo Park | 2014-06-12 / 20140161225 - Scanning Systems | 1 |
Nicholas Langeveld | US | Darien | 2013-08-22 / 20130218663 - AFFECT BASED POLITICAL ADVERTISEMENT ANALYSIS | 1 |
Cornelis Petrus Langeveld | NL | Zuidoostbeemster | 2012-08-09 / 20120201737 - Process For Production Of Commercial Quality Potassium Nitrate From Polyhalite | 2 |
Willem G.j. Langeveld | US | Fair Oaks | 2013-12-12 / 20130329855 - Systems and Methods for Using an Intensity-Modulated X-Ray Source | 1 |
Pieter Cornelis Langeveld | NL | Delft | 2012-11-15 / 20120290219 - COMBINATION OF READER AND INCUBATOR | 3 |
Pieter Comelis Langeveld | NL | Delft | 2008-11-27 / 20080293093 - Determining presence of antibiotic in a fluid | 1 |
Michiel Jacobus Johannes Langeveld | NL | Zoetermeer | 2015-08-27 / 20150240491 - BUILDING PANEL FOR CEILINGS OR WALLS | 2 |
Willem G.j. Langeveld | US | Menlo Park | 2014-11-20 / 20140341340 - Method and System for Extracting Spectroscopic Information from Images and Waveforms | 5 |
Simon Albertus Langeveld | NL | Bennnebroek | 2011-05-05 / 20110105338 - EXPRESSION-LINKED GENE DISCOVERY | 1 |
Willem Gerhardus Johanne Langeveld | US | Menlo Park | 2012-12-20 / 20120321049 - System and Method for X-Ray Source Weight Reduction | 2 |
Kevin Richard Langevin | US | Berlin | 2014-03-20 / 20140075804 - MODULAR UPPER RECEIVER AND FIREARM WITH MODULAR UPPER RECEIVER | 5 |
Rock Langevin | CA | Edmonton | 2016-05-12 / 20160129962 - MOTORCYCLE SAFETY SYSTEM WITH INCORPORATED CAMERA AND VIDEO RECORDING | 1 |
Dominique Langevin | FR | Critot | 2014-07-17 / 20140199613 - PROTON-CONDUCTING COMPOSITE MEMBRANE FOR FUEL CELLS | 1 |
Jean-Philippe Langevin | US | Tucson | 2012-11-15 / 20120290058 - METHODS AND SYSTEMS FOR THE TREATMENT OF ANXIETY DISORDERS AND DISORDERS WITH PSYCHOTIC FEATURES | 1 |
Beverly C. Langevin | US | Stewartsville | 2012-06-14 / 20120149728 - NOVEL FUMARATE SALTS OF A HISTAMINE H3 RECEPTOR ANTAGONIST | 1 |
Patrick Langevin | CA | St-Basile-Le-Grand | 2010-06-10 / 20100140070 - ELECTRIC TIMER FOR CONTROLLING POWER TO A FAN | 1 |
Stéphane Langevin | FR | Ponthierry | 2016-02-18 / 20160047027 - Pre-Cooling System having Controlled Internal Adjustment | 1 |
Thomas Langevin | FR | Dammarie Les Lys | 2009-12-10 / 20090304509 - BALANCING SYSTEM FOR TURBOMACHINE ROTOR | 1 |
Stéphane Langevin | FR | Saint-Fargeau-Ponthierry | 2014-06-12 / 20140158234 - DEVICE FOR BLOWING GAS INTO A FACE OF TRAVELING STRIP MATERIAL | 4 |
David Langevin | US | Mendota Heights | 2016-03-17 / 20160073709 - Shoulder Pad Support | 1 |
Thomas Langevin | FR | Brunoy | 2011-11-10 / 20110274541 - ANNULAR FLANGE FOR FASTENING A ROTOR OR STATOR ELEMENT IN A TURBOMACHINE | 3 |
Chris Langevin | CA | Prevost | 2008-10-30 / 20080265531 - Method of customizing a blade holder for an ice skate | 1 |
Deborah Langevin | US | Mendota Heights | 2016-03-17 / 20160073709 - Shoulder Pad Support | 1 |
Craig Langevin | US | Troy | 2014-10-30 / 20140322464 - ACTIVATABLE MATERIAL AND CARRIER ATTACHMENT | 1 |
Stéphane Langevin | FR | Saint-Fargeau-Ponthierry | 2011-02-10 / 20110030820 - DEVICE FOR BLOWING GAS ONTO A FACE OF TRAVELING STRIP MATERIAL | 1 |
Edwin Langevin | US | Coronado | 2015-09-03 / 20150249620 - PACKET SHAPING IN A NETWORK PROCESSOR | 3 |
Kevin Langevin | US | Berlin | 2015-10-29 / 20150308769 - TRIGGER AND HAMMER FOR AUTOMATIC AND SEMI-AUTOMATIC RIFLES | 12 |
Levi C. Langevin | US | Matawan | 2012-10-18 / 20120261113 - Cobble/small boulder debris device in borehole excavating | 1 |
Charles Langevin | US | Killingworth | 2016-02-11 / 20160044477 - VISUAL IVR USING CALL REDIRECT | 2 |
Marie-Eve Langevin | US | Somerset | 2016-02-04 / 20160032471 - PROCESSES FOR PREPARING LITHIUM HYDROXIDE | 1 |
Kevin Langevin | US | Berlin | 2015-10-29 / 20150308769 - TRIGGER AND HAMMER FOR AUTOMATIC AND SEMI-AUTOMATIC RIFLES | 12 |
Warren Langevin | US | Austin | 2015-11-26 / 20150338927 - Viscoelastic Keyboard Key Design | 1 |
Mathieu Langevin | CA | Quebec | 2011-10-27 / 20110263904 - YIELD-EFFICIENT PROCESS FOR THE PRODUCTION OF HIGHLY PURE 2-METHYL-1,4-NAPHTHOQUINONE AND ITS DERIVATIVES | 1 |
David A. Langevin | US | Warwick | 2015-03-12 / 20150074654 - COMPUTER LANGUAGE TRANSLATION FILTER | 2 |
Rebecca Ann Langevin | US | Norwood | 2016-05-19 / 20160137674 - SILICONE COMPOUNDS | 6 |
Jeff M. Langevin | US | Belmont | 2009-03-12 / 20090066697 - CARICATURE TOOL | 1 |
Mathieu Langevin | CA | St-Boniface | 2013-08-08 / 20130204019 - YIELD-EFFICIENT PROCESS FOR THE PRODUCTION OF HIGHLY PURE 2-METHYL-1, 4-NAPHTHOQUINONE AND ITS DERIVATIVES | 1 |
Eric David Langevin | US | Lexington | 2012-06-28 / 20120162297 - TWO PASS PRINT MODE METHOD AND APPARATUS FOR LIMITING WIND-RELATED PRINT DEFECTS | 4 |
Jeff Langevin | US | Fort Worth | 2010-12-09 / 20100308171 - Method of Manufacturing Aircraft Using Temporary Fasteners | 1 |
Matthew G. Langevin | US | Mebane | 2009-10-29 / 20090269359 - Method of Treatment and Prophylaxis of Diseases Related to Amyloid Deposition Using IGM | 1 |
Michel Langevin | CA | Ottawa | 2015-11-05 / 20150319106 - NETWORK INTERFACE FOR A SoC COMPRISING AN IMPROVED COMMUNICATION CONTROLLER | 1 |
Emilien Langevin | FR | Pussay | 2015-12-24 / 20150366231 - PROCESS FOR DIRECT INOCULATION FROM FROZEN CONCENTRATED FERMENTS AND ASSOCIATED DEVICE | 1 |
Charles M. Langevine | US | Brooklyn | 2014-12-25 / 20140378475 - SUBSTITUTED TETRAHYDROCARBAZOLE AND CARBAZOLE CARBOXAMIDE COMPOUNDS | 3 |
Juergen Langewald | DE | Mannheim | 2016-05-05 / 20160122351 - Substituted Pyrimidinium Compounds and Derivatives for Combating Animal Pests | 20 |
Jürgen Langewald | DE | Mannheim | 2015-09-10 / 20150250173 - PESTICIDALLY ACTIVE MIXTURES COMPRISING ANTHRANILAMIDE COMPOUNDS | 22 |
Juergen Langewald | DE | Mannheim | 2016-05-05 / 20160122351 - Substituted Pyrimidinium Compounds and Derivatives for Combating Animal Pests | 20 |
Jürgen Langewald | DE | Mannheim | 2011-07-07 / 20110166162 - 1,2-Benzisothiazole Compounds Useful for Combating Animal Pests | 12 |
Jürgen Langewald | DE | Mannheim | 2011-07-07 / 20110166162 - 1,2-Benzisothiazole Compounds Useful for Combating Animal Pests | 12 |
Edwin H. Langewisch | US | 2014-09-25 / 20140283774 - ROCKER ARM ASSEMBLY AND METHOD OF LUBRICATING A VALVE TRAIN | 1 | |
Edwin Henry Langewisch | US | Dunlap | 2015-12-03 / 20150345347 - Intake Valve Closure Control for Dual-Fuel Engines | 4 |
Edwin H. Langewisch | US | Dunlap | 2012-06-21 / 20120152196 - ROCKER SHAFT SHIM | 2 |
Gerardus Johannes Langewouters | NL | Nieuwkoop | 2012-09-27 / 20120245471 - DEVICE FOR CONTROLLING THE PRESSURE IN AN INFLATABLE PRESSURE PAD | 1 |
Wilfred Langezaal | NL | Wijchen | 2011-11-17 / 20110277915 - NOVEL ADHESIVE COMPOSITION | 1 |
Patrick Langfeld | DE | Karlsruhe | 2009-12-24 / 20090316766 - METHOD OF DETERMINING A CHANNEL QUALITY AND MODEM | 1 |
Kirsten Langfeld | DE | Neuhausen | 2009-03-19 / 20090076226 - CATALYSTS FOR METATHESIS REACTIONS | 1 |
Kerstin Langfeld | DE | Berlin | 2009-03-12 / 20090069516 - CATALYST SYSTEMS AND THEIR USE FOR METATHESIS REACTIONS | 1 |
Patrick Langfeld | DE | Karisruhe | 2010-03-04 / 20100054314 - INITIALIZATION OF AND MODEM FOR AN OFDM DATA TRANSMISSION | 1 |
Giacomo Langfelder | IT | Milan | 2015-02-05 / 20150035526 - MAGNETIC SENSOR INCLUDING A LORENTZ FORCE TRANSDUCER DRIVEN AT A FREQUENCY DIFFERENT FROM THE RESONANCE FREQUENCY, AND METHOD FOR DRIVING A LORENTZ FORCE TRANSDUCER | 2 |
Giacomo Langfelder | IT | Milano | 2010-02-25 / 20100044822 - LUMINOUS RADIATION COLOUR PHOTOSENSITIVE STRUCTURE | 1 |
Laura Langfield | US | Austin | 2009-10-15 / 20090258928 - METHODS AND COMPOSITIONS FOR DIAGNOSING AND MODULATING HUMAN PAPILLOMAVIRUS (HPV) | 1 |
Kirsten K. Langfield | US | Lake City | 2010-09-30 / 20100247488 - Paramyxoviridae Virus Preparations | 3 |
Jason D. Langfield | US | Bloomington | 2010-04-08 / 20100085239 - Device and method for detecting a target using a high speed sampling device | 1 |
Susan K. Langford | US | Sunnyvale | 2013-07-25 / 20130188790 - CRYPTOGRAPHIC KEY | 1 |
John Langford | US | Chicago | 2015-09-17 / 20150262185 - CONFIDENTIAL FRAUD DETECTION SYSTEM AND METHOD | 1 |
Vaughan Stephen Langford | NZ | Christchurch | 2008-10-23 / 20080261263 - In Vitro Evaluation of Micro-Organisms and Their Antimicrobial Agent Susceptibilities | 1 |
John Langford | US | White Plains | 2015-02-19 / 20150051973 - CONTEXTUAL-BANDIT APPROACH TO PERSONALIZED NEWS ARTICLE RECOMMENDATION | 11 |
John Kenneth Langford | AU | Lakelands New South Wales | 2009-07-02 / 20090171699 - System and Method for Managing a Property | 1 |
Stanley C. Langford | CA | Harrow | 2009-12-31 / 20090326861 - DOSE COUNTING SCALES FOR A MEDICAL INHALER | 1 |
Nathan K. Langford | AT | Viena | 2010-04-01 / 20100079833 - COHERENT PHOTONIC FREQUENCY CONVERSION (CPFC) FOR QUANTUM COMPUTING USING PUMPED FOUR-WAVE MIXING PROCESSES | 1 |
Charles Richard Langford | AU | New South Wales | 2011-09-15 / 20110221297 - WINDING INSULATION ARRANGEMENT FOR AXIAL FLUX MACHINES | 2 |
Charles Richard Langford | AU | Nsw | 2010-07-01 / 20100164313 - AXIAL FLUX ELECTRICAL MACHINE | 1 |
Peter Alan Langford | AU | Victoria | 2010-12-30 / 20100328967 - RESONANT POWER CONVERTER | 1 |
Charles Richard Langford | AU | Grays Point Nsw | 2013-10-17 / 20130270945 - AXIAL LOAD SHARING BEARING SYSTEM AND ASSOCIATED METHOD OF USE | 1 |
Joshua Langford | US | Orlando | 2015-10-01 / 20150272638 - BONE PLATE WITH VERSATILE SCREW HOLES | 1 |
Joss Langford | GB | Hull | 2008-08-28 / 20080202172 - Device for Providing a Relatively Constant Rate of Dissolution of a Solid Article within It | 1 |
David W. Langford | US | Madison | 2011-08-25 / 20110207209 - CULTURE SYSTEMS, APPARATUS, AND RELATED METHODS AND ARTICLES | 1 |
David John Langford | GB | Coven | 2012-12-13 / 20120312154 - Actuator with Locking Arrangement | 2 |
Alan Langford | GB | Herts | 2010-06-17 / 20100147293 - AEROSOL ACTUATOR | 1 |
Nathan Langford | US | Athens | 2016-02-25 / 20160052708 - TANK TRAILER HAVING INTEGRATED HEAT PANELS | 1 |
Michael Phillip Langford | GB | Bracknell | 2014-02-20 / 20140053295 - MUTANT HYDROXYPHENYLPYRUVATE DIOXYGENASE POLYPEPTIDES AND METHODS OF USE | 8 |
Richard Langford | GB | Northhamptonshire | 2011-01-27 / 20110017532 - A HYBRID POWERTRAIN | 1 |
Jocelin Langford | GB | Bonby | 2011-03-03 / 20110048232 - Air Cleaner | 1 |
Kelly Langford | GB | York | 2011-03-17 / 20110064809 - SCAFFOLDS | 1 |
Jane Elizabeth Langford | GB | Liverpool | 2014-07-10 / 20140193542 - PROTEIN FREE FORMULA | 4 |
Graham Langford | GB | Merseyside | 2015-06-04 / 20150151143 - Switchable Exhale Filter System | 2 |
Simon Langford | GB | London | 2011-05-05 / 20110106589 - DATA VISUALIZATION PLATFORM FOR SOCIAL AND TRADITIONAL MEDIA METRICS ANALYSIS | 1 |
Jocelin Langford | GB | Brigg | 2010-07-01 / 20100163085 - Free Standing Treatment Device For A Dishwasher | 1 |
Michael Phillip Langford | GB | Bracknell | 2014-02-20 / 20140053295 - MUTANT HYDROXYPHENYLPYRUVATE DIOXYGENASE POLYPEPTIDES AND METHODS OF USE | 8 |
Raquel Langford | US | Mount Dora | 2014-05-15 / 20140130407 - CONTAINER COVER DEVICE, SYSTEM, AND METHOD | 1 |
Justin Langford | US | Zionsville | 2015-12-24 / 20150367836 - SYSTEM AND METHOD FOR BALANCING STATES OF CHARGE OF ENERGY STORAGE MODULES IN HYBRID VEHICLES | 1 |
Zahra C. Langford | US | Rochester | 2014-05-01 / 20140120229 - PRINTING 3D TEMPERED CHOCOLATE | 1 |
Graham Langford | GB | Wirral Merseyside | 2016-03-03 / 20160059049 - Respirator Filter Interface | 2 |
William Langford | US | Greenwich | 2013-05-23 / 20130127636 - WIRELESS SENSOR NETWORK FOR DETERMINING CARDIOVASCULAR MACHINE USAGE | 1 |
Julian Langford | US | Seattle | 2012-12-20 / 20120323595 - SYSTEMS AND METHODS FOR NURSE ASSIGNMENT AND PATIENT LIST MANAGEMENT INTERACTION WITH ELECTRONIC HEALTH RECORD | 1 |
John Langford | US | Scarsdale | 2016-04-14 / 20160105351 - APPLICATION TESTING | 2 |
Michael Langford | US | Kaneohe | 2015-05-07 / 20150125846 - Rollable and Transportable Dry Erase Board | 1 |
Molly M. Langford | US | Dallas | 2008-11-27 / 20080289363 - SELECTABLE COMPONENT JEWELRY SYSTEM | 1 |
Charles Richard Langford | AU | Grays Point | 2015-08-13 / 20150229177 - WINDING INSULATION ARRANGEMENT FOR AXIAL FLUX MACHINES | 4 |
Steven C. Langford | US | Magnolia | 2008-12-04 / 20080296894 - OILFIELD THREADED CONNECTIONS | 1 |
John Mark Langford | US | 2012-09-06 / 20120226670 - IMPLEMENTING CONTINUOUS CONTROL MONITORING FOR AUDIT PURPOSES USING A COMPLEX EVENT PROCESSING ENVIRONMENT | 1 | |
Kyle W. Langford | US | University Place | 2013-06-13 / 20130146456 - ARTIFICIAL MYCOLIC ACID MEMBRANES | 1 |
John S. Langford | US | Austin | 2016-05-12 / 20160132545 - SYSTEM AND METHOD FOR ADAPTIVE SPELL CHECKING | 4 |
Bettilou Kenney Langford | US | Stockton | 2016-04-28 / 20160115631 - Pantograph Projection | 4 |
James W. Langford | US | Granbury | 2015-12-24 / 20150368976 - FIXED-CUTTER DRILL BITS GENERATING CORES | 5 |
John Steven Langford | US | Austin | 2008-09-11 / 20080221783 - Intelligent redirection of vehicular traffic due to congestion and real time performance metrics | 1 |
Samuel R. Langford | US | Dallas | 2011-05-12 / 20110109717 - MULTIPLE CAMERA GROUP COLLABORATION SYSTEM AND METHOD | 1 |
Charles Eric Langford | US | Dallas | 2011-05-12 / 20110109717 - MULTIPLE CAMERA GROUP COLLABORATION SYSTEM AND METHOD | 1 |
Jessica L. Langford | US | Provo | 2014-07-10 / 20140195339 - Media Mix Modeling Tool | 1 |
Zahra Langford | US | Rochester | 2014-09-18 / 20140283014 - User identity detection and authentication using usage patterns and facial recognition factors | 4 |
James D. Langford | US | Abilene | 2013-01-24 / 20130024789 - Mobile Application For Organizing and Conducting Group Discussions and Activities | 1 |
Stephen Langford | FR | Pau | 2013-09-12 / 20130234506 - METHOD FOR CONTROLLING THE GENERATION OF ELECTRICITY APPLIED TO AN AIRCRAFT GAS TURBINE, AND DEVICE IMPLEMENTING SUCH A METHOD | 1 |
Zahra C. Langford | US | Weed | 2015-09-10 / 20150250201 - PRINTING 3D TEMPERED CHOCOLATE | 2 |
Luke Langford | US | Lehi | 2015-01-29 / 20150032277 - ENERGY MANAGEMENT | 1 |
Dale Langford | US | Pampa | 2015-11-26 / 20150337635 - Alignment System for Perforating Gun | 1 |
Wylie Mccoy Langford | US | Mckinney | 2015-11-26 / 20150335512 - Shroud Guard For Surgical Tables | 1 |
Lawrence Langford | US | Wichita | 2008-10-16 / 20080255906 - Animal Management System | 1 |
Lisa Langford | US | Allison Park | 2008-12-18 / 20080313002 - METHOD, SYSTEM, AND APPARATUS FOR OPERATING A REGISTRY | 1 |
Terrence R. Langford | US | Tucson | 2014-10-02 / 20140290700 - Apparatus and Method for Reprocessing Lumened Instruments | 5 |
Jeffrey D. Langford | US | Lebanon | 2011-07-14 / 20110168052 - PRINTING MECHANISM AND METHOD OF INK FORMULATION | 5 |
Christopher J. Langford | US | Wakefield | 2009-04-16 / 20090095096 - Hazardous materials sensing robot | 2 |
Simon David Langford | US | Bentonville | 2009-04-23 / 20090101712 - RFID PROMOTIONAL COMPLIANCE | 1 |
Robert R. Langford | US | Eatonton | 2016-02-18 / 20160045216 - SINGLE-PASS ENDOSCOPIC VESSEL HARVESTING | 2 |
Michael L. Langford | US | Fenton | 2009-05-07 / 20090114492 - Hybrid Vehicle Driveline Noise Damper | 1 |
John Langford | US | Fenton | 2009-06-25 / 20090159366 - PERSONNEL FALL ARREST SYSTEM | 1 |
William Langford | US | Morrisville | 2009-09-24 / 20090240525 - METHOD AND APPARATUS FOR SHARING MEDICAL INFORMATION | 1 |
H. Marie Langford | US | Phoenixville | 2010-03-04 / 20100056516 - 1-HYDROXY NAPHTHYRIDINE COMPOUNDS AS ANTI-HIV AGENTS | 1 |
William Kai Langford | US | Greenwich | 2014-10-09 / 20140300211 - Discrete Motion System | 2 |
Christopher J. Langford | US | Chehalis | 2013-11-21 / 20130309359 - PUFFED PET TREAT WITH CRUNCHY TEXTURE | 4 |
David Wesley Langford | US | Madison | 2011-06-23 / 20110148714 - Near Field Electromagnetic Location System and Method | 2 |
Susan Langford | US | Sunnyvale | 2010-06-24 / 20100158247 - METHOD AND SYSTEM FOR SECURE STORAGE, TRANSMISSION AND CONTROL OF CRYPTOGRAPHIC KEYS | 1 |
Michael James Langford | US | Atlanta | 2010-07-08 / 20100173618 - SYSTEM AND METHOD FOR RECORDING A COMMUNICATION | 1 |
Lane Langford | US | Bethany | 2010-08-26 / 20100212691 - POWER WASHDOWN FOR DEEP FRYER SYSTEM | 2 |
John Langford | US | White Plains | 2015-02-19 / 20150051973 - CONTEXTUAL-BANDIT APPROACH TO PERSONALIZED NEWS ARTICLE RECOMMENDATION | 11 |
Nathaniel P. Langford | US | Somerset | 2012-08-30 / 20120216944 - LOW DUST WALL REPAIR COMPOUND | 2 |
Charles Langford | AU | Grays Point Nsw | 2013-08-22 / 20130216410 - BLOWER ASSEMBLY WITH MOTOR INTEGRATED INTO THE IMPELLER FAN AND BLOWER HOUSING CONSTRUCTIONS | 1 |
Teresa Dianne Langford | US | Bala Cynwyd | 2015-05-28 / 20150147766 - METHOD FOR DETERMINING DISEASE SEVERITY IN TAUOPATHY-RELATED NEURODEGENERATIVE DISORDERS | 1 |
Kerry Michael Langford | US | Rochester | 2014-11-06 / 20140330989 - Intelligent Power Strip | 2 |
Sean Langford | US | Middleton | 2012-04-12 / 20120086989 - BROWSER-BASED SCANNING UTILITY | 1 |
James Wilson Langford | US | Granbury | 2015-11-12 / 20150322724 - ROTARY DRILL BIT | 1 |
Sean J. Langford | US | Middleton | 2012-04-12 / 20120089901 - HIGH PERFORMANCE CROSS PLATFORM DOCUMENT VIEWING | 4 |
Susan K. Langford | US | Sunnnyvale | 2012-09-27 / 20120246432 - METHODS, SYSTEMS, AND APPARATUS TO PREVENT MEMORY IMPRINTING | 1 |
Larry Langford | US | Wichita | 2013-02-07 / 20130034624 - ANIMAL MANAGEMENT | 1 |
Katherine Hailey Langford | NO | Kolsas | 2015-05-14 / 20150132245 - METHOD FOR DETOXIFICATION OR MEASUREMENT OF AT LEAST ONE COMPOUND OR AT LEAST ONE FLUID IN A HOST BODY | 1 |
Kerry M. Langford | US | Rochester | 2015-12-31 / 20150381942 - Incident Data Collection for Public Protection Agencies | 4 |
Jessica Joan Langford | US | Dallas | 2013-02-28 / 20130054271 - USING QUICK RESPONSE (QR) CODE TO AUTHENTICATE, ACCESS, AND TRANSFER ELECTRONIC MEDICAL RECORD INFORMATION | 1 |
Richard H. Langford, Ii | US | Port Orchard | 2011-03-03 / 20110049028 - STORMWATER CONTROL SYSTEM AND RELATED METHOD | 1 |
Isaac Langford, Jr. | US | Dolton | 2014-01-02 / 20140001793 - 3 Stage Hood Roof | 1 |
Morten Langgaard | DK | Glostrup | 2009-03-19 / 20090075988 - CYANOISOQUINOLINE | 1 |
Morton Langgard | DK | Glostrup | 2013-10-31 / 20130289038 - 2-ARYLIMIDAZOLE DERIVATIVES AS PDE10A ENZYME INHIBITORS | 2 |
Morten Langgård | DK | Glostrup | 2015-12-31 / 20150376186 - Quinoline derivatives as PDE10A enzyme inhibitors | 14 |
Morten Langgård | DK | Glostrup | 2012-12-06 / 20120309764 - Heteroaromatic aryl triazole derivatives as PDE10A enzyme inhibitors | 6 |
Morten Langgård | DK | Glostrup | 2015-12-31 / 20150376186 - Quinoline derivatives as PDE10A enzyme inhibitors | 14 |
Tanja Langgner | GB | London | 2015-07-30 / 20150208797 - TOOTHBRUSH | 7 |
John K. Langgood | US | Cary | 2014-12-18 / 20140372634 - INDICATING AND DETECTING CORRECT CABLE CONNECTIONS | 11 |
John K. Langgood | US | Cary | 2014-12-18 / 20140372634 - INDICATING AND DETECTING CORRECT CABLE CONNECTIONS | 11 |
Richard James Langguth | US | Prior Lake | 2012-11-29 / 20120298244 - PREFORMED DUCT SYSTEM | 1 |
Gernot Langguth | DE | Oberhaching | 2013-03-28 / 20130077197 - ESD CLAMP ADJUSTMENT | 8 |
Andi Langguth | DE | Coburg | 2013-07-11 / 20130175842 - VEHICLE SEAT HAVING A PLURALITY OF FITTINGS | 1 |
Otto Langguth | DE | Tangstedt | 2010-05-06 / 20100107897 - CENTRE ARM FOR HOLDING AN UPPER CONTACT GRILLING OR ROASTING PLATE AS WELL AS CONTACT GRILLING OR ROASTING DEVICES WITH SUCH A CENTRE ARM | 4 |
Thomas Langguth | DE | Jena | 2015-11-26 / 20150335658 - UV STABLE TRANSDERMAL PLASTER | 6 |
Gernot Langguth | DE | Oberhaching | 2013-03-28 / 20130077197 - ESD CLAMP ADJUSTMENT | 8 |
Peter Langguth | DE | Biebergemund | 2014-11-27 / 20140350323 - Particulate System For Use in Diminishing Cell Growth/Inducing Cell Killing | 2 |
Oliver Langguth | DE | Dresden | 2012-06-07 / 20120138971 - Organic Electro-Optical Component | 3 |
Torsten Langguth | DE | Unterhaching | 2015-12-03 / 20150350903 - RADIO DEVICE WITH TWO RADIO UNITS AND A METHOD FOR THE TRANSMISSION OF INFORMATION | 5 |
Peter Langguth | DE | Wangen | 2014-07-03 / 20140185968 - DEVICE FOR SETTING A FURNITURE PART RECEIVED IN A BASIC FURNITURE STRUCTURE, GUIDING DEVICE FOR MOVING A MOVABLE FURNITURE PART AND PIECE OF FURNITURE WITH A DEVICE FOR SETTING A FURNITURE PART RECEIVED IN A BASIC FURNITURE STRUCTURE | 3 |
Elias Langguth | US | Bronx | 2008-11-06 / 20080271476 - Endothermic beverage cooler | 1 |
Berthold Langguth | DE | Regenburg | 2013-09-05 / 20130230586 - Treatment of Tinnitus and Related Auditory Dysfunctions | 2 |
Peter Langguth | DE | Nieder-Olm | 2011-12-01 / 20110294770 - METHOD FOR PRODUCING PHARMACEUTICAL DRUG PARTICLES OF SMALL PARTICLE SIZE | 1 |
Peter Langguth | DE | Mainz | 2011-01-20 / 20110015266 - LYOPHILIZED NANOEMULSION | 1 |
Peter Langguth | DE | Biebergemuend | 2010-11-11 / 20100286288 - QUARTERNIZATION OF THE ADDITIVE AMINO ALKYLMETHACRYLATE COPOLYMER E FOR IMPROVING PERMEABILITY AND SOLUBILITY OF PHARMACEUTICALS | 1 |
Fabian Langguth | DE | Darmstadt | 2016-05-19 / 20160140753 - Constructing 3D Surfaces for Multi-Color Objects | 2 |
Nicholas B. Langhals | US | Ypsilanti | 2013-11-14 / 20130304174 - PERIPHERAL NERVE INTERFACE DEVICES FOR TREATMENT AND PREVENTION OF NEUROMAS | 1 |
Heinz Langhals | DE | Ottobrunn | 2015-08-20 / 20150232398 - AZULENE AND DERIVATIVES THEREOF AS COLORANTS | 6 |
Nick Langhals | US | Haslett | 2013-04-11 / 20130090542 - IMPLANTABLE MICRO-COMPONENT ELECTRODES | 1 |
Brent T. Langhals | US | Union | 2014-10-16 / 20140306950 - Stereoscopic 3-D Presentation for Air Traffic Control Digital Radar Displays | 1 |
Timothy M. Langham | US | Streamwood | 2012-02-09 / 20120036567 - METHODS FOR ESTABLISHING A SECURITY SESSION IN A COMMUNICATIONS SYSTEM | 3 |
Mary E. Langham | US | Pleasanton | 2012-07-26 / 20120186394 - Bulk Synthesis of Nanoporous Palladium and Platinum Powders | 1 |
Maurice E. Langham | US | Cockeysville | 2012-04-26 / 20120101167 - Treatment of ocular and cerebral ischemia | 1 |
Gary Wayne Langham | US | Canton | 2011-01-20 / 20110014872 - Apparatus for communicating signals | 1 |
Barry John Langham | GB | Slough | 2013-11-07 / 20130296338 - Quinoline and Quinoxaline Derivatives as Kinase Inhibitors | 6 |
Derald Ray Langham | US | San Antonio | 2015-09-24 / 20150264881 - NON-DEHISCENT SESAME IND VARIETY SESACO 39 | 13 |
Barry John Langham | GB | Slough Berkshire | 2013-06-13 / 20130150573 - THIENO-PYRIDINE DERIVATIVES AS MEK INHIBITORS | 3 |
Steven Robert Langham | CA | London | 2015-09-17 / 20150262180 - SYSTEM AND METHOD FOR AUTHORIZING A DEBIT TRANSACTION WITHOUT USER AUTHENTICATION | 2 |
Ronald Scott Langham | US | Dallas | 2014-09-18 / 20140270123 - METHOD AND APPARATUS FOR CONTROLLING A COMPUTER TO IMPLEMENT TELEPHONE FUNCTIONS WITH AN ENHANCED MINIDIALER FUNCTION | 3 |
Daniel J. Langham | US | Valley City | 2014-12-04 / 20140353285 - HIGH BORON HARDFACING ELECTRODE | 1 |
Dale Langham | US | Katy | 2012-03-15 / 20120064635 - ENERGY METER FOR MIXED STREAMS OF COMBUSTIBLE COMPOUNDS | 2 |
Barry John Langham | GB | Berkshire | 2012-04-19 / 20120095005 - Fused Bicyclic Pyrazole Derivatives As Kinase Inhibitors | 2 |
Stuart Langham | US | Silver Spring | 2009-12-10 / 20090304167 - AUTOMATIC DENIAL OF CALL RQUEST | 1 |
Derald Ray Langham | US | San Antonio | 2015-09-24 / 20150264881 - NON-DEHISCENT SESAME IND VARIETY SESACO 39 | 13 |
J. Michael Langham | US | Peru | 2016-03-10 / 20160069256 - HYDRAULIC ENGINE | 5 |
Martin Langhammer | GB | Salisbury Wiltshire | 2015-03-26 / 20150088948 - HYBRID ARCHITECTURE FOR SIGNAL PROCESSING | 1 |
Martin Langhammer | GB | Salisbury | 2014-12-04 / 20140359297 - Systems and Methods for Intermediate Message Authentication in a Switched-Path Network | 4 |
Martin Langhammer | GB | Sandbanks | 2010-01-14 / 20100007379 - PROGRAMMABLE LOGIC DEVICES WITH FUNCTION-SPECIFIC BLOCKS | 1 |
Martin Langhammer | GB | Alderbury | 2015-12-03 / 20150347338 - ACCELERATOR ARCHITECTURE ON A PROGRAMMABLE PLATFORM | 12 |
Martin Langhammer | GB | Southway Alderbury | 2010-07-01 / 20100169404 - FLEXIBLE ACCUMULATOR IN DIGITAL SIGNAL PROCESSING CIRCUITRY | 1 |
Nils Langhammer | DE | Verl | 2016-03-10 / 20160066759 - METHOD FOR OPERATING A DOMESTIC APPLIANCE SYSTEM | 1 |
Elisa Langhammer | DE | Berlin | 2016-02-25 / 20160053379 - ELECTROLESS COPPER PLATING SOLUTION | 1 |
Christoph Langhammer | SE | Mölndal | 2010-06-10 / 20100139420 - CELL FOR CONFINEMENT OF VERY SMALL VOLUMES OF SOFT MATTER AND FLUIDS | 1 |
Christopher Langhammer | US | Piscataway | 2012-01-05 / 20120004716 - MICROELECTORODE ARRAY, METHODS FOR PREPARING THE SAME AND USES THEREOF | 1 |
Christoph Langhammer | SE | Mölndal | 2010-06-10 / 20100139420 - CELL FOR CONFINEMENT OF VERY SMALL VOLUMES OF SOFT MATTER AND FLUIDS | 1 |
Martin Langhammer | GB | Alderbury | 2015-12-03 / 20150347338 - ACCELERATOR ARCHITECTURE ON A PROGRAMMABLE PLATFORM | 12 |
John William Langhans | US | Spring Creek | 2014-04-10 / 20140096649 - ALKALINE AND ACID PRESSURE OXIDATION OF PRECIOUS METAL-CONTAINING MATERIALS | 1 |
Sigrid Anne-Barbara Langhans | US | West Chester | 2013-05-09 / 20130116335 - METHOD FOR IDENTIFYING THERAPEUTIC AGENTS FOR TREATMENT OF CANCER | 1 |
Ralf Langhans | DE | Dresden | 2015-05-07 / 20150124245 - Inspection Arrangement | 3 |
Sonja Langhans | DE | Munchen | 2014-07-03 / 20140184909 - APPARATUS AND METHOD FOR SWITCHING REAL-TIME MEDIA STREAMS | 1 |
Volker Langhans | DE | Hollenbek | 2015-12-17 / 20150362409 - DEVICE FOR THE EXPOSURE OF SAMPLE BODIES IN A FLUID | 1 |
Gerhard Langhans | DE | Dresden | 2010-03-11 / 20100062482 - Fermentation Device Comprising a Coupled Substrate and Sediment Transport Mechanism and Method for Operating the Fermentation Device | 1 |
Klaus Langhans | DE | Winnenden | 2016-01-07 / 20160001310 - BACKPACK SPRAYER AND CONTAINER FOR SPRAYING AGENT FOR A BACKPACK SPRAYER | 5 |
Michael Langhans | DE | Balzhausen | 2014-04-24 / 20140112037 - Electronic Power Circuit, Electric Motor, And Procedure For Checking The Operability Of An Electronic Power Circuit | 3 |
John William Langhans, Jr. | US | Spring Creek | 2013-04-18 / 20130091990 - METHOD FOR RECOVERING PRECIOUS METALS AND COPPER FROM LEACH SOLUTIONS | 2 |
Christopher Dale Langhart | US | New Hope | 2012-07-26 / 20120186876 - CORE DRILL BIT | 3 |
Richard M. Langhart | US | Litchfield Park | 2012-09-13 / 20120231935 - PEGBOARD STRETCHING STATION | 1 |
Christopher Langhart | US | New Hope | 2015-10-08 / 20150285460 - Lighting Apparatus with Annular Segmented Reflector | 1 |
Franz Langhauser | DE | Ruppertsberg | 2011-09-22 / 20110230630 - METALLOCENE COMPOUNDS, CATALYSTS COMPRISING THEM, PROCESS FOR PRODUCING AN OLEFIN POLYMER BY USE OF THE CATALYSTS, AND OLEFIN HOMO- AND COPOLYMERS | 3 |
Leon H. Langhauser | US | Decatur | 2014-09-18 / 20140273140 - Simultaneous Food And Fuel Corn Refining | 3 |
Georg Langhauser | DE | Ruppertsberg | 2011-12-15 / 20110305914 - MULTISTAGE PREPARATION OF AQUEOUS POLYMER DISPERSIONS FOR PRODUCING COMPOSITE FILMS | 1 |
John Langhauser | US | Basking Ridge | 2014-11-27 / 20140347175 - Systems and Methods for Determining Container Contents, Locations, and Surroundings | 3 |
Andreas Langheinrich | DE | Berg | 2015-09-10 / 20150251698 - Steering Angle Sensor | 1 |
Alexander C. Langheinrich | DE | Giessen | 2010-12-16 / 20100316274 - Method for Imaging Plaque Using Dual Energy CT | 1 |
Wolfram Langheinrich | DE | Dresden | 2015-09-10 / 20150255477 - CHIP AND AN ELECTRONIC DEVICE | 6 |
Ulrike Langheinrich | DE | Tuebingen | 2008-09-04 / 20080213247 - Mbms as Modifiers of Branching Morphogenesis and Methods of Use | 1 |
Kristofer Langheinrich | US | Louisville | 2012-01-05 / 20120004666 - STEERABLE SURGICAL SNARE | 1 |
Adam Langheinrich | US | Jersey City | 2015-07-02 / 20150183547 - Shotgun accessible beer can | 1 |
Mark T. Langhenry | US | Tucson | 2015-12-03 / 20150343988 - ELECTRICALLY CONTROLLED VARIABLE FORCE DEPLOYMENT AIRBAG AND INFLATION | 1 |
Jens Langhoff | CH | Zurich | 2015-07-16 / 20150196253 - DEVICE TO BE IMPLANTED IN A HUMAN OR ANIMAL BODY FOR MATERIAL OR SIGNAL DELIVERY OR ACQUISITION WITHIN THE BODY, AND METHOD OF IMPLANTING THE DEVICE | 2 |
Sebastian André Jensen Langhoff | DK | Aalborg | 2014-12-04 / 20140356953 - DISPENSING UNIT FOR DISPENSING PRESERVATION FLUID INTO A TISSUE SAMPLE CONTAINER | 1 |
Brian Reuben Langhoff | US | Julian | 2012-04-26 / 20120100557 - SAMPLE PREPARATION DEVICE AND ASSOCIATED METHOD | 1 |
Richard Langhoff | US | Greensboro | 2009-01-01 / 20090001760 - Spring Mounted Vehicle Sleeper Bunk | 1 |
Wolfgang Langhoff | DE | Leonberg | 2014-12-25 / 20140378010 - ELECTRICAL PLUG CONNECTOR FOR ELECTRICAL CONNECTION BY MEANS OF ULTRASONIC WELDING | 6 |
Peter W. Langhoff | US | San Diego | 2011-12-22 / 20110313741 - METHODOLOGY AND ITS COMPUTATIONAL IMPLEMENTATION FOR QUANTITATIVE FIRST-PRINCIPLES QUANTUM-MECHANICAL PREDICTIONS OF THE STRUCTURES AND PROPERTIES OF MATTER | 1 |
Hans-Jörg Langhoff | DE | Bönningstedt | 2015-12-31 / 20150375713 - Belt Retractor With an Electromotive Winding Aid and Method for Activating an Electromotive Winding Aid for a Belt Retractor | 1 |
Hans-Jörg Langhoff | DE | Bonningstedt | 2011-12-08 / 20110297777 - REVERSIBLE BELT TENSIONER | 1 |
Tommy Langhoff | DK | Daugard | 2014-10-09 / 20140301811 - VERTICAL LIFT STORAGE SYSTEM AND A METHOD OF OPERATING A LIFT | 1 |
Norbert Langhoff | DE | Berlin | 2014-10-23 / 20140314207 - Device and method for determining the energetic composition of electromagnetic waves | 2 |
Hans-Jürgen Langhoff | DE | Lenting | 2013-03-21 / 20130069330 - WHEEL SUSPENSION FOR REAR WHEELS OF A MOTOR VEHICLE | 1 |
Hans-Jürgen Langhoff | DE | Lenting | 2015-12-31 / 20150375589 - WHEEL SUSPENSION FOR A REAR WHEEL OF A TWO-TRACK MOTOR VEHICLE | 6 |
Richard V. Langhoff | US | Greensboro | 2015-08-06 / 20150217673 - ROLL OVER BUNK RESTRAINT SYSTEM AND METHOD | 1 |
Nils Langholz | DE | Apoida | 2012-09-13 / 20120229815 - LASER SCANNING MICROSCOPE AND METHOD FOR OPERATION THEREOF | 1 |
Benjamin S. Langholz | US | San Francisco | 2015-10-29 / 20150312185 - CAPTURING AND SENDING MULTIMEDIA AS ELECTRONIC MESSAGES | 6 |
Nils Langholz | DE | Apolda | 2015-04-02 / 20150090908 - Light microscope and microscopy method for examining a microscopic specimen | 3 |
Jesper Langhorn | DK | Copenhagen | 2010-12-16 / 20100317467 - GOAL FOR BALL GAMES | 1 |
Peter Langhorn | US | Lake Forest | / - | 1 |
Jason B. Langhorn | US | Warsaw | 2011-03-17 / 20110066253 - CERAMIC COATED ORTHOPAEDIC IMPLANTS AND METHOD OF MAKING SUCH IMPLANTS | 1 |
Alan R. Langhorn | US | Solana Beach | 2010-05-13 / 20100117679 - TEST APPARATUS, SYSTEM, AND METHOD HAVING A MAGNETIC FEATURE | 1 |
Jason B. Langhorn | US | South Bend | 2010-10-07 / 20100255337 - Multilayer Coatings | 2 |
Jason Langhorn | US | Warsaw | 2010-03-25 / 20100076569 - MEDICAL IMPLANT AND PRODUCTION THEREOF | 1 |
William S. Langhorne | US | Falls Church | 2009-01-01 / 20090004051 - Processing of Documents with Medical and Other Waste | 1 |
Robert K. Langhorne, Iii | US | Grapevine | 2014-10-23 / 20140317014 - Shipping Route Determination | 5 |
Robert K. Langhorne, Iv | US | Denver | 2014-10-23 / 20140316834 - Milestone Management | 2 |
Matthias Langhorst | DE | Gilching Ot Argelsried | 2013-10-03 / 20130258090 - Light microscope and method for recording images with a light microscope | 1 |
Phillip G. Langhorst | US | Crestwood | 2015-07-23 / 20150204571 - Ventilation Control System and Method | 1 |
Friedhelm Langhorst | DE | Diepholz | 2015-06-25 / 20150175206 - MOTOR VEHICLE WITH A VEHICLE FRAME | 6 |
Marsha L. Langhorst | US | Midland | 2010-05-06 / 20100112122 - METHOD OF PREFERENTIALLY REDUCING ABSORBABILITY OF SATURATED FATTY ACIDS | 1 |
Phillip Gerard Langhorst | US | Crestwood | 2011-08-18 / 20110197949 - SOLAR COLLECTOR | 1 |
Matthias Langhorst | DE | Hameln | 2015-02-26 / 20150054937 - Light microscope and method for image recording using a light microscope | 3 |
Paul J. Langhorst | US | St. Louis | 2014-09-11 / 20140258421 - ANONYMOUS TWO WAY COMMUNICATIONS SYSTEM & METHOD | 1 |
Phil Gerard Langhorst | US | Crestwood | 2013-08-29 / 20130225026 - Flexible Mirror Surface | 1 |
Phillip Gerard Langhorst | US | St. Louis | 2013-10-24 / 20130276364 - Artificial Ice | 3 |
Benjamin R. Langhorst | US | Idaho Falls | 2012-05-24 / 20120125726 - DISSIPATIVE STRUCTURES AND RELATED METHODS | 1 |
Friedheim Langhorst | DE | Diepholz | 2010-02-04 / 20100025953 - RIGID AXLE FOR A UTILITY VEHICLE | 1 |
Hagen Langhuth | DE | Muenchen | 2012-07-05 / 20120168506 - Method For Security Purposes | 1 |
Josephine Langieri | US | Oak Ridge | 2010-09-30 / 20100249715 - Device For Assisting With Intravenous Administration | 1 |
Rudolph Joseph Lang, Iii | US | St. Charles | 2013-04-18 / 20130092255 - GAS VENT VALVE | 1 |
Joel T. Langill | US | Phoenix | 2008-09-25 / 20080233523 - FLARE CHARACTERIZATION AND CONTROL SYSTEM | 1 |
Ed Langill | CA | Oakville | 2014-11-27 / 20140350487 - OUTER COVER OF A PEN NEEDLE FOR A DRUG DELIVERY PEN | 2 |
Mark Edward Langille | US | Lindenhurst | 2015-10-15 / 20150294552 - SENSOR HUB COMPRISING A ROTATION ENCODER | 2 |
Jonathan David Langille | US | Quaker Hill | 2015-06-11 / 20150158864 - PYRROLO[2,3-D]PYRIMIDINYL, PYRROLO[2,3-B]PYRAZINYL AND PYR-ROLO[2,3-D]PYRIDINYL ACRYLAMIDES | 1 |
Gary R. Langille | US | Greenwood Village | 2013-09-19 / 20130246645 - NETWORK PERFORMANCE ASSESSMENT APPARATUS, SYSTEMS, AND METHODS | 5 |
Gary Richard Langille | US | Greenwood Village | 2010-01-07 / 20100001832 - METHOD AND APPARATUS FOR BIOMETRIC IDENTIFICATION OF A USER IN A BROADCAST COMMUNICATION RECEIVER | 1 |
Richard Langille | US | Lafayette | 2013-10-17 / 20130269887 - PROGRAMMABLE MOTOR FOR WINDOW COVERINGS | 4 |
Jonathan Langille | US | Quaker Hill | 2015-10-15 / 20150291623 - SPIRO-OXINDOLE COMPOUNDS AND THEIR USE AS THERAPEUTIC AGENTS | 7 |
Jamie Keith Langille | US | Las Vegas | 2014-04-24 / 20140113700 - COOPERATING REELS | 4 |
Jamie K. Langille | US | Las Vegas | 2013-10-24 / 20130281181 - Method of Gaming and a Gaming System | 5 |
Jonathan B. Langille | US | Boston | 2011-03-03 / 20110048488 - Combined thermoelectric/photovoltaic device and method of making the same | 1 |
Kevin B. Langille | CA | Milton | 2014-09-18 / 20140260016 - FIRESTOP APPARATUS | 2 |
Gary R. Langille | US | Lone Tree | 2016-04-21 / 20160112758 - REMOTE MODE SELECTION FOR A SET-TOP BOX | 1 |
David Lindsay Alexander Langille | CA | Calgary | 2015-09-10 / 20150252669 - METHOD AND APPARATUS FOR RESERVOIR TESTING AND MONITORING | 1 |
Jonathan Langille | CA | Langley | 2010-11-25 / 20100298366 - CHEMOKINE RECEPTOR BINDING COMPOUNDS | 4 |
Randy Charles Langille | CA | Port Alberni | 2015-02-05 / 20150033530 - TOOL AND METHOD FOR REPAIRING TUBULAR MEMBERS | 1 |
Stephen W. Langish | US | Easthampton | 2010-11-04 / 20100280980 - System and Method for Resolving Gamma Ray Spectra | 1 |
Stephen W. Langish | US | Eastampton | 2011-10-20 / 20110258151 - System and Method for Resolving Gamma-Ray Spectra | 1 |
Gerwin Langius | NL | Hardenberg | 2012-03-08 / 20120058439 - DEVICE FOR THE CALIBRATION OF A GAS BURNER REGULATING SYSTEM | 1 |
William F. Lang, Iv | US | Beaver | 2011-03-03 / 20110049326 - Cable Anchor | 1 |
Charles H. Lang, Jr. | US | Oxnard Ca | 2016-02-18 / 20160045226 - QUICK-RELEASE SYSTEMS FOR EXTRASKELETAL FIXATION | 1 |
Frederick F. Lang, Jr. | US | Houston | 2015-10-29 / 20150306160 - ONCOLYTIC ADENOVIRUS ARMED WITH THERAPEUTIC GENES | 2 |
Frank Langkabel | DE | Ruesselsheim | 2015-04-16 / 20150103546 - HEADLAMP, MOTOR VEHICLE WITH A HEADLAMP AND METHOD FOR OPERATING A HEADLAMP | 11 |
Frank Langkabel | DE | Ruesselsheim | 2015-04-16 / 20150103546 - HEADLAMP, MOTOR VEHICLE WITH A HEADLAMP AND METHOD FOR OPERATING A HEADLAMP | 11 |
Werner Dieter Langkabel | DE | Bochum | 2013-08-29 / 20130224385 - Method and Apparatus for Galvanizing an Elongated Object | 1 |
Frank Langkabel | DE | Russelsheim | 2016-03-10 / 20160068097 - MOTOR VEHICLE AND METHOD FOR OPERATING A MOTOR VEHICLE | 2 |
Eike Langkabel | DE | Wegberg | 2015-12-31 / 20150376327 - CURABLE COMPOSITION WITH HIGH FRACTURE TOUGHNESS | 4 |
Albert Langkamp | DE | Dresden | 2012-08-16 / 20120207608 - FINAL-STAGE ROTOR BLADE OF A STEAM TURBINE | 6 |
Bernd Langkamp | DE | Lichtenwald | 2010-03-11 / 20100059306 - STEERING MECHANISM COMPRISING A PRESSURE RELIEF VALVE FOR DAMPING THE END POSITION AND PROTECTING THE PUMP FROM BEING OVERLOADED | 1 |
John Langkamp | CA | Camrose | 2015-10-22 / 20150300536 - THREADED PIPE HAVING PROTECTIVE COATING | 1 |
Richard B. Langkamp | US | Hemlock | 2009-10-29 / 20090267360 - PAWL ASSEMBLY | 1 |
Jan Langkamp | NL | Enter | 2014-11-27 / 20140349012 - METHOD AND DEVICE FOR DRYING, MODELLING AND/OR THERMALLY MODIFYING WOODEN PARTS | 1 |
Albert Langkamp | NL | Eindhoven | 2011-06-02 / 20110129068 - HYBRID DESIGN OF AN ANODE DISK STRUCTURE FOR HIGH PROWER X-RAY TUBE CONFIGURATIONS OF THE ROTARY-ANODE TYPE | 1 |
Thomas Langkamp | DE | Georgsmarienhutte | 2016-03-17 / 20160076301 - ROLLING SHUTTER WITH DAMPING BODY | 1 |
Laurenz Langkamp | DE | Tecklenburg | 2013-07-04 / 20130168473 - MULTIPLE NOZZLE BODY | 1 |
Wolfram Langkau | DE | Steinbach | 2012-08-02 / 20120195777 - Flexible tubing pump and therewith equipped blood treatment device | 2 |
Elke Langkopf | DE | Warthausen | 2012-12-27 / 20120329778 - BICYCLIC HETEROCYCLES, PHARMACEUTICAL COMPOSITIONS CONTAINING THESE COMPOUNDS, THEIR USE AND PROCESSES FOR PREPARING THEM | 25 |
Elke Langkopf | DE | Warhausen | 2008-10-16 / 20080255159 - 8-[3-AMINO-PIPERIDIN-1-YL]-XANTHINES, THE PREPARATION THEREOF AND THEIR USE AS PHARMACEUTICAL COMPOSITIONS | 1 |
Elke Langkopf | DE | Biberach An Der Riss | 2016-02-11 / 20160039846 - AZABENZIMIDAZOLE DERIVATIVES | 26 |
Elke Langkopf | DE | Biberach An Der Riss | 2016-02-11 / 20160039846 - AZABENZIMIDAZOLE DERIVATIVES | 26 |
George R. Langlais | US | Nashua | 2009-11-05 / 20090273899 - COMPUTER LOCK SYSTEM | 3 |
Timothy Edward Langlais | US | Minneapolis | 2015-11-19 / 20150330453 - Fluid Seal for a Rotating Assembly | 5 |
Frantz Langlais | FR | Rennes | 2015-09-03 / 20150245915 - HINGED JOINT SYSTEM | 3 |
Chrystelle Langlais | FR | Le Pecq | 2015-09-10 / 20150251110 - APPARATUS FOR CLARIFYING A SLUDGE-CONTAINING EFFLUENT | 5 |
Francis Langlais | FR | Pessac | 2010-11-25 / 20100296621 - METHOD OF MANUFACTURING NUCLEAR FUEL ELEMENTS AND A CONTAINER FOR IMPLEMENTING SUCH A METHOD | 1 |
Richard Langlais | CA | Cacouna | 2011-02-03 / 20110025090 - RIGIDIFIED WINDOW SYSTEM | 1 |
Alain Langlais | CA | Quebec | 2010-10-28 / 20100272625 - GECL4 AND/OR SICL4 RECOVERY PROCESS FROM OPTICAL FIBERS OR GLASSY RESIDUES AND PROCESS FOR PRODUCING SICL4 FROM SIO2 RICH MATERIALS | 1 |
Sebastien Langlais | FR | Tournefeuille | 2015-04-23 / 20150110575 - QUICK-RELEASE FASTENER | 2 |
Michael Langlais | US | Houston | 2015-10-29 / 20150308239 - VALVE FOR GRAVEL PACKING A WELLBORE | 4 |
Joseph Langlais | CA | Saguenay | 2016-04-21 / 20160108496 - ROTARY INJECTOR AND PROCESS OF ADDING FLUXING SOLIDS IN MOLTEN ALUMINUM | 1 |
Michael Langlais | US | Bartlesville | 2008-11-20 / 20080283239 - WELL SCREEN WITH DIFFUSION LAYER | 1 |
Joseph Langlais | CA | Jonquiere | 2015-10-01 / 20150275338 - ADDITIVES FOR IMPROVING THE CASTABILITY OF ALUMINUM-BORON CARBIDE COMPOSITE MATERIAL | 3 |
Timothy Edward Langlais | US | Cupertino | 2013-09-19 / 20130243356 - FLUID DYNAMIC BEARING APPARATUS, SPINDLE MOTOR, AND DISK DRIVE APPARATUS | 1 |
Eugene L. Langlais | US | Amherst | 2012-03-15 / 20120060710 - INKJET-IMAGEABLE LITHOGRAPHIC PRINTING MEMBERS AND METHODS OF PREPARING AND IMAGING THEM | 3 |
Kenneth L. Langlais | US | Andover | 2012-09-27 / 20120245792 - PORTABLE TRUCK TESTER | 2 |
Timothy E. Langlais | US | Minneapolis | 2015-02-19 / 20150048698 - COMPONENT CONFIGURED TO STIFFEN AN ELECTRIC MOTOR ASSEMBLY | 2 |
Michael Ernest Langlais | US | Coventry | 2010-12-23 / 20100323049 - Adjustment mechanism for extrusion die | 1 |
Justin Langlais | US | 2014-08-21 / 20140232279 - SUPPLEMENTAL LOAD CIRCUIT FOR LOW POWER TRAFFIC LAMPS | 1 | |
Michael D. Langlais | NO | Sandnes | 2009-03-26 / 20090078403 - WELL SCREEN | 2 |
Michael D. Langlais | US | Bartlesville | 2008-12-25 / 20080314588 - SYSTEM AND METHOD FOR CONTROLLING EROSION OF COMPONENTS DURING WELL TREATMENT | 1 |
Brian James Langlais | CA | Ontario | 2014-09-18 / 20140269873 - ADJUSTING MULTI-CARRIER MODULATION AND TRANSMISSION POWER PROPERTIES | 3 |
Brian J. Langlais | CA | Almonte | 2014-06-12 / 20140160953 - PACKET COLLISIONS AND IMPULSIVE NOISE DETECTION | 1 |
Brian James Langlais | CA | Almonte | 2015-11-05 / 20150318893 - LOWERING TRANSMISSION POWER RESPONSIVE TO BROADCAST RADIO TRANSMISSIONS | 5 |
Michael Dean Langlais | US | Houston | 2013-03-07 / 20130055824 - Weld Strength Test | 1 |
Michael Ernest Langlais | US | West Warwick | 2012-07-26 / 20120189789 - METHOD AND APPARATUS FOR FORMING HIGH STRENGTH PRODUCTS | 1 |
George R. Langlais | US | Merrimack | 2013-07-04 / 20130174086 - MULTI-SELECT ROLL OPERATION FOR GRAPHIC APPLICATIONS | 1 |
John Langlais | US | Coventry | 2015-10-08 / 20150285608 - COORDINATE MEASURING MACHINE WITH CARBON FIBER AIR BEARINGS | 4 |
Michael D. Langlais | NO | Hafrsfjord | 2010-05-20 / 20100122810 - WELL SCREENS AND METHOD OF MAKING WELL SCREENS | 1 |
Michael D. Langlais | US | Houston | 2015-03-05 / 20150060059 - SAND CONTROL SYSTEM AND METHODOLOGY EMPLOYING A TRACER | 7 |
Rachel Langland | US | Oakland | 2011-09-01 / 20110212991 - Diagnostic Test for Susceptibility to B-RAF Kinase Inhibitors | 2 |
Graeme Langlands | GB | Soham | 2016-04-14 / 20160101089 - NOVEL PHARMACEUTICAL SALTS AND POLYMORPHS OF A FACTOR XA INHIBITOR | 4 |
Derek Langlands | GB | Cramlington | 2011-06-30 / 20110154789 - Method of Making a Marine Gas Turbine Filter | 2 |
Joseph Matthew Langle | US | Kansas City | 2011-04-21 / 20110088556 - APPARATUS AND METHOD FOR ELECTROSTATIC PARTICULATE COLLECTOR | 1 |
Daniel D. Langleben | US | Philadelphia | 2013-07-11 / 20130178733 - FUNCTIONAL BRAIN IMAGING FOR DETECTING AND ASSESSING DECEPTION AND CONCEALED RECOGNITION, AND COGNITIVE/EMOTIONAL RESPONSE TO INFORMATION | 1 |
Donald Langler | US | Brockfield | 2010-09-16 / 20100230606 - Digital Image Detector with Removable Battery | 1 |
Donald F. Langler | US | Brookfield | 2010-09-30 / 20100246757 - WIRELESS DIGITAL IMAGE DETECTOR | 1 |
Donald Fayette Langler | US | Brookfield | 2012-11-01 / 20120275678 - X-RAY SYSTEM AND METHOD FOR PROCESSING IMAGE DATA | 5 |
Donald Langler | US | Blookfield | 2010-05-06 / 20100108898 - SYSTEMS, METHODS AND APPARATUS FOR REDUCTION OF FIELD-EFFECT TRANSISTOR LEAKAGE IN A DIGITAL X-RAY DETECTOR | 1 |
Donald Langler | US | Brookfield | 2011-12-29 / 20110316568 - DETECTOR STATE MONITORING SYSTEM AND A PORTABLE DETECTOR INCLUDING SAME | 5 |
Abraham Langlet | SE | Stockholm | 2011-07-07 / 20110165339 - METHOD OF PRODUCING OBJECTS CONTAINING NANO METAL OR COMPOSITE METAL | 2 |
Sylvain Langlet | FR | Goincourt | 2012-04-19 / 20120091695 - VEHICLE SEAT WITH A SIDE AIRBAG DEVICE | 1 |
Patrick Langlet | FR | Issy Les Moulineaux Cedex | 2010-01-28 / 20100024003 - Method for controlling connection of a peripheral to an access point, corresponding access point and peripheral | 1 |
Michel Langlet | FR | Le Versoud | 2009-05-21 / 20090130410 - STAINLESS STEEL SHEET COATED WITH A SELF-CLEANING COATING | 1 |
Bryan L. Langley | US | Duvall | 2011-06-23 / 20110149161 - VIDEO PRESENTING NETWORK CONFIGURATION SOLUTION SPACE TRAVERSAL | 1 |
Adrian Langley | US | Lexington | 2015-11-05 / 20150314295 - STERILE FLUID HANDLING DEVICE | 1 |
Wendy Sue Langley | US | Albany | 2012-07-12 / 20120177751 - Pediculosis Treatment and Prophylaxis | 1 |
David R. Langley | US | Meriden | 2015-10-15 / 20150291549 - Compounds Useful as Immunomodulators | 28 |
Joseph D. Langley | US | Foxboro | 2015-10-29 / 20150307120 - STROLLER | 8 |
Felix L. Langley | GB | Derby | 2011-11-24 / 20110285491 - ROTARY TRANSFORMER | 1 |
Blake Martin Langley | US | Tipton | 2012-07-19 / 20120181332 - SYSTEM AND METHOD FOR LOCATING A LOST CHILD'S PARENT/GUARDIAN | 1 |
Timothy Langley | US | Newburgh | 2014-07-10 / 20140190460 - COMPOUND BOW SYSTEM | 2 |
Christopher Nigel Langley | GB | Warwickshire | 2015-11-05 / 20150314082 - Dispense Interface with Lockout Element | 29 |
Christopher Nigel Langley | GB | Warwickshire | 2015-11-05 / 20150314082 - Dispense Interface with Lockout Element | 29 |
Peter Langley | GB | London | 2010-08-19 / 20100211459 - Method of Automatically Controlling the Display of a Webpage on a Device | 1 |
John T. Langley | US | San Jose | 2011-12-01 / 20110295808 - HALDB OLR Variant Linear Statistics | 1 |
Joe Langley | US | Foxboro | 2012-01-12 / 20120007398 - CHILD RESTRAINT FOR VEHICLE | 14 |
William M. Langley | US | Portland | 2014-09-18 / 20140282973 - SYSTEMS AND METHODS FOR SECURELY TRANSFERRING AUTHENTICATION INFORMATION BETWEEN A USER AND AN ELECTRONIC RESOURCE | 1 |
Jeffrey T. Langley | US | Rock Hill | 2013-07-18 / 20130185817 - Microencapsulated Volatile Insect Repellent and/or Insecticide Agent and Methods of Making and Using the Same | 1 |
Logan Langley | US | Brooklyn | 2013-02-28 / 20130054672 - SYSTEMS AND METHODS FOR CONTEXTUALIZING A TOOLBAR | 1 |
John Charles Mark Langley | GB | Edinburgh | 2010-08-05 / 20100194128 - COLLECTOR DEVICE | 1 |
Lloyd Langley | GB | Northamptonshire | 2012-03-15 / 20120062900 - OPTICAL WAVEGUIDE SPLITTERS | 1 |
Kate Langley | GB | Hull | 2011-11-24 / 20110284653 - Adaptive Emanator of a Fluid | 2 |
Justin Langley | US | Moorhead | 2016-03-10 / 20160068926 - METHOD FOR THE INTEGRATION OF CARBOCHLORINATION INTO A STAGED REFORMING OPERATION AS AN ALTERNATIVE TO DIRECT RESIDUE OXIDATION FOR THE RECOVERY OF VALUABLE METALS | 2 |
Juliet A. Langley | US | Kailua | 2011-12-15 / 20110304846 - UNIVERSAL LED TESTING DEVICE | 1 |
Frank J. Langley | US | South Freeport | 2011-12-15 / 20110304846 - UNIVERSAL LED TESTING DEVICE | 1 |
Thomas Langley | GB | Hessle | 2015-03-05 / 20150066337 - Optimised Real-Time Control of a Highly Dynamic Engine System | 2 |
John Richard Langley | US | Hollis | 2012-10-25 / 20120271953 - SCALABLE ARCHITECTURE | 3 |
Brett C. Langley | US | White Plains | 2010-07-01 / 20100167993 - Compounds for Enhancing p21 Expression and Methods of Use Thereof | 1 |
Robert W. Langley | US | Westminster | 2010-03-18 / 20100065483 - Blood Processing Apparatus With Cell Capture Chamber with Protruding Inlet | 3 |
Luther D. Langley | US | Sterling | 2010-01-28 / 20100017998 - MULTI-USE MOBILE VACUUM CART | 2 |
William J. Langley | US | Kennewick | 2011-04-14 / 20110083953 - PYROLYSIS PROCESS AND PRODUCTS | 1 |
Chris Langley | US | San Diego | 2011-03-10 / 20110056287 - Fluidic Volume Dispense Verification Tool | 2 |
Joe Langley | US | Riverside | 2011-02-24 / 20110041245 - METHOD AND APPARATUS FOR PREVENTING MOTION OF A BASSINET | 4 |
Chris M. Langley | US | Port Jervis | 2011-02-10 / 20110035315 - Methods and Apparatus for Directing Consumers to Debt Settlement Providers | 2 |
Stuart J. Langley | US | Redmond | 2015-06-11 / 20150161014 - PERSISTENT APPLICATION ACTIVATION AND TIMER NOTIFICATIONS | 5 |
Raymond Langley | US | Albuquerque | 2015-01-22 / 20150024969 - SEPSIS PROGNOSIS BIOMARKERS | 2 |
Richard Jay Langley | US | Vienna | 2016-02-11 / 20160044029 - METHOD OF HOST-DIRECTED ILLUMINATION AND SYSTEM FOR CONDUCTING HOST-DIRECTED ILLUMINATION | 9 |
John D. Langley | US | Guntersville | 2014-11-13 / 20140335347 - SELECTIVELY PERMEABLE CHEMICAL PROTECTIVE FILMS AND COMPOSITE FABRICS | 2 |
Kenneth Roy Langley | US | Orem | 2013-08-15 / 20130206480 - Human-Powered Borehole Drill | 1 |
Melea Rena Langley | US | Ringgold | 2013-04-25 / 20130101783 - NONFLUORINATED SOIL RESIST, REPELLENCY, AND STAIN RESIST COMPOSITIONS | 6 |
Robert Eugene Langley | US | Kansas City | 2014-09-18 / 20140272096 - METHOD AND APPARATUS FOR REVEALING A HIDDEN ELEMENT | 2 |
Charles Mark Langley | US | Diamondville | 2013-01-17 / 20130014829 - Removable Cutting/Welding Torch Cutting Tip Insert | 2 |
Garrett S. Langley | US | Atlanta | 2012-06-14 / 20120150669 - SYSTEM AND METHOD FOR POINT OF SERVICE PAYMENT ACCEPTANCE VIA WIRELESS COMMUNICATION | 1 |
Christopher Langley | GB | Leamington Spa | 2013-01-31 / 20130030381 - Drive Assembly for a Drug Delivery Device and Drug Delivery Device | 4 |
Gregory Allen Langley | US | Arvada | 2010-03-25 / 20100072204 - Two-Piece Closure Device | 1 |
Ries Langley | US | Rockville | 2009-08-27 / 20090215195 - Set1 proteins and uses thereof | 1 |
Lawrence W. Langley | US | Blacksburg | 2009-03-19 / 20090074027 - HEAT FLUX SENSOR INCORPORATING LIGHT CONVEYANCE | 1 |
Glenn Ray Langley | US | Magnolia | 2009-02-19 / 20090045302 - Method of Manufacture and Utilization of Portable Utility Pole Standards | 1 |
Robert E. Langley | US | Kansas City | 2015-04-09 / 20150100319 - SYSTEM FOR RECORDING, SHARING, AND STORING AUDIO | 1 |
Patrick D. Langley | US | Kokomo | 2008-12-25 / 20080319697 - SYSTEM AND METHOD FOR DETERMINING AN AMOUNT OF PRESSURE APPLIED BY AN OCCUPANT ON A VEHICLE SEAT | 1 |
John Terry Langley | US | San Jose | 2008-12-18 / 20080313245 - Non-Disruptive Backup Copy in a Database Online Reorganization Environment | 1 |
Ron F. Langley | US | Matthew | 2008-12-11 / 20080304921 - MICRO-CLIMATE CRAWL SPACE SYSTEM | 1 |
Patrick T. Langley | US | Laurel | 2013-10-31 / 20130283573 - Static Dissipative Cable Ties, Such as for Radiation Belt Storm Probes | 2 |
Thomas Langley | US | Silver Spring | 2015-09-03 / 20150249408 - Method to Control a Switched Reluctance Machine | 1 |
Mark Langley | GB | Macclesfield | 2013-09-05 / 20130229781 - PHOTOGRAPHIC LIGHTING APPARATUS | 1 |
Mary Langley | US | Westminster | 2012-01-19 / 20120015794 - Method for Optimizing Spin Time In a Centrifuge Apparatus for Biologic Fluid | 2 |
Robert W. Langley | US | 2011-09-15 / 20110224064 - BLOOD PROCESSING APPARATUS WITH CELL SEPARATION CHAMBER WITH BAFFLES | 1 | |
John R. Langley | US | Hollis | 2015-04-16 / 20150106498 - SCALABLE ARCHITECTURE | 1 |
Jeffrey Todd Langley | US | Rock Hill | 2012-08-16 / 20120210452 - ALKOXYLATED NON-IONIC ALKANOL ADJUVANT FORMULATIONS AND METHODS FOR MAKING AND USING THE SAME | 1 |
Joseph D. Langley | US | Foxboro | 2015-10-29 / 20150307120 - STROLLER | 8 |
Michael J. Langley | US | Medway | 2014-05-01 / 20140119823 - Shaft Collar and Shaft Coupling Assemblies | 1 |
Timothy W. Langley | US | Newburgh | 2013-05-02 / 20130104865 - CABLE GUARD WITH TWO PIECE SLIDER | 1 |
Richard Jay Langley | US | Vienna | 2016-02-11 / 20160044029 - METHOD OF HOST-DIRECTED ILLUMINATION AND SYSTEM FOR CONDUCTING HOST-DIRECTED ILLUMINATION | 9 |
Robert Langley | US | Westminster | 2012-01-19 / 20120015794 - Method for Optimizing Spin Time In a Centrifuge Apparatus for Biologic Fluid | 1 |
John Graham Langley | GB | West Yorkshire | 2008-11-27 / 20080289787 - Paper and Materials and Processes for Its Production | 1 |
Robert Langley | GB | Buckinghamshire | 2009-07-30 / 20090188638 - PROCESS FOR MANUFACTURING A MATERIAL IN SHEET FORM, COMPRISING AT LEAST ONE FIBROUS LAYER AND SECURITY AND/OR DECORATIVE ELEMENTS | 1 |
Christopher Nigel Langley | GB | Leamington Spa | 2013-03-21 / 20130072894 - MULTI-DIMENSIONAL IDENTIFIER | 2 |
J. Barton Langley | US | Russellville | 2015-11-19 / 20150327530 - Combined Fishing Rod and Reel Protection Device | 5 |
Joseph Langley | GB | Sheffield | 2016-03-17 / 20160074202 - NECK ORTHOSIS | 1 |
Jack Langley | GB | Portslade | 2014-04-10 / 20140098348 - OPTICAL MASK FOR BLENDING OVERLAPPING TILED IMAGES | 1 |
Mike Langley | US | Dallas | / - | 1 |
Kevin Langley | GB | Sheffield | 2016-05-19 / 20160138999 - METHOD AND APPARATUS FOR DETERMINING OBJECT CHARACTERISTICS | 2 |
Stuart John Langley | AU | Woolooware | 2013-03-14 / 20130066977 - MESSAGE QUEUE BEHAVIOR OPTIMIZATIONS | 1 |
Nicholas Paul Langley | AU | Claremont | 2014-12-18 / 20140371105 - MERCURY SENSOR FOR DETECTING, DIFFERENTIATING, AND MEASURING ORGANIC AND INORGANIC MERCURY COMPOUNDS | 1 |
Robin Stewart Langley | GB | Cambridge | 2016-04-14 / 20160103167 - APPARATUS AND METHOD FOR DETERMINING STATISTICS OF ELECTRIC CURRENT IN AN ELECTRICAL SYSTEM EXPOSED TO DIFFUSE ELECTROMAGNETIC FIELDS | 4 |
Richard G.b. Langley | CA | Halifax | 2012-07-26 / 20120189637 - METHODS FOR TREATING PSORIASIS | 1 |
Lloyd Nicholas Langley | GB | Northamptonshire | 2015-05-28 / 20150147024 - Sacrificial Waveguide Test Structures | 1 |
Candice Langley | ZA | Johannesburg | 2012-04-26 / 20120101122 - IMIDAZO[1,2 A] PYRIDINE 6 CARBOXAMIDE DERIVATIVES, THEIR USE FOR THE TREATMENT OF COLON CANCER AND THEIR METHOD OF MANUFACTURE | 1 |
Russell Langley | US | Adair | 2013-01-17 / 20130014598 - PIPELINE INTERNAL FIELD JOINT CLEANING, COATING, AND INSPECTION ROBOTAANM Langley; RussellAACI AdairAAST OKAACO USAAGP Langley; Russell Adair OK USAANM Huggins; James A.AACI WattsAAST OKAACO USAAGP Huggins; James A. Watts OK USAANM Carter; John D.AACI CatoosaAAST OKAACO USAAGP Carter; John D. Catoosa OK USAANM Paulley; DavidAACI Milton KeynesAACO GBAAGP Paulley; David Milton Keynes GBAANM Roberts; Keith R.AACI ManfordAAST OKAACO USAAGP Roberts; Keith R. Manford OK USAANM Davis; Darrell L.AACI Broken ArrowAAST OKAACO USAAGP Davis; Darrell L. Broken Arrow OK USAANM O'Neill; Michael E.AACI TulsaAAST OKAACO USAAGP O'Neill; Michael E. Tulsa OK USAANM Hayes; Steve D.AACI TulsaAAST OKAACO USAAGP Hayes; Steve D. Tulsa OK USAANM Davis; Dale G.AACI BeggsAAST OKAACO USAAGP Davis; Dale G. Beggs OK USAANM Lindemann; John D.AACI Broken ArrowAAST OKAACO USAAGP Lindemann; John D. Broken Arrow OK US | 2 |
Lloyd Nicholas Langley | GB | Northampton | 2013-09-26 / 20130250981 - Array Comprising a Plurality of Adjustable Optical Devices | 2 |
Chrisopher Langley | GB | Warwickshire | 2012-05-10 / 20120116311 - Medical Injection Device with Electric Motor Drive Control | 1 |
Christopher Langley | GB | Warwickshire | 2015-10-29 / 20150306316 - MEDICAMENT DELIVERY DEVICES | 3 |
Anthony Langley | GB | Bristol | 2014-06-12 / 20140158822 - SUPPORT ASSEMBLY | 1 |
Luther D. Langley | US | Neeses | 2014-12-04 / 20140352158 - HAIR CLIPPER COMB WITH MAGNETIC ATTACHMENT | 1 |
Yelena Langleyben | IL | Ramat-Gan | 2012-06-14 / 20120151284 - Recording Method Calls that Led to an Unforeseen Problem | 1 |
Carl E. Langley, Iii | US | Germantown | 2015-07-09 / 20150189940 - Debris Inhibitor For Shoes And Methods For Making Same | 1 |
Carl Edward Langley, Iii | US | Germantown | 2011-10-20 / 20110252581 - DEBRIS INHIBITOR FOR SHOES AND METHODS FOR MAKING SAME | 1 |
James Andrew Langley, Iii | US | Houston | 2009-02-12 / 20090038140 - Marine seismic streamer having soluble encapsulant surrounding seismic sensors therein | 1 |
Geir Langli | NO | Oslo | 2008-11-27 / 20080293665 - Purine Nucleotide Derivatives | 1 |
Jamie L. Langlinais | US | San Francisco | 2015-07-09 / 20150194821 - UNIFIED HIGH POWER AND LOW POWER BATTERY CHARGER | 1 |
Tim G. Langlitz | US | Oshkosh | 2012-09-06 / 20120223570 - DUAL WHEELEND FOR A VEHICLE | 3 |
Marc Langlois | US | Ann Arbor | 2015-12-03 / 20150349324 - THERMAL EVAPORATION PROCESS FOR MANUFACTURE OF SOLID STATE BATTERY DEVICES | 10 |
Martin Langlois | CA | La Pocatiere | 2013-04-25 / 20130100667 - LIGHT BEACON | 1 |
Chantal Sylvette Langlois | FR | Franconville | 2015-02-12 / 20150044382 - METHOD OF IMPREGNATING CERAMIC CORES FOR THE MANUFACTURE OF TURBOMACHINE BLADES | 1 |
Gary N. Langlois | US | Richland | 2010-09-23 / 20100236330 - DRY-COUPLED PERMANENTLY INSTALLED ULTRASONIC SENSOR LINEAR ARRAY | 1 |
Alphonse J. Langlois | US | Durham | 2010-11-18 / 20100291680 - METHODS AND COMPOSITIONS FOR INHIBITION OF MEMBRANE FUSION-ASSOCIATED EVENTS, INCLUDING HIV TRANSMISSION | 1 |
Richard Langlois | CA | Laval | 2013-08-15 / 20130206146 - Portable Chamber for Hyperbaric and/or Hypoxic Treatment | 1 |
Jonathan D. Langlois | US | Wilton | 2010-12-30 / 20100332197 - SYSTEM FOR BIOMEDICAL IMPLANT CREATION AND PROCUREMENT | 1 |
Daniel Langlois | CA | Montreal | 2010-04-15 / 20100095225 - INTERACTIVE SYSTEM AND METHOD | 2 |
Richard G. Langlois | US | Livermore | 2011-02-03 / 20110027781 - System for Autonomous Monitoring of Bioagents | 1 |
Xavier Jean Michel Langlois | BE | Beerse | 2015-12-24 / 20150366873 - COMBINATIONS COMPRISING PDE 2 INHIBITORS SUCH AS 1-ARYL-4-METHYL-[1,2,4]TRIAZOLO-[4,3-A]]QUINOXALINE COMPOUNDS AND PDE 10 INHIBITORS FOR USE IN THE TREATMENT OF NEUROLOGICAL OF METABOLIC DISORDERS | 3 |
Eric Langlois | US | Bothell | 2014-12-11 / 20140364227 - LOCATING AND ORIENTING DEVICE IN SPACE | 1 |
Robert Paul Langlois | US | Mason | 2010-07-22 / 20100184548 - INJECTION MOLDED PULLEYS HAVING LOW LEVELS OF OUT-OF-ROUNDNESS | 1 |
Michael George Langlois | CA | Almonte | 2016-03-17 / 20160077602 - Method and Handheld Electronic Device for Displaying and Selecting Diacritics | 19 |
Denis J. Langlois | US | River Falls | 2013-05-09 / 20130117488 - REMOVABLE MEMORY CARTRIDGE AND DOCKING STATION COMPATIBLE WITH MEDIA DRIVE EXPANSION SLOTS | 5 |
Pierre Langlois | CA | Quebec City | 2014-04-24 / 20140109675 - ULTRASONIC TESTING INSTRUMENT WITH DITHERY PULSING | 2 |
Robert Langlois | US | Billerica | 2016-01-28 / 20160022979 - Sterile Connection/Disconnection Coupling And Method | 1 |
Michael George Langlois | CA | Kanata | 2011-05-12 / 20110109561 - PORTABLE ELECTRONIC DEVICE AND METHOD OF CONTROLLING SAME | 3 |
Fréderic Langlois | FR | Saumont La Poterie | 2010-03-11 / 20100059971 - SAFETY ARRANGEMENT AND A METHOD OF ASSEMBLING A SAFETY ARRANGEMENT | 1 |
Pierre-Francois Langlois | FR | Octeville Sur Mer | 2009-01-15 / 20090014284 - CONTAINER SWITCHING DEVICE | 2 |
Jean-Baptiste Langlois | FR | Sierentz | 2015-09-17 / 20150259298 - THIOHYDANTOIN DERIVATIVES AND USES THEREOF | 1 |
Robert Langlois | CA | Vaughan | 2011-12-22 / 20110311721 - Low temperature cure clear powder coating over liquid paint on heat sensitive substrates | 2 |
Jean-Baptiste Langlois | US | Cambridge | 2015-12-10 / 20150353503 - INDAZOLE DERIVATIVES AND USES THEREOF | 1 |
Valérie Langlois | FR | Cachan | 2013-02-21 / 20130045392 - METHOD FOR PREPARING HYDROPHOBIZED BIOMATERIALS, HYDROPHOBIZED BIOMATERIALS AS OBTAINED AND USES THEREOF | 1 |
Olivier Langlois | FR | Paris | 2011-07-21 / 20110175441 - DEVICE AND METHOD FOR COUPLING TWO PARTS OF A DC NETWORK, PARTICULARLY IN AN AIRCRAFT | 1 |
Simon Langlois | CA | St-Jean-Chrysostome | 2012-05-17 / 20120118550 - HEAT DISSIPATING DEVICE AND METHOD OF MANUFACTURE THEREOF | 1 |
Brian K. Langlois | US | Stacy | 2014-05-15 / 20140136076 - TWO-WHEELED VEHICLE | 1 |
Richard Langlois | CA | Granby | 2011-07-28 / 20110180923 - RELIABILITY ENHANCEMENT OF METAL THERMAL INTERFACE | 1 |
Jacques Langlois | CA | La Pocatiere | 2014-10-02 / 20140295746 - Ventilation System for a Passenger Transit Vehicle | 1 |
David Langlois | CA | Saint-Jacques-De-Leeds | 2015-11-19 / 20150328020 - PROSTHETIC FOOT WITH REMOVABLE FLEXIBLE MEMBERS | 3 |
Robert Langlois | CA | Grand Valley | 2014-10-02 / 20140295095 - In-Line Powder Coating of Non-Conductive Profiles Produced in a Continuous Forming Process such as Pultrusion and Extrusion | 1 |
Denis Langlois | CA | Les Cedres | 2016-03-03 / 20160058058 - Processed Egg and process for producing the same | 1 |
Louis F. Langlois | US | San Francisco | 2012-06-21 / 20120158362 - REMOTE ANGLE MAPPING PROCESS FOR A CPV ARRAY | 1 |
Pascal Langlois | FR | St-Jean-De-La-Neuville | 2009-03-26 / 20090082578 - Process for the synthesis of strontium ranelate and its hydrates | 1 |
Richard J. Langlois | US | Sunnyvale | 2014-09-18 / 20140265763 - INJECTION MOLD WITH MULTI-AXIAL CORE INSERTS | 1 |
Jean-Marc Langlois | US | Menlo Park | 2015-10-29 / 20150310018 - Social Media Based Content Selection System | 13 |
Tristan Langlois | FR | Chateaugiron | 2012-06-28 / 20120167143 - SETTING A FEATURE FROM THE MAIN MENU OF AN APPLICATION | 2 |
Marc G. Langlois | US | Ann Arbor | 2015-06-25 / 20150179860 - CHALCOGENIDE-BASED MATERIALS AND IMPROVED METHODS OF MAKING SUCH MATERIALS | 3 |
Michael George Langlois | CA | Ottawa | 2013-02-28 / 20130055170 - ELECTRONIC DEVICE AND METHOD OF DISPLAYING INFORMATION IN RESPONSE TO DETECTING A GESTURE | 1 |
Pascal Langlois | FR | Saint Jean De La Neuville | 2012-02-02 / 20120029210 - Process for the synthesis of strontium ranelate and its hydrates | 2 |
Walter Donald Langlois | NZ | Marlborough | 2010-09-02 / 20100218475 - VINE OR CANE PRUNER | 1 |
Yannick Langlois | FR | Octeville-Sur-Mer | 2011-07-14 / 20110168525 - PLANT FOR PREPARING BATCHES OF PRODUCTS, BOTTLES OR THE LIKE | 1 |
Xavier Jean Michel Langlois | BE | Antwerpen | 2010-03-18 / 20100069394 - FAST-DISSOCIATING DOPAMINE 2 RECEPTOR ANTAGONISTS | 2 |
Bruno Langlois | FR | Paris | 2015-07-02 / 20150183979 - RHEOLOGY MODIFIER COMPOSITIONS AND METHODS OF USE | 13 |
Arnaud Langlois | FR | Vaux Le Penil | 2013-08-15 / 20130209249 - ANNULAR ANTI-WEAR SHIM FOR A TURBOMACHINE | 1 |
Bernard Langlois | FR | St. Germaine En Laye | 2010-10-07 / 20100254891 - BAYONET TUBE EXCHANGER-REACTOR ALLOWING OPERATION WITH PRESSURE DIFFERENCES OF THE ORDER OF 100 BARS BETWEEN THE TUBE SIDE AND THE SHELL SIDE | 1 |
Olivier Langlois | FR | Colomiers | 2011-08-18 / 20110198918 - DEVICE AND METHOD FOR STANDBY POWER SUPPLY ON BOARD AN AIRCRAFT | 3 |
Yves Langlois | FR | Essonnes | 2008-10-16 / 20080253404 - DYNAMIC TIME DIVISION MULTIPLEXING CIRCUIT WITHOUT A SHADOW TABLE | 1 |
David Langlois | CA | St-Jacques-De-Leeds | 2015-03-19 / 20150081037 - PROSTHETIC AND ORTHOTIC DEVICES AND METHODS AND SYSTEMS FOR CONTROLLING THE SAME | 4 |
Jean-Christope Langlois | FR | Octeville-Sur-Mer | 2011-03-03 / 20110052743 - MOLDING UNIT ATTACHMENT SYSTEM AND MOLDING UNIT COMPRISING SUCH A SYSTEM | 1 |
Jean-Christophe Langlois | FR | Octeville-Sur-Mer | 2013-08-29 / 20130224325 - MOULD BASE SUPPORT | 7 |
Xavier Jean,michel Langlois | BE | Beerse | 2010-02-04 / 20100029620 - SUBSTITUTED TRIAZOLINE, TETRAZOLONE AND IMIDAZOLONE DERIVATIVES FOR USE AS A MEDICINE | 1 |
Yohann Langlois | FR | Feuquieres En Vimeu | 2008-09-04 / 20080210713 - Pump for Dispensing a Dose of a Fluid Product and Range Comprising Such Pumps | 1 |
Benoit Langlois | CA | Ste-Catherine-De-Hatley | 2010-12-09 / 20100306985 - System for inserting blind attachments | 1 |
Vincent Langlois | FR | La Haye-Malherbe | 2012-09-13 / 20120230816 - TURBINE MACHINE CASING WITH REINFORCED SEALING | 1 |
Jean-Sebastien Langlois | CA | Charlesbourg | 2010-12-30 / 20100328063 - REMOTE TRACKING AND COMMUNICATION DEVICE | 2 |
David A. Langlois | US | Los Alamos | 2015-10-22 / 20150303497 - REJUVENATION OF AUTOMOTIVE FUEL CELLS | 1 |
Fréderic Langlois | FR | Saumont La Poterie | 2010-03-11 / 20100059971 - SAFETY ARRANGEMENT AND A METHOD OF ASSEMBLING A SAFETY ARRANGEMENT | 1 |
Marc Langlois | CA | Montreal | 2011-03-03 / 20110049305 - IMPROVED SLAT CONFIGURATION FOR FIXED-WING AIRCRAFT | 1 |
Michael George Langlois | CA | Almonte | 2016-03-17 / 20160077602 - Method and Handheld Electronic Device for Displaying and Selecting Diacritics | 19 |
Michael Langlois | CA | Almonte | 2013-01-24 / 20130021250 - METHOD AND HANDHELD ELECTRONIC DEVICE FOR DISPLAYING AND SELECTING DIACRITICS | 8 |
Rick Langlois | US | Apex | 2013-01-10 / 20130011268 - Impeller Assembly and Method | 1 |
Michael Langlois | CA | Almonte | 2013-01-24 / 20130021250 - METHOD AND HANDHELD ELECTRONIC DEVICE FOR DISPLAYING AND SELECTING DIACRITICS | 8 |
Bernard Langlois | FR | Lyon | 2015-01-15 / 20150018561 - CF3O-CONTAINING ENAMINOKETONES AND THEIR UTILIZATION FOR THE PREPARATION OF CF3O-CONTAINING PYRAZOLES | 2 |
Timothy R. Langlois | US | Tyngsboro | 2012-08-16 / 20120207343 - METHOD AND APPARATUS FOR GENERATING A FORECAST WEATHER IMAGE | 1 |
Pierre-Francois Langlois | FR | Octeville S/mer | 2010-08-12 / 20100200367 - VESSEL CONVEYING DEVICE SEPARATING A MAIN VESSEL FLOW INTO A PLURALITY OF SECONDARY FLOWS | 1 |
Sabine Langlois | FR | Paris | 2016-04-21 / 20160107655 - OPERATING METHOD FOR A VEHICLE IN MANUAL MODE AND IN AUTONOMOUS MODE | 2 |
Rick Langlois | US | Sunnyvale | 2013-05-09 / 20130115071 - Pump with Hydraulic Isolator | 1 |
Timothy J. Langlois | US | Wilmington | 2016-02-04 / 20160030923 - Porous Media Compositions and Methods for Producing the Same | 3 |
Jean-Marc Langlois | US | Alameda | 2012-10-04 / 20120254144 - USING NETWORK TRAFFIC LOGS FOR SEARCH ENGINE INDEX UPDATES | 4 |
David Langlois | CA | Quebec | 2015-10-22 / 20150297368 - IMPEDANCE SIMULATING MOTION CONTROLLER FOR ORTHOTIC AND PROSTHETIC APPLICATIONS | 2 |
Damon Langlois | CA | Victoria | 2014-07-10 / 20140193015 - MICROPHONE STAND MOUNTING BRACKETS | 7 |
Erryn Leigh Langlois | CA | Windsor | 2013-10-31 / 20130285343 - Hybrid Component | 3 |
Martin Langlois | CA | Saint-Jean-Chrysostome | 2014-01-23 / 20140022915 - METHOD, SYSTEM AND APPARATUS FOR VERIFYING VALIDITY OF LOCATION INFORMATION IN A PACKET-BASED NETWORK | 2 |
Pierre Langlois | CA | Stoneham | 2011-05-05 / 20110100089 - DETECTION OF CHANNEL SATURATION IN PHASE-ARRAY ULTRASONIC NON-DESTRUCTIVE TESTING | 2 |
Pierre Langlois | CA | Quebec | 2015-02-05 / 20150039245 - SYSTEM AND METHOD OF NON-DESTRUCTIVE INSPECTION WITH A VISUAL SCANNING GUIDE | 3 |
Bruno Langlois | FR | Paris | 2015-07-02 / 20150183979 - RHEOLOGY MODIFIER COMPOSITIONS AND METHODS OF USE | 13 |
Marc Langlois | US | Ann Arbor | 2015-12-03 / 20150349324 - THERMAL EVAPORATION PROCESS FOR MANUFACTURE OF SOLID STATE BATTERY DEVICES | 10 |
Valérie Langlois | FR | Cachan | 2013-02-21 / 20130045392 - METHOD FOR PREPARING HYDROPHOBIZED BIOMATERIALS, HYDROPHOBIZED BIOMATERIALS AS OBTAINED AND USES THEREOF | 1 |
Timothy A. Langlois | US | Fair Haven | 2012-01-26 / 20120019384 - SYSTEMS AND METHODS FOR INDICATING A QUALITY OF GROUPED ITEMS | 2 |
Eric Langlois | US | Waltham | 2015-09-24 / 20150271617 - MICROPHONE WITH ALIGNED APERTURES | 2 |
David Allan Langlois | CA | Hamilton | 2015-01-22 / 20150025318 - SYSTEM AND METHOD FOR INHIBITING INJURY TO A PATIENT DURING LAPAROSCOPIC SURGERY | 2 |
Jean-Marc Langlois | US | Menlo Park | 2015-10-29 / 20150310018 - Social Media Based Content Selection System | 13 |
Jacques Phillip Langlois | US | Los Angeles | 2013-07-11 / 20130177304 - PORTABLE ELECTRONIC DEVICE CASE ACCESSORY WITH INTERCHANGEABLE CAMERA LENS SYSTEM | 1 |
Jean-Philippe Langlois | US | Carrollton | 2008-09-18 / 20080227518 - Systems for Lottery Pool Management | 1 |
Marc Guy Langlois | US | Midland | 2013-06-20 / 20130152996 - PHOTOVOLTAIC CELL ASSEMBLY | 1 |
Björn Langlotz | DE | Trostberg | 2012-10-11 / 20120255731 - PROCESS FOR PRODUCING MINERAL OIL FROM UNDERGROUND FORMATIONS | 6 |
Tobias Langlotz | AT | Graz | 2013-11-28 / 20130314441 - IMAGE-DRIVEN VIEW MANAGEMENT FOR ANNOTATIONS | 1 |
Jutta Karin Langlotz | DE | Trostberg | 2015-06-11 / 20150159073 - BIODEGRADABLE ANTI-ACCRETION ADDITIVE FOR WATER-BASED DRILLING FLUIDS | 5 |
Walter Langlotz | DE | Henfenfeld | 2011-11-24 / 20110284140 - PROPELLANT AND PROCESS FOR PRODUCING A PROPELLANT | 1 |
Holger Langlotz | DE | Gotha | 2011-07-21 / 20110175361 - FLOW CONVERTER | 1 |
Tobias Martin Langlotz | AT | Graz | 2015-03-12 / 20150070387 - STRUCTURAL MODELING USING DEPTH SENSORS | 4 |
Matthias Langlotz | DE | Hohenbrunn | 2009-02-26 / 20090055017 - METHOD FOR HANDLING CASSETTES FOR BANK NOTES | 1 |
Andrea Langlotz | DE | Heidelburg | 2010-03-18 / 20100070460 - SYSTEM AND METHOD FOR RULE-BASED DATA OBJECT MATCHING | 1 |
Björn Langlotz | DE | Trostberg | 2015-11-19 / 20150329669 - PROCESS FOR PREPARING A MACROMONOMER | 7 |
Martin Langlotz | DE | Sparrieshoop | 2013-08-08 / 20130204494 - METHOD FOR OPERATING AN ELECTRIC STEERING SYSTEM OF AN INDUSTRIAL TRUCK AND INDUSTRIAL TRUCK PROVIDED FOR THIS PURPOSE | 2 |
Tom Langmacher | US | Portland | 2012-08-16 / 20120210262 - RIGGING PARAMETERS TO CREATE EFFECTS AND ANIMATION | 1 |
Tom Langmacher | US | Washougal | 2013-12-19 / 20130339856 - Method and Apparatus for Modifying Attributes of Media Items in a Media Editing Application | 9 |
Tom Langmacher | US | Washougal | 2013-12-19 / 20130339856 - Method and Apparatus for Modifying Attributes of Media Items in a Media Editing Application | 9 |
Michael J. Langmack | US | Huntington Beach | 2014-10-30 / 20140319284 - SYSTEMS FOR INTERCONNECTING DUAL MANIFESTED SPACECRAFT | 5 |
Michael John Langmack | US | Huntington Beach | 2014-07-31 / 20140210416 - LIGHT-WEIGHT BATTERY APPARATUS | 2 |
Clark B. Langmack | US | Gates Mills | 2011-09-15 / 20110223410 - Waterproof, Thermal insulating radiant reflective roofing laminate | 2 |
Michael Langmack | US | Huntington Beach | 2008-11-27 / 20080290222 - SPACECRAFT LOW TUMBLE LINEAR RELEASE SYSTEM | 1 |
Joseph A. Langmaid | US | Caro | 2016-05-19 / 20160142008 - Photovoltaic Devices With Improved Connector and Electrical Circuit Assembly | 20 |
Joe A. Langmaid | US | Caro | 2015-05-14 / 20150129032 - PHOTOVOLTAIC DEVICE AND METHOD | 5 |
Allen Langmaier | US | San Jose | 2014-05-15 / 20140133770 - MANAGING RAW AND PROCESSED IMAGE FILE PAIRS | 2 |
Karen Kraft Langman | US | Kirkland | 2013-11-14 / 20130304145 - UTILITY MODULE SYSTEM | 2 |
Maciej Langman | PL | Krakow | 2015-10-22 / 20150304112 - System and method for administering licenses stored by a product unit, and administration of said unit in the field | 2 |
Kevin J. Langman | CA | Maple | 2009-03-05 / 20090064113 - METHOD AND SYSTEM FOR DYNAMIC LOOP TRANSFER BY POPULATING SPLIT VARIABLES | 1 |
Justin Langman | AU | Hendon | 2013-05-23 / 20130126516 - CIRCUIT ARRANGEMENT FOR SUSTAINING WATER IN CONTACT WITH A HEATING ELEMENT AT A SET TEMPERATURE OR RANGE WITHIN AN INSTANTANEOUS HOT WATER HEATER UNIT | 1 |
Deborah Langman | US | Los Angeles | 2016-02-04 / 20160030768 - System and Method for Improved High Dose Radiation Therapy Treatment Planning | 1 |
Justin Langman | AU | Oakden | 2011-01-06 / 20110001450 - MOTOR START CIRCUIT FOR AN INDUCTION MOTOR | 1 |
Erik Langmann | US | Auburn Hills | 2010-12-30 / 20100325962 - CARRIER FOR CONNECTING A WINDOW PANE TO A WINDOW LIFT OF A MOTOR VEHICLE | 1 |
Detiev Langmann | DE | Pinneberg | 2008-10-16 / 20080252491 - Advanced Control Device for Home Entertainment Utilizing Three Dimensional Motion Technology | 1 |
Thomas Langmann | DE | Frechen | 2016-02-18 / 20160046734 - Polysialic acid and use for treatment of neurodegenerative and neuroinflammatory diseases | 1 |
Eldad Langmans | IL | Haifa | 2014-12-11 / 20140362208 - HIGH THROUGHPUT AND LOW COST HEIGHT TRIANGULATION SYSTEM AND METHOD | 2 |
Liz Langmanson | US | Austin | 2011-02-03 / 20110029244 - TECHNIQUES FOR SURFACE EXPLORATION AND MONITORING | 1 |
Eldad Langmatz | IL | Haifa | 2012-03-08 / 20120057773 - INSPECTION RECIPE GENERATION AND INSPECTION BASED ON AN INSPECTION RECIPE | 1 |
Gregory C. Langmead | US | Pittsburgh | 2015-10-29 / 20150309688 - SYSTEM AND METHOD FOR INTERACTING WITH A DISPLAYED WORK SPACE | 2 |
Larry Langmeier | US | Stoughton | 2011-08-25 / 20110203697 - Fluid Filter Apparatus and Methods | 2 |
Andreas Langmeier | DE | Muenchen | 2012-09-20 / 20120235032 - Ionization Method, Ion Producing Device and Uses of the Same in Ion Mobility Spectrometry | 1 |
James Robert Langmeier | US | Hamilton | 2010-09-09 / 20100224522 - Sachet Constructions | 1 |
Fatmir Langmeier | DE | Rohrdorf | 2015-08-27 / 20150237967 - MODULAR TECHNICAL SYSTEM FOR PRODUCING A MODULAR-STRUCTURE FOOT SOLE LAST AND MODULAR-STRUCTURE FOOT SOLE LAST | 2 |
Fatmir Langmeier | US | 2015-08-27 / 20150237967 - MODULAR TECHNICAL SYSTEM FOR PRODUCING A MODULAR-STRUCTURE FOOT SOLE LAST AND MODULAR-STRUCTURE FOOT SOLE LAST | 2 | |
Alois Langmeier | AT | Vienna | 2009-03-12 / 20090069040 - SYSTEM AND METHOD FOR PROVIDING COMMERCIAL SERVICES OVER A WIRELESS COMMUNICATION NETWORK | 1 |
Gene Langmesser | US | Aliso Viejo | 2013-05-16 / 20130119631 - Sand-rideable bicycle | 1 |
Gene Langmesser | US | Santa Ana | 2014-07-17 / 20140197616 - SAND-RIDEABLE BICYCLE WITH POSITIVE TRACTION GEAR ASSEMBLY | 1 |
Peter Langner | US | San Mateo | 2011-11-10 / 20110272420 - ENCLOSED VERTICAL RACK FOR STORING AND TRANSPORTING LARGE SUBSTRATES | 1 |
Andreas Langner | DE | Freigericht | 2015-08-06 / 20150218036 - METHOD FOR PRODUCING AN OPTICAL PREFORM WITH A POD CLADDING GLASS LAYER | 7 |
Ying Chieh Belinda Langner | US | Mountain View | 2015-12-31 / 20150379559 - APPLICATION INSTALLATION CONVERSION AND IDENTIFICATION | 2 |
Tadeusz Langner | US | Maplewood | 2013-09-05 / 20130230450 - Catalysts Useful for the Alkylation of Aromatic Hydrocarbons | 2 |
Roland Langner | DE | Bevern | 2009-02-26 / 20090053154 - MIXTURES OF ETHYLHEXYL P-METHOXYCINNAMATE AND ETHYLHEXYL SALICYLATE | 1 |
Brian Langner | US | Mountain View | 2014-09-18 / 20140278366 - FEATURE EXTRACTION FOR ANONYMIZED SPEECH RECOGNITION | 1 |
Klaus Langner | DE | Oberdurenbach | 2010-12-23 / 20100320267 - Method and Device for Accessing Microforms | 1 |
F. Richard Langner | US | Fountain Hills | 2014-09-04 / 20140245878 - Systems and Methods for Disrupter Recovery | 4 |
Belinda Ying-Chieh Langner | US | Pittsburgh | 2014-02-06 / 20140034721 - SELF-DIAGNOSING PROCESSES USING QR-CODE | 2 |
Karlheinz Langner | AT | Sittendorf Bei Wien | 2011-08-25 / 20110204575 - Piston ring | 1 |
Marc Langner | DE | Mannheim | 2013-05-23 / 20130129315 - METHOD AND APPARATUS FOR PROCESSING OF MEDIA DATA | 1 |
Manfred H. Langner | DE | Recke | 2011-10-13 / 20110247499 - IONIZING DEVICE FOR AIR TREATMENT SYSTEMS | 1 |
Lasse Langner | DE | Ludwigsburg | 2013-10-24 / 20130276832 - METHOD FOR CLEANING DEPOSITS FROM A WAVE ENERGY CONVERTER | 1 |
Thomas Langner | DE | Schwanewede | 2011-05-12 / 20110110076 - Foil Cushion Arrangement | 3 |
Frank Kaj Glydendal Langner | AU | Victoria | 2010-09-30 / 20100242365 - SLIDING WINDOWS, DOORS AND THE LIKE | 1 |
Robert Charles Langner | AU | Victoria | 2010-09-30 / 20100242365 - SLIDING WINDOWS, DOORS AND THE LIKE | 1 |
Mark Langner | DE | Bielefeld | 2009-02-26 / 20090051089 - Damping Element in the Form of a Cylindrical Hollow Body and Method of Production | 1 |
Paul Langner | US | Fremont | 2011-10-13 / 20110249686 - Energy Efficient Ethernet (EEE) With 10GBase-T Structures | 3 |
Manfred H. Langner | DE | Frankfurt/main | 2010-11-11 / 20100282594 - METHOD AND DEVICE FOR CLEANING A HOT AIR STREAM | 1 |
Manfred H. Langner | DE | Frankfurt Am Main | 2014-01-02 / 20140003996 - METHOD FOR FILTERING ODORS OUT OF AN AIR FLOW, AND FILTERING DEVICE EQUIPPED WITH AN ODOR FILTER | 2 |
Norbert Langner | DE | Asperg | 2011-08-25 / 20110203240 - FILTER ELEMENT AND FILTER DEVICE | 1 |
Kurtis L. Langner | US | Dubuque | 2013-03-21 / 20130071215 - Reduced Effort Manual Boom Lock | 1 |
Heike Langner | DE | Sulz | 2016-05-19 / 20160136729 - METHOD FOR PRODUCING A STEEL SHAPED BODY | 1 |
Karlheinz Langner | AT | Sittendorf | 2010-06-17 / 20100147252 - Piston, Cylinder and Piston Assembly and Piston Ring for a Piston | 1 |
Ulrich W. Langner | US | Lexington | 2009-12-17 / 20090310739 - SYSTEM AND METHOD FOR USING PROSPECTIVE EVALUATION OF DISPLACEMENT AND VELOCITY OF A RESPIRATORY TRACE IN A FIVE DIMENSIONAL PARAMETER SPACE TO REDUCE ARTIFACTS AND DOSAGE IN FOUR DIMENSIONAL COMPUTED TOMOGRAPHY | 1 |
Bernhard Langner | DE | Mainz | / - | 1 |
Herbert Gunther Joachim Langner | CA | Brantford | 2011-07-07 / 20110162813 - HORIZONTAL RE-PULPING APPARATUS FOR CELLULOSE FIBRES | 3 |
Paul Langner | US | Richardson | 2012-12-13 / 20120317456 - Method and Apparatus for N+1 Packet Level Mesh Protection | 2 |
Belinda Y. Langner | US | Cary | 2012-06-28 / 20120167227 - OBSCURING INFORMATION IN MESSAGES USING COMPRESSION WITH SITE-SPECIFIC PREBUILT DICTIONARY | 3 |
Martin Langner | AT | Kundl/tyrol | 2014-10-09 / 20140303184 - PROCESS FOR THE PREPARATION OF A CHIRAL COMPOUND | 3 |
Stefan Langner | DE | Dresden | 2014-12-11 / 20140360407 - YTTRIUM OXIDE COATING FILM | 3 |
Thilo Langner | DE | Quedlinburg | 2014-07-03 / 20140188429 - MEASUREMENT METHOD AND DEVICE FOR DETERMINING THE POSITION OF A PROFILE COMPONENT APPLIED TO A SHELL COMPONENT | 1 |
Robert Charles Langner | US | 2013-06-06 / 20130139444 - RELATION TO DOUBLE HUNG WINDOWS | 1 | |
Andreas Langner | US | Pittsford | 2012-05-24 / 20120124899 - FUEL ADDITIVE CONTAINING LATTICE ENGINEERED CERIUM DIOXIDE NANOPARTICLES | 3 |
Linda Langner | US | Pittsford | 2012-05-24 / 20120124899 - FUEL ADDITIVE CONTAINING LATTICE ENGINEERED CERIUM DIOXIDE NANOPARTICLES | 1 |
Klaus-Dieter Langner | DE | Aachen | / - | 2 |
Wilfried Langner | DE | Friedberg | 2011-04-28 / 20110094632 - LEDEBURITE CAST IRON WITH A HIGH CARBIDE CONTENT AND AN EVENLY DISTRIBUTED GRAPHITE EMBODIMENT | 1 |
Chad Langness | US | St. Paul | 2010-02-25 / 20100045598 - APPARATUS FOR CONTROLLING THE MOVEMENT OF AN OBJECT ON A PLANE | 1 |
Brian Michael Langness | US | Shelbyville | 2013-08-29 / 20130222474 - VARIABLE FORCE WIPER FOR MAINTENANCE STATION OF IMAGING DEVICE | 1 |
Mirko Langnickel | DE | Berlin | 2010-05-13 / 20100121501 - OPERATING DEVICE FOR A MOTOR VEHICLE | 1 |
Clemens Lango | DE | Dusseldorf | 2010-10-14 / 20100259483 - OPERATING AND DISPLAY SYSTEM FOR A VEHICLE | 1 |
Jason Ansel Lango | US | Mountain View | 2013-11-14 / 20130304844 - SYSTEM AND METHOD FOR CACHING NETWORK FILE SYSTEMS | 3 |
Jason A. Lango | US | Sunnyvale | 2014-09-18 / 20140281350 - MULTI-LAYERED STORAGE ADMINISTRATION FOR FLEXIBLE PLACEMENT OF DATA | 1 |
Jason A. Lango | US | Mountain View | 2014-09-18 / 20140282824 - AUTOMATIC TUNING OF VIRTUAL DATA CENTER RESOURCE UTILIZATION POLICIES | 4 |
Lan La-Ngoc | NZ | Christchurch | 2010-06-10 / 20100140944 - Wave Energy Converter | 1 |
Marc Langohr | DE | Roedersheim | 2013-11-21 / 20130305712 - ACTUATING DEVICE FOR AN EXHAUST GAS TURBOCHARGER | 1 |
David Langohr | FR | Conflans Sainte Honorine | 2012-02-02 / 20120028544 - FUSED ALUMINA/ZIRCONIA GRAIN MIXTURE | 1 |
Oliver Langohr | DE | Weilimdorf | 2013-12-12 / 20130332032 - METHOD AND CONTROL UNIT FOR ACTIVATING A SAFETY DEVICE FOR A VEHICLE IN A ROLLOVER SITUATION | 1 |
Philippe Langohr | BE | Crisnee | 2012-06-07 / 20120143436 - Monitoring Device for Aircraft Equipment | 1 |
Rajesh Langoju | IN | Bangalore | 2014-09-04 / 20140249055 - SYSTEMS AND METHODS FOR SELF-REFERENCED DETECTION AND IMAGING OF SAMPLE ARRAYS | 1 |
Veera Venkata Lakshmi Rajesh Langoju | IN | Bangalore | 2012-07-05 / 20120172719 - SOFT FIELD TOMOGRAPHY SYSTEM AND METHOD | 3 |
Rajesh V.v.l. Langoju | IN | Bangalore | 2013-01-03 / 20130006558 - SYSTEM AND METHOD FOR SOFT-FIELD RECONSTRUCTION | 1 |
Brian M. Langolf | US | Fond Du Lac | 2009-11-19 / 20090286437 - WIPES WITH RUPTURABLE BEADS | 2 |
Robert J. Langone | US | Clifton Park | 2016-01-28 / 20160023433 - THERMOPLASTIC COMPOSITE PREPREG FOR AUTOMATED FIBER PLACEMENT | 5 |
Kim Langone | US | Sunnyvale | 2012-02-16 / 20120040842 - Gene Expression Markers for Prediction of Patient Response to Chemotherapy | 4 |
Marta Antunes Pereira Langone | BR | Rio De Janeiro | 2013-04-25 / 20130102041 - ENZYMATIC PROCESS FOR SYNTHESIZING ESTOLIDES | 1 |
Sylvie Langorieux | CH | Muri B/bern | 2010-11-25 / 20100297329 - SPREADABLE DAIRY PRODUCT | 1 |
Geoffrey P. Langos | US | Manalapan | 2012-08-23 / 20120213352 - METHOD AND APPARATUS FOR BUSY OVERRIDE IN AN INTERNET PROTOCOL-BASED TELEPHONE SYSTEM | 2 |
Geoffrey Langos | US | Manalapan | 2012-04-26 / 20120101899 - SYSTEMS AND METHODS OF RECOMMENDING THE DELIVERY OF ADVERTISEMENTS | 9 |
Geoffrey Langos | US | Manalapan | 2012-04-26 / 20120101899 - SYSTEMS AND METHODS OF RECOMMENDING THE DELIVERY OF ADVERTISEMENTS | 9 |
Florentin Langouche | BE | Leuven | 2009-05-28 / 20090134550 - Die for producing very wide, flat, plastic-based structures | 1 |
Lies Langouche | BE | Leuven | 2012-01-19 / 20120015901 - METHODS AND PREPARATIONS FOR PROTECTING CRITICALLY ILL PATIENTS | 1 |
Ilia Langouev | US | Santa Cruz | 2016-04-07 / 20160098324 - DYNAMIC PROTECTION OF STORAGE RESOURCES FOR DISASTER RECOVERY | 19 |
Iiya Langouev | US | Santa Cruz | 2013-07-18 / 20130185509 - COMPUTING MACHINE MIGRATION | 1 |
Ilia Langouev | US | Santa Cruz | 2016-04-07 / 20160098324 - DYNAMIC PROTECTION OF STORAGE RESOURCES FOR DISASTER RECOVERY | 19 |
Brendan J. Langoulant | US | San Francisco | 2015-06-25 / 20150176998 - LOCATION-BASED OPERATING MODES | 6 |
Brendan Langoulant | US | San Francisco | 2014-06-12 / 20140164949 - EMAIL USER INTERFACE | 6 |
Brendan John Langoulant | US | San Francisco | 2015-07-16 / 20150199371 - TOKENIZED SEARCH SUGGESTIONS | 3 |
Carl J. Langraff | US | Waukesha | 2008-10-30 / 20080264378 - Connecting Rod with Cast-In Insert | 1 |
Noshir Langrana | US | 2013-08-08 / 20130202582 - AGENT DELIVERY SYSTEM CAPABLE OF SELECTIVELY RELEASING AN AGENT | 1 | |
Noshir Langrana | US | West Windsor | 2015-04-09 / 20150098970 - Biomaterial and Methods of Use Thereof for the Prevention of Post-operative Adhesions | 1 |
Gerald K. Langreck | US | Phillips | 2014-12-18 / 20140368071 - HIGH EFFICIENCY ACCEL/DECEL SERVO DEVICE AND SYSTEM | 7 |
Alex M. Langreet | US | Mount Clemens | 2013-10-17 / 20130269259 - VEHICLE SLIDING DOOR ASSEMBLY | 1 |
Charles B. Langrel | US | Lexington | 2009-06-18 / 20090154954 - ELECTROPHOTOGRAPHIC DEVICE ARCHITECTURE FOR MEDIA OUTPUT OPTION USABILITY AND INTERCHANGEABILITY | 3 |
Charles Brandon Langrel | US | Lexington | 2011-12-01 / 20110292473 - Raisable Scan Head for an Imaging Apparatus | 11 |
Charles Richard Langrick | GB | Middlesbrough | 2015-09-24 / 20150266998 - POLYAMIDE COMPOSITIONS AND PROCESSES | 2 |
Charles Richard Langrick | GB | Nunthorpe | 2015-10-01 / 20150275403 - POLYAMIDE APPAREL TEXTILE YARNS AND FABRICS AND GARMENTS MADE THEREFROM | 1 |
Joanne Elizabeth Langride | GB | Barnwood | 2010-07-29 / 20100186485 - Rheometer with modular environmental control system | 1 |
Adam J. Langridge | GB | Godalming | 2008-12-25 / 20080318654 - Combat action selection using situational awareness | 1 |
Steven Langridge | CA | Markham | 2016-02-04 / 20160034303 - CACHE MOBILITY | 2 |
David J. Langridge | GB | Macclesfield | 2016-04-14 / 20160104611 - Space Focus Time of Flight Mass Spectrometer | 9 |
Adam J. Langridge | GB | Guildford | 2009-12-31 / 20090325660 - TARGETING CONTROL IN A SIMULATED ENVIRONMENT | 2 |
Adam Jethro Langridge | GB | Guildford | 2014-08-21 / 20140235350 - ACTION TRIGGER GESTURING | 9 |
David Langridge | GB | Manchester | 2011-11-03 / 20110266435 - Ion Guide Array | 3 |
Philip S. Langridge | GB | Saffron Walden | 2012-11-08 / 20120284163 - CUSTOMIZABLE TRADING DISPLAY OF MARKET DATA | 1 |
Steven Michael Langridge | CA | Ontario | 2009-07-30 / 20090193425 - METHOD AND SYSTEM OF MANAGING RESOURCE LEASE DURATION FOR ON-DEMAND COMPUTING | 1 |
William H.r. Langridge | US | Loma Linda | 2009-03-26 / 20090081256 - TRANSGENIC PLANT-BASED VACCINES | 1 |
Adam Jethro Langridge | GB | Guildford | 2014-08-21 / 20140235350 - ACTION TRIGGER GESTURING | 9 |
Philip S. Langridge | US | 2012-06-28 / 20120166938 - CUSTOMIZABLE TRADING DISPLAY OF MARKET DATA | 1 | |
Jonathan Langridge | FR | Melun | 2013-08-15 / 20130206865 - METHOD FOR DEFINING THE SHAPE OF A TURBOMACHINE CONVERGENT-DIVERGENT NOZZLE, AND CORRESPONDING CONVERGENT-DIVERGENT NOZZLE | 1 |
David J. Langridge | GB | Manchester | 2011-12-01 / 20110291001 - Ion Mobility Spectrometer | 1 |
Steve Langridge | CA | Markham | 2016-04-28 / 20160119422 - REMOTE DIRECT MEMORY ACCESS (RDMA) OPTIMIZED HIGH AVAILABILITY FOR IN-MEMORY DATA STORAGE | 2 |
Adam Jethro Langridge | GB | Surrey | 2014-06-19 / 20140168075 - Method to Control Perspective for a Camera-Controlled Computer | 2 |
David J. Langridge | GB | Bollington | 2014-09-25 / 20140284471 - Mass Spectrometers Comprising Accelerator Devices | 1 |
David Langridge | GB | Stockport | 2013-04-25 / 20130099110 - Mass to Charge Ratio Selective Ejection from Ion Guide Having Supplemental RF Voltage Applied Thereto | 1 |
James I. Langridge | GB | Sale | 2015-11-19 / 20150331001 - METHODS AND APPARATUS FOR THE ANALYSIS OF FATTY ACIDS | 1 |
Peter Langridge | AU | South Australia | 2010-11-04 / 20100281583 - BORON TRANSPORTER | 1 |
John Richard Langridge | NL | Sint Oedenrode | 2010-04-08 / 20100087549 - EXTENDED RELEASE EXCIPIENT AND ITS USE | 1 |
David J. Langridge | GB | Stockport | 2015-10-15 / 20150294850 - Ion Trap With Spatially Extended Ion Trapping Region | 22 |
Adam J. Langridge | GB | Guildford, Surrey | 2009-12-17 / 20090311652 - TAKING COVER IN A SIMULATED ENVIRONMENT | 1 |
Jesse Michael Langridge | US | Kailua Kona | 2015-04-30 / 20150113703 - Glove for Meat Preparation | 1 |
David J. Langridge | GB | Stockport | 2015-10-15 / 20150294850 - Ion Trap With Spatially Extended Ion Trapping Region | 22 |
Peter Langridge | AU | Teringie | 2015-07-16 / 20150197768 - Plant transcription factors, promoters and uses thereof | 4 |
Johanne Elizabeth Langridge | GB | Gloucester | 2012-08-02 / 20120192625 - EXPERT-SYSTEM-BASED RHEOLOGY | 1 |
James I. Langridge | GB | Sales | 2014-11-06 / 20140330524 - TECHNIQUES FOR QUANTIFICATION OF SAMPLES | 1 |
David J. Langridge | GB | Macclesfield | 2016-04-14 / 20160104611 - Space Focus Time of Flight Mass Spectrometer | 9 |
Claire Louise Langrish | US | Palo Alto | 2013-08-01 / 20130195844 - ANTIBODIES AGAINST HUMAN IL17 AND USES THEREOF | 2 |
Claire L. Langrish | US | Palo Alto | 2010-06-10 / 20100143357 - Uses of Mammalian Cytokine; Related Reagents | 2 |
Kevin C. Langry | US | Tracy | 2014-11-13 / 20140335443 - FUEL CELL COMPONENTS AND SYSTEMS HAVING CARBON-CONTAINING ELECTRICALLY-CONDUCTIVE HOLLOW FIBERS | 2 |
Peter J. Langsam | US | San Francisco | 2016-04-14 / 20160105457 - Risk Identification | 3 |
Eric Hardinge Langsam | US | Charleston | 2014-01-23 / 20140020342 - MULTI-PURPOSE BAG | 1 |
Peter J. Langsam | US | New York | 2013-05-16 / 20130125239 - INSIDER THREAT CORRELATION TOOL | 4 |
David A. Langsam | US | Sunnyvale | 2016-04-28 / 20160116368 - METHOD FOR APPROXIMATING REMAINING LIFETIME OF ACTIVE DEVICES | 5 |
Peter J. Langsam | US | New York City | 2012-08-02 / 20120198556 - INSIDER THREAT PING AND SCAN | 1 |
Peter Jordan Langsam | US | New York City | 2012-02-23 / 20120047575 - SYSTEMS AND METHODS FOR PERFORMING ACCESS ENTITLEMENT REVIEWS | 2 |
Allison Langs-Barlow | US | Stamford | 2015-12-03 / 20150346213 - PLASMA CYTOCHROME C AS A BIOMARKER FOR MITOCHONDRIAL TOXICITY DURING ANTIRETROVIRAL THERAPY | 1 |
Jorge Eduardo Langsch | BR | Barueri | 2015-07-16 / 20150196926 - Depressants for Mineral Ore Flotation | 1 |
Jan Christian Langsdorf | DE | Oberursel | 2014-10-02 / 20140290452 - Male Dry Shaver | 6 |
Leah Langsdorf | US | Akron | 2014-02-13 / 20140042090 - CYCLOALKYLNORBORNENE MONOMERS, POLYMERS DERIVED THEREFROM AND THEIR USE IN PERVAPORATION | 7 |
Andreas Langsdorf | DE | Ingelheim | 2014-06-19 / 20140171901 - DEVICE FOR RETAINING AND STORING LIQUID MEDIA AND METHOD OF EXPELLING THE LIQUID MEDIA | 11 |
Bennett D. Langsdorf | US | Cary | 2015-09-03 / 20150249196 - HIGH VOLTAGE MONOLITHIC LED CHIP WITH IMPROVED RELIABILITY | 1 |
Jan Langsdorf | DE | Oberursel | 2011-05-05 / 20110099814 - Electric Razor Having Integrated Cooling | 1 |
Andreas Langsdorf | US | 2012-01-12 / 20120009386 - GLASS HAVING EXCELLENT RESISTANCE AGAINST SURFACE DAMAGES AND METHOD FOR THE PRODUCTION THEREOF | 1 | |
Christopher Langsdorf | US | Eugene | 2014-05-29 / 20140147860 - Acoustic Cytometry Methods and Protocols | 1 |
Leah J. Langsdorf | US | Akron | 2014-04-17 / 20140102631 - POLYMER COMPOSITIONS FOR TEMPORARY BONDING | 5 |
Andreas Langsdorf | DE | Muenzenberg | 2015-03-05 / 20150067567 - SYSTEM AND METHOD FOR PROVIDING INFORMATION ON A MOBILE MACHINE | 1 |
Bennett Langsdorf | US | Cary | 2015-03-12 / 20150069430 - Phosphor-converted light emitting device | 2 |
Andreas Langsdorf | DE | Ingelheim | 2014-06-19 / 20140171901 - DEVICE FOR RETAINING AND STORING LIQUID MEDIA AND METHOD OF EXPELLING THE LIQUID MEDIA | 11 |
John L. Langsdorf | US | Bedford | 2008-12-04 / 20080296130 - CONVEYOR CAROUSEL WITH DISTRIBUTED DRIVE SYSTEM | 1 |
Britt Ann-Christine Langselius | SE | Gavle | 2014-10-09 / 20140299339 - FLAME RETARDANT AND FIRE EXTINGUISHING PRODUCT FOR FIRES IN SOLID MATERIALS | 9 |
Justin Langseth | US | Great Falls | 2015-02-12 / 20150046815 - REAL-TIME DATA VISUALIZATION OF STREAMING DATA | 4 |
Justin Langseth | US | Reston | 2010-07-01 / 20100166165 - SYSTEM AND METHOD FOR REAL-TIME, PERSONALIZED, DYNAMIC, INTERACTIVE VOICE SERVICES FOR ENTERTAINMENT-RELATED INFORMATION | 1 |
Birger Langseth | NO | Porsgrunn | 2009-10-22 / 20090263657 - Process for production of precipitated silica from olivine | 1 |
Jason E. Langseth | US | Malden | 2012-03-22 / 20120070115 - ALL GLASS FIBER LASER CLADDING MODE STRIPPER | 1 |
Karina Martha Langseth | NO | Oslo | 2013-12-19 / 20130337493 - QUALITY CONTRL DEVICES AND METHODS FOR RADIOPHARMACEUTICALS | 1 |
Karina Langseth | NO | Oslo | 2015-07-09 / 20150190533 - X-RAY IMAGING CONTRAST MEDIA WITH LOW IODINE CONCENTRATION AND X-RAY IMAGING PROCESS | 1 |
Jason Edward Langseth | US | Malden | 2012-03-08 / 20120057220 - HIGH POWER FIBER LASER SYSTEM | 1 |
Ingrid Langsetmo Parobok | US | San Francisco | 2010-06-10 / 20100144737 - METHODS FOR INHIBITING T HELPER CELL DIFFERENTIATION | 1 |
Ingrid Langsetmo Parobok | US | Port Angeles | 2012-02-16 / 20120039882 - Vascular Disease Therapies | 9 |
Ingrid Langsetmo Parobok | US | Fremont | 2015-09-03 / 20150246886 - Enhanced Erythropoiesis and Iron Metabolism | 2 |
Ingrid Langsetmo Parobok | US | Port Angeles | 2012-02-16 / 20120039882 - Vascular Disease Therapies | 9 |
Peter Alan Langsford | GB | Essex | 2011-02-10 / 20110034141 - A PROCESS FOR MINIMISING JAMMER NOISE IN RECEIVER SYSTEMS | 1 |
Jaakko Langsjo | FI | Seinajoki | 2012-04-05 / 20120083647 - METHOD FOR CHANGING AN INDIVIDUAL'S STATE OF CONSCIOUSNESS | 1 |
Richard K. Langson | US | Carson City | 2014-01-16 / 20140013747 - Power Compounder | 3 |
Stephen Langstaff | GB | Wigton, Cumbria | 2015-12-10 / 20150352779 - IN-MOULD LABELLING | 1 |
Stephen Langstaff | GB | Wigton | 2014-12-25 / 20140377575 - IN-MOULD LABELLING PROCESS | 5 |
Tami M. Langstaff | US | Austin | 2014-04-24 / 20140113029 - EXTENDED SHELF LIFE SANDWICH AND METHOD OF MAKING SAID SANDWICH | 1 |
Alicia Langsteiner | PL | Ostrusza | 2013-09-19 / 20130240583 - SET OF CONTAINERS AND A METHOD OF CAR BODY REINFORCEMENT | 1 |
Jackie Langston | US | Roswell | 2011-09-08 / 20110218862 - MANUFACTURER-LINKED LANDING PAGE FOR ONLINE ADVERTISING | 1 |
Justin August Langston | US | Kutztown | 2015-10-01 / 20150274875 - PROCESS FOR PREPARING LOW SULFUR DISPERSANT POLYMERS | 4 |
Michael C Langston | US | Los Altos Hills | 2014-04-03 / 20140093654 - Irradiation Assisted Nucleation of Quantum Confinements by Atomic Layer Deposition | 2 |
Elizabeth Ward Langston | US | Brookfield | 2015-04-23 / 20150112701 - SIMULATED CLINICAL CASE-BASED SYSTEMS AND METHODS FOR ASSESSMENT OF HEALTH-CARE PROFESSIONAL'S COMPETENCE IN EVALUATING AND INTERPRETING IMAGES AND DATA | 1 |
Ronald E. Langston | US | Houston | 2012-03-29 / 20120078515 - Engineering design and construction of earthen fills | 1 |
Andrew Langston | US | Fulton | 2016-03-03 / 20160058182 - CYLINDER STORAGE RACK SYSTEM | 1 |
Michael C. Langston | US | Stanford | 2016-02-18 / 20160049291 - METHOD OF FORMING THIN FILM OF SEMICONDUCTOR DEVICE | 1 |
John B. Langston | US | Versailles | 2014-01-23 / 20140023507 - CEILING FAN SYSTEM WITH BRUSHLESS MOTOR | 2 |
Macy Langston | US | St. Charles | 2009-11-26 / 20090290961 - PRODUCT PACKAGING SYSTEM AND METHOD | 1 |
Todd Langston | US | Chandler | 2014-05-08 / 20140124617 - AIRCRAFT ELECTRIC TAXI SYSTEM WITH FRICTION ACTUATED, BI-DIRECTIONAL CLUTCH | 5 |
Jett Langston | US | Santa Rosa | 2015-04-02 / 20150094204 - MOISTURING AGENT WITH NUTRIENTS | 2 |
Steven S. Langston | US | Kokomo | 2010-07-29 / 20100189204 - HYBRID SWITCHED-PHASED DIVERSITY SYSTEM AND METHOD | 2 |
Jennifer D. Langston | US | Coppell | 2013-10-03 / 20130256465 - Rotorcraft with Variable Incident Wing | 2 |
James Langston | US | Sacramento | 2012-02-02 / 20120028334 - Polypeptides having arabinofuranosidase activity and polynucleotides encoding same | 3 |
Marianne Langston | US | North Andover | 2016-02-04 / 20160030432 - HYDROCHLORIDE SALT OF((1S,2S,4R)-4--2-HYDROXYCYCLOPENTYL) METHYL SULFAMATE | 8 |
Senate Langston | US | Chicago | 2011-03-24 / 20110071939 - Electronic loan preparation and loan selection system | 1 |
Alan Langston | US | Inverness | 2015-11-12 / 20150320323 - SENSOR, SENSOR PAD AND SENSOR ARRAY FOR DETECTING INFRASONIC ACOUSTIC SIGNALS | 2 |
Jody Langston | US | Kingston | 2011-05-05 / 20110101137 - APPARATUS, SYSTEM, AND METHOD FOR COMPOSTABLE WASTE PROCESSING | 1 |
William J. Langston | US | Los Altos Hills | 2011-05-12 / 20110111014 - METHODS AND COMPOSITIONS FOR TREATMENT OF NEUROLOGICAL DISORDERS | 1 |
Russ Langston | US | Huntsville | 2009-11-19 / 20090288158 - INTELLIGENT FIREWALL | 1 |
Todd A. Langston | US | Chandler | 2010-04-08 / 20100087346 - Solid film lubricated high oxidation temperature rhenium material | 1 |
Frank Langston | US | Memphis | 2010-10-28 / 20100274668 - DOMAIN SUB-LEASING AND PARAMETER-BASED CONTENT DELIVERY SYSTEM | 1 |
Keith N. Langston | US | Woodstock | 2010-11-11 / 20100287358 - Branch Prediction Path Instruction | 4 |
Steven P. Langston | US | North Andover | 2016-02-11 / 20160039761 - HETEROARYL COMPOUNDS USEFUL AS INHIBITORS OF E1 ACTIVATING ENZYMES | 17 |
Bryan S. Langston | US | Firestone | 2011-03-24 / 20110072118 - SYSTEM, METHOD, AND APPARATUS FOR CONFIGURING A STREAMED OPERATING SYSTEM WITH ASSOCIATED PERIPHERAL INFORMATION | 1 |
Timothy B. Langston | US | Mechanicsville | 2015-09-10 / 20150250227 - INHIBITION OF SENSORY IRRITATION DURING CONSUMPTION OF NON-SMOKEABLE TOBACCO PRODUCTS | 2 |
Michael C. Langston | US | Missouri City | 2015-12-10 / 20150357534 - Atomic Layer Deposition (ALD) of TiO2 using (Tetrakis(dimethylamino)titanium) TDMAT as an Encapsulation and/or Barrier Layer for ALD PbS | 1 |
J. William Langston | US | Sunnyvale | 2015-02-05 / 20150037257 - COMPOSITIONS AND METHODS FOR HIGH-THROUGHPUT SCREENING IN SKIN FIBROBLASTS WITH AN ALPHA-SYNUCLEIN TRIPLICATION | 1 |
Richard Dean Langston | US | Cary | 2010-05-06 / 20100114932 - Computer-Implemented Method and System for Handling and Transforming Database Queries in a Fourth Generation Language | 2 |
Glen D. Langston | US | Knoxville | 2014-01-30 / 20140031827 - SYSTEM AND METHOD FOR ANTERIOR APPROACH FOR INSTALLING TIBIAL STEM | 1 |
Lonnie Langston | US | Port Isabel | 2015-03-12 / 20150068642 - VALVE FOR INFLATION AND DEFLATION OF AIR BAGS AND METHOD OF USE THEREOF | 2 |
Steven P. Langston | US | N. Andover | 2014-03-27 / 20140088096 - PYRAZOLOPYRIMIDINYL INHIBITORS OF UBIQUITIN-ACTIVATING ENZYME | 2 |
Vernon B. Langston | US | The Woodlands | 2014-04-03 / 20140094366 - SYNERGISTIC WEED CONTROL FROM APPLICATIONS OF AMINOCYCLOPYRACHLOR AND FLUROXYPYR | 4 |
Andrew Langston | US | Wilmington | 2009-05-21 / 20090129529 - Shipping Container for Shipping Channeled Fuel Bundles | 1 |
Andrew K. Langston | US | Wilmington | 2014-11-13 / 20140334903 - TILT-ROLL TABLE FOR USE IN CLEANING UF6 CYLINDERS | 7 |
Jeffrey A. Langston | US | Palo Alto | 2014-12-11 / 20140365347 - USING COMMERCE NETWORKS TO FACILITATE BUSINESS INTERACTIONS AMONG ENTITIES | 1 |
M. H. Langston | US | New Windsor | 2015-10-29 / 20150309779 - SYSTEMS AND METHODS FOR POWER OPTIMIZATION OF PROCESSORS | 4 |
Marianne Langston | US | North Andover | 2016-02-04 / 20160030432 - HYDROCHLORIDE SALT OF((1S,2S,4R)-4--2-HYDROXYCYCLOPENTYL) METHYL SULFAMATE | 8 |
Vernon Langston | US | The Woodlands | 2012-07-19 / 20120184437 - SYNERGISTIC HERBICIDAL COMPOSITION CONTAINING PENOXSULAM, TRICLOPYR AND IMAZETHAPYR | 2 |
Phil Langston | US | Mounds | 2016-04-07 / 20160095523 - COAXIAL DUAL LUMEN PIGTAIL CATHETER | 2 |
J. William Langston | US | Los Altos Hills | 2015-02-12 / 20150044193 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF ALTERED a-SYNUCLEIN FUNCTION | 13 |
Ronald Langston | US | Toledo | 2015-06-04 / 20150156228 - SOCIAL NETWORKING INTERACTING SYSTEM | 1 |
Steven P. Langston | US | North Andover | 2016-02-11 / 20160039761 - HETEROARYL COMPOUNDS USEFUL AS INHIBITORS OF E1 ACTIVATING ENZYMES | 17 |
Julianna Elizabeth Langston | US | Durham | 2014-02-20 / 20140053055 - Accessible Data Visualizations for Visually Impaired Users | 1 |
Bengt Langstrom | SE | Uppsala | 2015-11-19 / 20150328344 - Fluorination Process | 37 |
Ronald L. Langsweirdt | US | Austin | 2009-04-16 / 20090096603 - TELECOMMUNICATION ENCLOSURE MONITORING SYSTEM | 1 |
James Alexander Langteaux | US | Laguna Beach | 2016-03-03 / 20160063602 - SYSTEMS AND METHODS OF PRODUCT PLACEMENT | 4 |
Melissa S. Langtim | US | Elgin | 2015-11-26 / 20150335992 - SYLLABIC ROULETTE GAME WITH SOLMIZATION, AND METHOD | 4 |
Kevin Eugene Langtimm | US | Solon | 2016-05-12 / 20160129657 - PROCESS FOR MAKING A ROLLED EDGE TRAY | 1 |
Frank Klaeboe Langtind | NO | Tiller | 2012-03-29 / 20120075321 - MONITORING GRAPHICS PROCESSING | 2 |
Frank Langtind | NO | Tiller | 2016-01-07 / 20160005195 - METHOD OF AND APPARATUS FOR PROCESSING GRAPHICS | 3 |
Frank Langtind | NO | Melhus | 2010-06-10 / 20100146202 - MICROPROCESSOR SYSTEMS | 1 |
Jeremy Daniel Langton | US | Liberty | 2015-12-17 / 20150360271 - CURVED LIGHT EMITTING DIODE FIXTURE | 1 |
Conrad Langton | GB | Chilton, Didcot, Oxfordshire | 2015-10-29 / 20150308911 - MECHANICAL RESONATOR SENSOR | 1 |
David Langton | US | 2015-09-03 / 20150245914 - PROSTHETIC HIP ALIGNMENT DEVICE | 1 | |
David Langton | GB | Gateshead | 2015-09-03 / 20150245914 - PROSTHETIC HIP ALIGNMENT DEVICE | 1 |
John Langton | IE | Co.kilkenny | 2011-09-01 / 20110212232 - Composition for Colouring Cheese Curd | 1 |
Christine A. Langton | US | Aiken | 2015-02-26 / 20150056714 - METHOD FOR CHARACTERIZATION OF THE REDOX CONDITION OF CEMENTITIOUS MATERIALS | 2 |
Alan Langton | US | Sherman | 2012-02-09 / 20120031117 - EVAPORATIVE COOLER MEDIA KIT AND METHOD OF USE | 1 |
David Nmi Langton | GB | York | 2013-05-09 / 20130116798 - BONE ADHESIVE AND A METHOD OF DELIVERY | 1 |
Sebastian Ralph Langton | AU | New South Wales | 2014-01-23 / 20140025462 - Promotion System And Method | 1 |
Steven Langton | US | Janesville | 2011-05-12 / 20110113030 - METHOD AND SYSTEM FOR THE USE OF GEOSPATIAL DATA IN THE DEVELOPMENT, PRODUCTION, AND SALE OF ARGICULTURAL SEED | 1 |
Philip Sydney Langton | US | Santa Cruz | 2011-08-25 / 20110208978 - METHODS, APPARATUSES, AND PRODUCTS FOR A SECURE CIRCUIT | 2 |
Jacob Asher Langton | US | Oakland | 2016-03-31 / 20160092684 - DYNAMICALLY OPTIMIZING PERFORMANCE OF A SECURITY APPLIANCE | 1 |
David Langton | US | Mosinee | 2010-01-21 / 20100015301 - Food interleaver, method for imparting flavor to food product, and combination food product and food interleaver | 1 |
Beatrice Claudia Langton | US | Walnut Creek | 2008-10-09 / 20080248507 - C-erbB-2 external domain: GP75 | 1 |
David J. Langton | US | Mosinee | 2013-05-02 / 20130108747 - TREATED PAPER PRODUCT, COMBINATION FOOD AND TREATED PAPER PRODUCT, AND METHODS FOR MANUFACTURING AND USING TREATED PAPER PRODUCT | 1 |
Bea Langton-Webster | US | Woodinville | 2014-08-28 / 20140241985 - Chelated PSMA Inhibitors | 1 |
Timothy C. Langtry | US | San Jose | 2015-02-26 / 20150055408 - VERIFY OR READ PULSE FOR PHASE CHANGE MEMORY AND SWITCH | 3 |
David Langtry | CA | Delta | 2016-05-19 / 20160138230 - Reflective Markings | 2 |
David Langtry | CA | New Westminster | 2012-03-15 / 20120061289 - Inclined Display Shelves and Accessories Therefor | 3 |
Michael Alan Langtry | CA | Chelsea | 2013-02-14 / 20130036574 - ADJUSTABLE LINK FOR USE WITH ELASTOMERIC STRAPS | 1 |
Robin B. Langtry | US | Burien | 2015-04-16 / 20150102162 - QUIET LANDING GEAR DOOR | 4 |
Kenneth George Langtry | CA | North Saanich | 2013-02-14 / 20130036574 - ADJUSTABLE LINK FOR USE WITH ELASTOMERIC STRAPS | 1 |
Robin Blair Langtry | US | Seattle | 2014-10-16 / 20140308124 - OPTIMIZATION OF DOWNSTREAM OPEN FAN PROPELLER POSITION AND PLACEMENT OF ACOUSTIC SENSORS | 1 |
Anthony V. Langtry | GB | Oxford | 2009-04-23 / 20090103217 - SYSTEM AND APPARATUS FOR LIMITING CURRENT IN A SUPERCONDUCTING COIL | 1 |
Alfred L. Langtry | US | Pittsburgh | 2008-10-16 / 20080252085 - Adjustable Strike | 1 |
Timothy Langtry | US | San Jose | 2011-05-26 / 20110122683 - Resetting Phase Change Memory Bits | 2 |
Brian D. Langue | US | Hillsboro | 2010-07-29 / 20100186862 - TIRE TRACTION DEVICE SECURING APPARATUS | 2 |
Ilya Languev | US | Santa Cruz | 2009-10-22 / 20090265706 - COMPUTING MACHINE MIGRATION | 1 |
Jeffrey D. Langus | US | New York | 2015-08-06 / 20150220713 - DYNAMIC ACCOUNT AUTHENTICATION USING A MOBILE DEVICE | 4 |
Yael Langut | IL | Jerusalem | 2011-03-17 / 20110065647 - NOVEL PEPTIDES ISOLATED FROM SPIDER VENOM, AND USES THEREOF | 1 |
Elizabeth Langvin | US | Sherwood | 2016-05-19 / 20160135546 - Method of Using an Inflatable Member to Customize an Article | 49 |
Elizabeth Langvin | US | Tigard | 2011-07-14 / 20110172797 - Method of Making An Article of Footwear | 5 |
Elizabeth Langvin | US | Sherwood | 2016-05-19 / 20160135546 - Method of Using an Inflatable Member to Customize an Article | 49 |
Otmar Langwadt | DE | Markt Schwaben | 2009-07-30 / 20090191005 - GROUND ANCHOR OR ROCK ANCHOR WITH AN ANCHOR TENSION MEMBER COMPRISED OF ONE OR MORE INDIVIDUAL ELEMENTS WITH CORROSION-PROTECTED ANCHOR HEAD DESIGN | 1 |
Silke Langwaldt | DE | Rosengarten | 2010-06-10 / 20100143618 - COMPOSITE COMPONENT AND A METHOD AND DEVICE FOR PRODUCING THE COMPOSITE COMPONENT | 1 |
Gabriele Lang-Wittkowski | DE | Mannheim | 2009-06-11 / 20090148575 - PACKAGING MATERIAL COMPRISING A COATING WITH MICROCAPSULES | 2 |
Thomas F. Langworthy | US | Henderson | 2014-08-07 / 20140215988 - REEL MOWER HAVING QUICK CHANGE GROOMING ATTACHMENTS | 3 |
David E. Langworthy | US | Kirkland | 2013-10-03 / 20130263099 - COMMON INTERMEDIATE REPRESENTATION FOR DATA SCRIPTING LANGUAGE | 10 |
David E. Langworthy | US | Medina | 2013-10-24 / 20130282789 - BINDING CRUD-TYPE PROTOCOLS IN DISTRIBUTED AGREEMENT PROTOCOLS | 2 |
Kevin R. Langworthy | US | Holly | 2013-09-19 / 20130240463 - Support System And Method For Trough-Shaped Solar Energy Concentrations | 3 |
Nelson C. Langworthy | US | Vestaburg | 2011-01-06 / 20110000988 - FEED ASSEMBLY FOR WOOD REDUCTION APPARATUS | 2 |
David Evans Langworthy | US | Kirkland | 2010-04-08 / 20100088685 - SYSTEM AND METHOD FOR MAPPING A DOMAIN MODELING LANGUAGE TO A RELATIONAL STORE | 1 |
Ronit Langzam-Sinai | IL | Kiryat Tivon | 2012-03-29 / 20120078293 - APPLICATORS FOR PATCHES AND ADHESIVES | 1 |
Gregory Treat Lanham | US | Longmont | 2016-03-10 / 20160069719 - SELF-ALIGNING BRACE BAR | 18 |
Timothy S. Lanham | US | Fort Collins | 2008-12-18 / 20080313092 - Computerized system and method permitting a buyer to interactively barter/negotiate and arrangement to make a purchase from at least one seller | 1 |
Randall J. Lanham | US | Rancho Santa Margarita | 2009-11-19 / 20090283517 - TUNNEL FOR CONDITIONING OF PRODUCTS, ESPECIALLY FOR STERILIZATION OF FOOD IN PREPACKAGED CONTAINERS | 1 |
Gregory Treat Lanham | US | Longmont | 2016-03-10 / 20160069719 - SELF-ALIGNING BRACE BAR | 18 |
Mike Lanham | US | Tucson | 2014-08-07 / 20140222091 - PROXIMAL INTERPHALANGEAL FUSION DEVICE | 6 |
Rachel Lanham | US | Seattle | 2015-04-30 / 20150120633 - WELLNESS INFORMATION ANALYSIS SYSTEM | 1 |
Greg Lanham | US | Layton | 2009-08-20 / 20090206586 - Inflator with frangible support | 1 |
Thomas R. Lanham | US | Boston | 2012-03-22 / 20120070249 - Chrome-Plated Fastener With Organic Coating | 2 |
Charles Lanham | US | Reno | 2008-11-27 / 20080294915 - ETHERNET INTERFACE | 1 |
Robert H. Lanham | US | Commerce Township | 2013-10-03 / 20130263182 - CUSTOMIZING ADDITIONAL CONTENT PROVIDED WITH VIDEO ADVERTISEMENTS | 1 |
Charles E. Lanham | US | Louisville | 2013-09-12 / 20130234599 - Lighting System Combining Natural and Artificial Light | 2 |
Thomas Lanham | US | Boston | 2013-08-22 / 20130212945 - Manhole Security Cover | 1 |
Jonathon Bruce Lanham | US | Brackenridge | 2010-12-09 / 20100307995 - Laundry Stand | 2 |
Drew Lanham | US | Menlo Park | 2015-12-17 / 20150363420 - MEDIA ASSET MANAGEMENT | 6 |
Nicholas Aaron Lanham | US | Arlington | 2015-05-21 / 20150137965 - Vehicular Sequential LED Ring Warning (VSLRW) System | 1 |
Chris Lanham | US | O'Fallon | 2009-09-24 / 20090236327 - LAYERED HEATER SYSTEM WITH HONEYCOMB CORE STRUCTURE | 1 |
James A. Lani | US | Dunedin | 2015-04-02 / 20150095015 - Method and System for Presenting Statistical Data in a Natural Language Format | 1 |
Sebastian Lani | CH | Courtaman | 2014-11-06 / 20140327896 - OPTICAL COMPONENT | 2 |
Sebastian Lani | CH | Courtman | 2014-02-27 / 20140055767 - MIRROR ARRAY | 1 |
Raymond Laniado | US | Brooklyn | 2015-02-05 / 20150033993 - PERIMETER TRACK LAZY SUSAN | 3 |
Daniel Laniado | US | Brooklyn | 2013-11-21 / 20130307651 - SYSTEM FOR PROVIDING A MAGNETIC FIELD | 1 |
Arye Laniado | MC | Monaco | 2014-03-27 / 20140083346 - WAKESURFING BOAT AND HULL FOR A WAKESURFING BOAT | 2 |
Joshua Laniado | US | 2013-06-13 / 20130145978 - WAKESURFING BOAT AND HULL FOR A WAKESURFING BOAT | 1 | |
Raymond Laniado | US | 2014-06-19 / 20140166446 - OBLONG LAZY SUSAN | 1 | |
Nick Laniado | IL | Tel Aviv | 2015-05-14 / 20150134673 - SYSTEM AND METHOD FOR CREATING SYNOPSIS FOR MULTIMEDIA CONTENT | 1 |
Arye Laniado | US | 2013-06-13 / 20130145978 - WAKESURFING BOAT AND HULL FOR A WAKESURFING BOAT | 1 | |
Amir Laniado | IL | Gan Haim | 2010-05-06 / 20100112180 - WASHING, STERILIZING, DISPENSING AND CLOSING DEVICE FOR BABY BOTTLE AND ITS COMPONENTS | 1 |
Joshua Laniado | MC | Monaco | 2014-03-27 / 20140083346 - WAKESURFING BOAT AND HULL FOR A WAKESURFING BOAT | 2 |
Daniel Laniado | US | New York | 2013-11-21 / 20130310627 - SYSTEM FOR PROVIDING MAGNETIC FIELD | 1 |
Shlomo Laniado | IL | Tel-Aviv | 2010-07-22 / 20100181354 - Weight-Measuring Device | 3 |
Gregory R. Laniar | US | Manchester | 2011-12-29 / 20110319813 - INFUSION PUMP APPARATUS, METHOD AND SYSTEM | 1 |
Daina Laniauskas | US | Horsham | 2014-10-02 / 20140295552 - SUSPENSION AND CLUSTERING OF HUMAN PLURIPOTENT STEM CELLS FOR DIFFERENTIATION INTO PANCREATIC ENDOCRINE CELLS | 2 |
Daina Laniauskas | US | Raritan | 2014-09-18 / 20140261706 - Media Suction Devices and Methods | 1 |
Sylvie Laniepce | FR | Caen | 2016-01-07 / 20160004863 - METHOD FOR DETECTING ATTACKS ON VIRTUAL MACHINES | 5 |
Brian Lanier | US | Bryn Mawr | 2015-03-12 / 20150074719 - AUTOMATIC CONTACT INFORMATION TRANSMISSION SYSTEM | 12 |
Gregory R. Lanier | US | Manchester | 2015-12-10 / 20150351939 - SYSTEM FOR CONTROL OF A PROSTHETIC DEVICE | 4 |
Terri Lanier | US | Las Vegas | 2014-05-22 / 20140137860 - Three Way Oxygen Therapy Connector for Administering Nebulized Medication | 1 |
Gregory Randall Lanier | US | Manchester | 2008-08-21 / 20080200824 - Devices, systems, and methods for aiding in the detection of a physiological abnormality | 1 |
Lewis L. Lanier | US | San Francisco | 2014-05-01 / 20140120082 - MODULATION OF NKG2D | 5 |
Michael David Lanier | US | Troy | 2014-08-28 / 20140238320 - Engine Accessory Mount For Improved Stretch Belt Installation | 1 |
Brian Lanier | US | San Jose | 2010-10-14 / 20100263004 - AUTOMATIC CONTACT INFORMATION TRANSMISSION SYSTEM | 1 |
Gregory R. Lanier | US | Merrimack | 2015-04-23 / 20150112264 - Devices, Methods and Systems for Wireless Control of Medical Devices | 1 |
Tyre Paul Lanier | US | Sunnyvale | 2010-06-03 / 20100134697 - SYSTEM AND METHOD PROVIDING FIXED RATE TRANSMISSION FOR DIGITAL VISUAL INTERFACE AND HIGH-DEFINITION MULTIMEDIA INTERFACE APPLICATIONS | 1 |
Leonard J. Lanier | US | Johns Creek | 2015-10-29 / 20150306626 - METHOD FOR DISPENSING AN ADHESIVE | 4 |
Jaron Z. Lanier | US | Berkeley | 2013-08-08 / 20130201094 - VIRTUAL IMAGE DEVICE | 1 |
William G. Lanier | US | Marietta | / - | 1 |
Phillip Lanier | US | Uvalde | 2015-12-10 / 20150354763 - Recharging Flashlight Holster | 1 |
Brian Lanier | US | Bryn Mawr | 2015-03-12 / 20150074719 - AUTOMATIC CONTACT INFORMATION TRANSMISSION SYSTEM | 12 |
Kerstin Lanier | US | Asheville | 2014-04-17 / 20140104561 - Method for preventing the loss of eyewear | 1 |
Romain Lanier | FR | Veury-Voroize | 2014-02-06 / 20140034646 - SEALING DEVICE FOR A CONTAINER | 2 |
John Robert Lanier | US | Wichita | 2016-01-28 / 20160027312 - Navigational Aids | 3 |
Marion Lanier | US | San Diego | 2015-08-13 / 20150224113 - 6-(5-HYDROXY-1H-PYRAZOL-1-YL)NICOTINAMIDE INHIBITORS OF PHD | 7 |
Lewis L. Lanier | US | Los Altos | 2014-05-22 / 20140141445 - Isolated Mammalian Monocyte Cell Genes; Related Reagents | 10 |
Thierry Lanier | FR | Vienne | 2009-01-29 / 20090025774 - THERMOELECTRIC MEANS AND FABRIC-TYPE STRUCTURE INCORPORATING SUCH A MEANS | 1 |
J. Todd Lanier | US | Bartlesville | 2016-03-17 / 20160075803 - Novel High Clarity Low Haze Compositions | 3 |
Romain Lanier | FR | Veurey-Voroize | 2015-07-16 / 20150196715 - Medical Injection Device | 2 |
Lewis L. Lanier | US | Los Altos | 2014-05-22 / 20140141445 - Isolated Mammalian Monocyte Cell Genes; Related Reagents | 10 |
Sean Lanier | US | Raleigh | 2013-01-03 / 20130006444 - Folding Forklift | 1 |
Jaron Zepel Lanier | US | Sausalito | 2016-03-17 / 20160080736 - OPTIMIZATION OF A MULTI-VIEW DISPLAY | 3 |
Jaron Zepel Lanier | US | Berkeley | 2013-12-26 / 20130346310 - Privacy Enhancing Personal Data Brokerage Service | 1 |
Richard Lanier | US | Inverness | 2015-10-01 / 20150278474 - MANAGING HEALTHCARE SERVICES | 3 |
Tracy T. Lanier | US | Garner | 2014-08-07 / 20140215994 - REEL MOWER GRASS CATCHER CARRIER | 4 |
Ernest Randall Lanier | US | Chapel Hill | 2015-07-23 / 20150203519 - NUCLEOSIDE PHOSPHONATE SALTS | 10 |
Jaron Lanier | US | Berkeley | 2015-10-01 / 20150277841 - MULTI MODE DISPLAY SYSTEM | 7 |
Jerry T. Lanier | US | Bartlesville | 2014-03-27 / 20140088271 - Methods for Controlling Dual Catalyst Olefin Polymerizations | 7 |
Troy Lanier | US | Austin | 2016-02-04 / 20160034966 - DRIVING BEHAVIORS, OPINIONS, AND PERSPECTIVES BASED ON CONSUMER DATA | 4 |
Ernest Randall Lanier | US | Chapel Hill | 2015-07-23 / 20150203519 - NUCLEOSIDE PHOSPHONATE SALTS | 10 |
Romain Lanier | FR | Grenoble | 2012-05-17 / 20120123348 - SUPPORTING SLEEVE FOR A CONTAINER WITH A FLANGE | 3 |
Jaron Lanier | US | Sausalito | 2016-04-14 / 20160101013 - USING A 3D DISPLAY TO TRAIN A WEAK EYE | 10 |
Tyre Paul Lanier | US | Kernersville | 2010-06-24 / 20100158184 - ADAPTABLE PHASE LOCK LOOP TRANSFER FUNCTION FOR DIGITAL VIDEO INTERFACE | 1 |
Justin Lee Lanier | US | Kennesaw | 2010-07-22 / 20100180366 - Plunger system and method | 1 |
Ernest R. Lanier | US | Chapel Hill | 2011-10-27 / 20110263536 - Methods of Treating Orthopox Virus Infections and Associated Diseases | 2 |
Jaron Lanier | US | Sausalito | 2016-04-14 / 20160101013 - USING A 3D DISPLAY TO TRAIN A WEAK EYE | 10 |
Elizabeth M. Lanier | US | Bartlesville | 2016-03-17 / 20160075803 - Novel High Clarity Low Haze Compositions | 5 |
Roland V. Lanier, Jr. | US | Belmont | 2010-02-18 / 20100038305 - Filter | 1 |
Gregory R. Lanier, Jr. | US | Merrimack | 2015-10-08 / 20150283031 - Method for Fluid Delivery | 17 |
Ronald Allen Lanier, Jr. | US | Florence | 2014-05-08 / 20140126844 - Bulk Bag Carrier with Pallet | 1 |
Robert C. Lanier, Jr. | US | Moseley | 2010-06-17 / 20100152008 - METHOD AND APPARATUS FOR MAKING CIGARETTE FILTERS WITH A CENTRALLY LOCATED FLAVORED ELEMENT | 2 |
Gregory R. Lanier, Jr. | US | Merrimack | 2015-10-08 / 20150283031 - Method for Fluid Delivery | 17 |
Gregory Randall Lanier, Jr. | US | Manchester | 2011-10-27 / 20110264238 - SYSTEM, METHOD AND APPARATUS FOR CONTROL OF A PROSTHETIC DEVICE | 2 |
Gregory R. Lanier, Jr. | US | Manchester | 2012-08-09 / 20120203177 - INFUSION PUMP ASSEMBLY | 7 |
Charlotte Rae Lanig | US | Albany | 2011-04-14 / 20110084047 - Methods For Fabrication Of Large Core Hollow Waveguides | 1 |
Volker Lanig | DE | Giebelstadt | 2011-04-21 / 20110089585 - Method for the Simultaneous Manufacture of Ophthalmic Lenses, in Particular Contact Lenses, with Different Parameters on the Same Production Line | 1 |
Charlotte R. Lanig | US | Corvallis | 2011-03-17 / 20110062111 - METHOD OF FABRICATING MICROSCALE OPTICAL STRUCTURES | 1 |
Michael T. Lanigan | US | 2008-10-09 / 20080244841 - Modular pedestrian bridge and system | 1 | |
William P. Lanigan | US | Orland Park | 2012-08-16 / 20120204490 - Door Management System For Field Service and Delivery Personnel | 4 |
John J. Lanigan | US | 2008-10-09 / 20080244841 - Modular pedestrian bridge and system | 1 | |
John J. Lanigan | US | Orland Park | 2008-10-02 / 20080243301 - Inline terminal system | 2 |
Peter J. Lanigan | GB | Croydon | 2013-06-06 / 20130144972 - NETWORK ESTABLISHMENT AND MANAGEMENT PROTOCOL | 2 |
Brigid Lanigan | GB | York | 2011-09-15 / 20110219679 - MICROWAVE TORREFACTION OF BIOMASS | 1 |
George B. Lanigan | US | Concord | 2015-02-26 / 20150054281 - METHOD FOR CONTROLLING A WIND TURBINE | 2 |
Richard Lanigan | US | Concord | 2016-02-25 / 20160051757 - INFUSION SET FOR A FLUID PUMP | 2 |
Brendan P. Lanigan | US | Dallas | 2013-04-11 / 20130087653 - AIRFOIL SHAPED TAIL BOOM | 2 |
Richard J. Lanigan | US | Concord | 2016-03-24 / 20160084434 - System, Method, and Apparatus for Clamping | 40 |
Edward J. Lanigan | US | St. Louis | 2014-05-15 / 20140136244 - SYSTEM FOR MANAGING A STABLE VALUE PROTECTED INVESTMENT PLAN | 3 |
Daniel Lanigan | US | Elgin | 2013-05-30 / 20130138391 - PLATEN WRAP DETECTION | 1 |
Michael Lanigan | GB | County Down | 2011-07-07 / 20110166517 - Heating Device | 1 |
John J. Lanigan, Jr. | US | Orland Park | 2014-03-20 / 20140079528 - Inline Terminal System | 1 |
John J. Lanigan, Jr. | US | New Lenox | 2014-07-31 / 20140212247 - Chassis Stacker | 5 |
John Lanigan, Jr. | US | New Lenox | 2009-10-01 / 20090245990 - Distribution system | 1 |
John J. Lanigan, Sr. | US | Orland Park | 2016-04-21 / 20160107804 - Self-Latching Interbox Connector for Automatic Securement of a Top Container to a Bottom Container | 12 |
Kevin M. Lanik | US | Rockville | 2013-01-03 / 20130005422 - System and Method for Conducting a Fantasy Sports Competition | 2 |
Irina Lanin | DE | Frankfurt Am Main | 2013-08-29 / 20130226095 - Reminder Device for a Pen-Shaped Medical Delivery Device | 8 |
Aharon Lanin | IL | Efrat | 2012-05-03 / 20120109634 - Bidirectional Text Checker | 1 |
Vladimir Lanin | IL | Efrat | 2014-01-02 / 20140006929 - TECHNIQUES FOR PROVIDING A USER INTERFACE HAVING BI-DIRECTIONAL WRITING TOOLS | 1 |
Ursula Lanin | DE | Frankfurt Am Main | 2012-05-17 / 20120123351 - Needle Assembly | 1 |
Vladimir Lanin | IL | Tel Aviv | 2015-06-25 / 20150177847 - TECHNIQUES FOR RESOLVING KEYBOARD AND INPUT METHOD AMBIGUITY ON COMPUTING DEVICES | 1 |
Irina Lanin | DE | Frankfurt Am Main | 2013-08-29 / 20130226095 - Reminder Device for a Pen-Shaped Medical Delivery Device | 8 |
Daniel Lanin | US | New York | 2012-08-09 / 20120200076 - CRYPTOGRAPHICALLY SECURED STOCK FOR USE WITH ONLINE POSTAGE SYSTEMS | 1 |
Raymond C. Laning | US | Chesterland | 2008-08-28 / 20080204454 - System and method for partitioning CAD models of parts into simpler sub-parts for analysis of physical characteristics of the parts | 1 |
Brenda Lanini | US | Davis | 2015-04-09 / 20150101072 - COMBINING FUSARIUM 2 RESISTANCE GENE (FON2) AND RED FLESH IN WATERMELON | 1 |
Kimberley Lanio | US | Dallas | 2011-04-07 / 20110079689 - SHEET MATERIAL HANGER | 1 |
Stefan Lanio | DE | Erding | 2016-02-04 / 20160035537 - CHARGED PARTICLE BEAM SPECIMEN INSPECTION SYSTEM AND METHOD FOR OPERATION THEREOF | 14 |
Stefan Lanio | DE | Erding | 2016-02-04 / 20160035537 - CHARGED PARTICLE BEAM SPECIMEN INSPECTION SYSTEM AND METHOD FOR OPERATION THEREOF | 14 |
Stefan Lanio | US | 2014-06-26 / 20140175277 - SECONDARY ELECTRON OPTICS AND DETECTION DEVICE | 1 | |
Yoram Lanir | IL | Haifa | 2013-11-14 / 20130302895 - CORAL-DERIVED COLLAGEN AND METHODS OF FARMING SAME | 2 |
Yoel Lanir | IL | Haifa | 2014-11-06 / 20140327725 - Real-time Advisor System with Projected Augmentable Annotations | 1 |
Tomer Lanis | CH | Bremgarten | 2008-08-28 / 20080208859 - Method and system for generating an organizational display of entity relationships | 2 |
Tea Lanisnik-Rizner | SI | Domzale | 2015-07-02 / 20150185238 - NOVEL METHOD FOR THE DIAGNOSIS OF ENDOMETRIOSIS | 1 |
Siegfried Lanitz | DE | Leipzig | 2010-05-13 / 20100120310 - COVERING FABRIC FOR AIRCRAFT IN GENERAL AIR TRAVEL | 1 |
Ujjwal Lanjewar | IN | Pune | 2010-09-16 / 20100235396 - Distributed File System Access | 2 |
Ujjwal Lanjewar | IN | Maharashtra | 2014-10-16 / 20140310286 - Automatic Categorization of Email in a Mail System | 2 |
Paula Lank | US | Renton | 2016-04-21 / 20160106992 - PULSE DETECTION APPARATUS, SOFTWARE, AND METHODS USING PATIENT PHYSIOLOGICAL SIGNALS | 13 |
Benoit Lank | DE | Edingen-Neckarhausen | 2016-03-17 / 20160075671 - TETRAHYDROFURAN DERIVATIVES AND USE THEREOF AS PLASTICIZERS | 1 |
Vincent C. Lank | NL | Kerkrade | 2014-11-06 / 20140330149 - Methods, Systems and Devices for Detecting Atrial Fibrillation | 1 |
Kimberly Lank | US | Severna Park | 2016-04-28 / 20160113365 - UTILITY BAG | 2 |
Paula Lank | US | Renton | 2016-04-21 / 20160106992 - PULSE DETECTION APPARATUS, SOFTWARE, AND METHODS USING PATIENT PHYSIOLOGICAL SIGNALS | 13 |
Jonas Lank | DE | Winnenden | 2015-12-24 / 20150368007 - TANK FILLING SYSTEM | 5 |
Jonas Lank | DE | Korb | 2013-04-18 / 20130091715 - HANDHELD WORK APPARATUS HAVING A GUARD | 2 |
Edward Lank | US | Castaic | 2015-12-03 / 20150343302 - Remotely-Controlled Vehicle Skill Structure | 1 |
Sivanand Lanka | IN | Hyderabad | 2014-07-31 / 20140215333 - Bookmarking segment of offline content and associating bookmarks with online content | 1 |
Pavan K. Lanka | US | Folsom | 2016-03-31 / 20160093012 - METHOD AND APPARATUS FOR A HIGHLY EFFICIENT GRAPHICS PROCESSING UNIT (GPU) EXECUTION MODEL | 1 |
Narasimham Lanka | IN | Musheerabad | 2013-10-17 / 20130275813 - METHOD AND SYSTEM FOR USE IN CONDITION MONITORING | 1 |
Venkata S. K. Kamal Lanka | US | Sammamish | 2013-10-10 / 20130265460 - JOINT VIDEO STABILIZATION AND ROLLING SHUTTER CORRECTION ON A GENERIC PLATFORM | 1 |
Madan Kanth Lanka | IN | Andhra Pradesh | 2012-09-20 / 20120236788 - METHOD AND SYSTEM FOR ACCESSING STORAGE DEVICES | 3 |
Raghuram Lanka | IN | Hyderabad | 2016-01-28 / 20160026679 - NAVIGATING PERFORMANCE DATA FROM DIFFERENT SUBSYSTEMS | 3 |
Madankanth Lanka | IN | Andhra Pradesh | 2012-02-09 / 20120036549 - METHOD AND APPARATUS FOR INTERACTING WITH A SET-TOP BOX BASED ON SENSOR EVENTS FROM A USER DEVICE | 4 |
Prasoona Lanka | IN | Chennai | 2013-09-05 / 20130231190 - INTEGRATION GATEWAY | 2 |
Sridhara Lanka | IN | Hyderabad | 2010-01-28 / 20100023797 - SEQUENCING TECHNIQUE TO ACCOUNT FOR A CLOCK ERROR IN A BACKUP SYSTEM | 1 |
Dharanidhar Lanka | IN | Hyderabad | 2009-07-02 / 20090172084 - Facilitating A User Of A Client System To Continue With Submission Of Additional Requests When An Application Framework Processes Prior Requests | 1 |
Priyadarsini Lanka | US | San Jose | 2008-11-20 / 20080283542 - Apparatus for smart medical care | 1 |
Umanath Lanka | IN | Bangalore | 2008-09-11 / 20080219225 - Method for Bearer Independent Call Control (Bicc) Optimization for Ip Bearer Support | 1 |
Pavan Lanka | US | Folsom | 2015-04-02 / 20150091924 - SHARING NON-PAGE ALIGNED MEMORY | 1 |
Madankanth Lanka | IN | Visakhapatnam | 2013-06-13 / 20130151665 - MEDIA CONTENT FLICKING SYSTEMS AND METHODS | 1 |
Kishore Lankalapalli | US | Springboro | 2012-09-13 / 20120229662 - REMOTE PENDANT WITH EXTENDED USER INTERFACE | 1 |
Mark Lankau | CA | Dollar-Des-Ormeaux | 2009-07-30 / 20090192311 - CRYSTALLINE AND AMORPHOUS 4-CYANO-N--N-PYRIDIN-2-YL-BENZAMIDE HYDROCHLORIDE | 1 |
Sabine Lankau | DE | Dusseldorf | 2009-10-01 / 20090248547 - Managing Consistent Interfaces for Retail Business Objects Across Heterogeneous Systems | 1 |
Hans-Joachim Lankau | DE | Weinboehla | 2012-11-29 / 20120302564 - (1,2,4)TRIAZOLO[4,3-A]QUINOXALINE DERIVATIVES AS INHIBITORS OF PHOSPHODIESTERASES | 1 |
Mark Lankau | CA | Dollard Des Ormeaux | 2009-06-18 / 20090156826 - METHODS FOR THE PREPARATION OF HYDROXY-SUBSTITUTED ARYL SULFAMIDE COMPOUNDS | 1 |
Hans-Joachim Lankau | DE | Weinbohla | 2015-03-12 / 20150072983 - USE OF DIHYDROIMIDAZOLONES FOR THE TREATMENT OF DOGS | 2 |
Steve Lankenau | US | Napoleon | 2012-01-26 / 20120017361 - Sanitary Toilet Seat | 1 |
Eva Lankenau | DE | Rondeshagen | 2010-11-18 / 20100292539 - Forward Scanning OCT Endoscope | 1 |
Andreas Lankenau | DE | Potsdam | 2013-01-03 / 20130005039 - THERMORESPONSIVE SUBSTRATE WITH MICROGELS, METHOD FOR ITS PREPARATION AND CULTURE METHOD FOR BIOLOGICAL CELLS | 1 |
Thomas Lankes | DE | Rosenheim | 2016-05-19 / 20160141750 - ANTENNA SYSTEM | 10 |
Simon Lankes | DE | Falkensee | 2014-05-08 / 20140125223 - ELECTRODE, AND HIGH-PRESSURE DISCHARGE LAMP COMPRISING SAID ELECTRODE | 7 |
Christian Lankes | DE | Feucht | 2013-10-10 / 20130264094 - ELECTRICAL CABLE WITH EASILY REMOVABLE CASING | 4 |
Martin Lankes | DE | Herzogenaurach | 2015-10-08 / 20150282544 - MULTILAYERED TEXTILE MATERIAL IN APPAREL | 1 |
Guenter Lankes | DE | Cham | 2016-01-07 / 20160004948 - Method for Producing a Paper Carrier Card with Removable Integrated Chip Module Card, and Paper Carrier Card Made of Paper with Removable Integrated Chip Module Card | 1 |
Holger Lankes | DE | Puchheim | 2009-10-08 / 20090252029 - Method, Detection Device and Server Device for Evaluation of an Incoming Communication to a Communication Device | 4 |
Wolfgang Lankes | DE | Teisendorf | 2015-07-16 / 20150200522 - CORONA IGNITION DEVICE WITH GAS-TIGHT HF PLUG CONNECTOR | 1 |
Elizabeth Lankester | AU | Claremont | 2015-05-14 / 20150134516 - SYSTEM AND METHOD FOR RAISING AND ADMINISTERING A FUND | 1 |
Joanna Lankester | US | San Carlos | 2015-10-01 / 20150278437 - Methods and Systems for a Digital PCR Experiment Designer | 1 |
Richard John Wcislicki Lankester | CA | Ottawa | 2015-09-17 / 20150261578 - DEPLOYMENT OF VIRTUAL MACHINES TO PHYSICAL HOST MACHINES BASED ON INFRASTRUCTURE UTILIZATION DECISIONS | 4 |
Richard Lankford | US | San Diego | 2016-01-28 / 20160028829 - STORING LOCAL SESSION DATA AT A USER EQUIPMENT AND SELECTIVELY TRANSMITTING GROUP SESSION DATA TO GROUP SESSION TARGETS BASED ON DYNAMIC PLAYBACK RELEVANCE INFORMATION | 2 |
James Lankford | US | Elk Grove Village | 2010-11-04 / 20100278606 - SYSTEM AND METHOD OF SYNCHRONIZED MACHINING | 1 |
Chris Lankford | US | Pittsburgh | 2015-10-29 / 20150309570 - EYE TRACKING SYSTEMS AND METHODS WITH EFFICIENT TEXT ENTRY INPUT FEATURES | 4 |
Peter Lankford | US | Chicago | 2010-11-25 / 20100299680 - Novel JMS API for Standardized Access to Financial Market Data System | 5 |
David Lankford | US | Vineland | 2012-11-08 / 20120284264 - Methods and Systems for Monitoring Crop Management and Transport | 1 |
Barre Lankford | US | Sykesville | 2016-04-21 / 20160111765 - METALLIZED PLASTIC HIGH RADIO FREQUENCY INTEGRATED WAVEGUIDE | 3 |
Gray Lankford | US | San Antonio | 2015-12-24 / 20150369459 - Busline LED Module | 3 |
Mark Lankford | US | Tyler | 2015-01-29 / 20150028604 - T-POST GATE KEEPER | 3 |
David Charles Lankford | US | New York | 2014-04-24 / 20140115634 - IDENTIFYING INSTANCES OF MEDIA PROGRAMMING AVAILABLE FROM DIFFERENT CONTENT SOURCES | 3 |
David Lankford | US | Vinceland | 2015-02-12 / 20150040473 - CROP-SPECIFIC AUTOMATED IRRIGATION AND NUTRIENT MANAGEMENT | 1 |
Miles Lankford | US | Charlottesville | 2015-02-19 / 20150050213 - COMPOSITIONS AND METHODS FOR IMAGING INFLAMMATION OF TRAUMATIC BRAIN INJURY | 1 |
David Lankford | US | Bamberg | 2015-03-05 / 20150061888 - Environmental Monitoring | 1 |
Richard W. Lankford | US | San Diego | 2013-11-21 / 20130310055 - LIMITING FAILURE RATE BY SERVING THROUGH MULTIPLE CHANNELS | 4 |
Sam Lankford | US | Bradenton | 2009-02-26 / 20090054571 - FINISHING PRODUCT BOOSTER ADDITIVE AND METHOD OF USING SAME | 2 |
Douglas Edward Lankford | US | Carmel | 2009-04-16 / 20090097643 - Security and transcoding system for transfer of content to portable devices | 1 |
Gerald Winton Lankford | US | Holly Springs | 2010-01-28 / 20100020697 - METHOD AND SYSTEM FOR MONITORING THE HEALTH OF WIRELESS TELECOMMUNICATION NETWORKS | 1 |
James Allen Lankford | US | Elk Grovc Village | 2010-10-14 / 20100257980 - MACHINE TOOL AND WORKPIECE INNER SURFACE MACHINING METHOD USING THE MACHINE TOOL | 1 |
Earl W. Lankheet | US | Grand Blanc | 2008-09-25 / 20080230385 - NOx SENSING SYSTEM HAVING NOx SENSOR AND METHOD FOR DETERMINING A NOx CONCENTRATION UTILIZING THE NOx SENSOR | 1 |
John Peter Lankhof | DE | Schmitten | 2016-02-04 / 20160030260 - ABSORBENT ARTICLE | 7 |
Martijn H., R. Lankhorst | NL | Eindhoven | 2008-11-13 / 20080277642 - Fabrication of Phase-Change Resistor Using a Backend Process | 1 |
Martijn H.r. Lankhorst | NL | Eindhoven | 2010-10-07 / 20100254115 - COLOR-TUNABLE ILLUMINATION SYSTEM, LAMP AND LUMINAIRE | 1 |
Martijn Henri Richard Lankhorst | NL | Eindhoven | 2015-07-16 / 20150198293 - OPTIMIZED PRINTED CIRCUIT BOARD | 12 |
Peter Philip Lankhorst | NL | Echt | 2014-04-10 / 20140099402 - PROCESS TO PRODUCE A YEAST-DERIVED PRODUCT COMPRISING REDUCING SUGAR | 1 |
Peter Philip Lankhorst | NL | Rotterdam | 2013-11-21 / 20130309721 - SOLUTIONS OF MANNOPROTEINS AND THEIR USE | 4 |
Adriaan Marinus Lankhorst | NL | Oirschot | 2013-05-16 / 20130118895 - APPARATUS AND METHOD FOR REACTIVE ION ETCHING | 1 |
Silke Lankiewicz | DE | Langenhagen | 2010-08-26 / 20100216148 - NOVEL TUMOR MARKER | 2 |
Claire M. Lankin | US | High Bridge | 2012-08-30 / 20120220614 - SUBSTITUTED BIARYL DERIVATIVES AND METHODS OF USE THEREOF | 5 |
Michael E. Lankin | US | High Bridge | 2015-09-03 / 20150245641 - SUBSTITUTED BUTANOL DERIVATIVES AND THEIR USE AS FRAGRANCE AND FLAVOR MATERIALS | 4 |
Thomas J. Lankin | US | Cincinnati | 2014-12-25 / 20140378343 - MODIFIED BACTERIAL PATHOGENS AND METHODS FOR EFFECTUATING RAPID RESPONSE TO CONTAMINATION BY KNOWN OR UNKNOWN BACTERIAL PATHOGENS | 1 |
Mike Lankin | GB | Horsham | 2015-03-05 / 20150064597 - Metal Supported Solid Oxide Fuel Cell | 2 |
David Lankin | US | Schaumburg | 2014-05-22 / 20140142031 - CYCLIC PEPTIDE FROM NONOMURAEA SP., PROCESS FOR THE PRODUCTION THEREOF, AND PHARMACEUTICAL COMPOSITION FOR THE PREVENTION OR TREATMENT OF MYCOBACTERIA RELATED DISEASE COMPRISING THE SAME | 2 |
Robert Gordon Lankin | CA | St. Agatha | 2013-05-02 / 20130110351 - Systems and Methods for Steering and Calibration of Vehicle Steering Controls for Riding Mowers and Other Vehicles | 2 |
Claire Lankin | US | High Bridge | 2014-08-07 / 20140219989 - FACTOR IXA INHIBITORS | 1 |
Michael E. Lankin | US | Rockleigh | 2010-07-22 / 20100184863 - SYNTHETIC SPILANTHOL AND USE THEREOF | 1 |
Robert Gordon Lankin | CA | Waterloo | 2016-02-11 / 20160044825 - ENCLOSURE FOR AN ELECTRONIC ASSEMBLY FOR A BATTERY POWERED LAWN MOWER | 3 |
Petteri Lankinen | FI | Espoo | 2009-12-03 / 20090299501 - METHOD FOR CONTROLLING AN ELECTRONIC FISHING DEVICE AND RELATED SOFTWARE SUBMERSIBLE DEVICE AND CARRIER MEDIUM | 2 |
Pentti Lankinen | FI | Varkaus | 2016-05-05 / 20160123574 - FLUIDIZED BED BOILER WITH A SUPPORT CONSTRUCTION FOR A PARTICLE SEPARATOR | 12 |
Pentti Lankinen | FI | Varkaus | 2016-05-05 / 20160123574 - FLUIDIZED BED BOILER WITH A SUPPORT CONSTRUCTION FOR A PARTICLE SEPARATOR | 12 |
Mikko Lankinen | FR | La Ciotat | 2016-05-05 / 20160125284 - METHOD FOR MAKING AN ANTI-CRACK ELECTRONIC DEVICE | 1 |
Mikko Lankinen | FR | Meudon | 2014-06-26 / 20140175176 - SECURITY DOCUMENT AND METHOD OF MANUFACTURING SECURITY DOCUMENT | 1 |
Tapio Lankinen | FI | Turku | 2009-01-08 / 20090011030 - BREAKTHROUGH PAIN MANAGEMENT | 1 |
Berthold Lankl | DE | Egamting | 2011-12-15 / 20110305453 - METHOD AND ARRANGEMENT FOR ADAPTIVE DISPERSION COMPENSATION | 1 |
Berthold Lankl | DE | Egmating | 2014-10-02 / 20140294387 - METHOD AND ARRANGEMENT FOR ADAPTIVE DISPERSION COMPENSATION | 8 |
Berthold Lankl | DE | Egmating | 2014-10-02 / 20140294387 - METHOD AND ARRANGEMENT FOR ADAPTIVE DISPERSION COMPENSATION | 8 |
Herman Lankreijer | DE | Hofsingelding | 2011-11-10 / 20110273744 - METHOD FOR SORTING PRINT JOBS IN A PRINTING SYSTEM, COMPUTER PROGRAM PRODUCT AND PRINTING SYSTEM FOR CARRYING OUT SAID METHOD | 5 |
Michael David Lankshear | NL | Amsterdam | 2013-09-26 / 20130247795 - REINFORCED SULPHUR CONCRETE | 9 |
Michael David Lankshear | NL | Amsterdam | 2013-09-26 / 20130247795 - REINFORCED SULPHUR CONCRETE | 9 |
Patrick C. Lankswert | US | Louisville | 2009-07-09 / 20090175561 - METHOD AND SYSTEM FOR RETRIEVING AND DISPLAYING IMAGES OF DEVICES CONNECTED TO A COMPUTING DEVICE | 1 |
Patrick C. Lankswert | US | Prospect | 2014-03-13 / 20140075037 - NETWORK STACK AND NETWORK ADDRESSING FOR MOBILE DEVICES | 4 |
Daniel P. Lankteee | US | Boonton Township | 2010-06-10 / 20100143872 - DRIVER SAFETY PROGRAM BASED ON BEHAVIORAL PROFILING | 1 |
Steven P. Lankton | US | Des Plaines | 2008-11-27 / 20080293984 - Process for Producing Cumene | 4 |
Steven P. Lankton | US | Wheeling | 2014-04-17 / 20140102134 - TUBULAR CONDENSERS HAVING TUBES WITH EXTERNAL ENHANCEMENTS | 10 |
Steven P. Lankton | US | Wheeling | 2014-04-17 / 20140102134 - TUBULAR CONDENSERS HAVING TUBES WITH EXTERNAL ENHANCEMENTS | 10 |
Shawn M. Lankton | US | Atlanta | 2010-04-15 / 20100091104 - SYSTEMS AND METHODS FOR THE MEASUREMENT OF SURFACES | 1 |
Marion Lanktree | CA | Ottawa | 2010-04-08 / 20100086904 - MODULAR ORNAMENTAL MAGNET ASSEMBLY | 3 |
Brian Alan Lanman | US | Oak Park | 2013-03-28 / 20130079303 - Inhibitors of PI3 Kinase and/or mTOR | 3 |
Brian Alan Lanman | US | Woodland Hills | 2014-07-03 / 20140187553 - Azole Compounds as PIM Inhibitors | 1 |
Douglas Lanman | US | Somerville | 2014-03-06 / 20140063077 - Tensor Displays | 5 |
Brian A. Lanman | US | Oak Park | 2010-10-28 / 20100273764 - INHIBITORS OF PI3 KINASE AND/OR MTOR | 1 |
Douglas Robert Lanman | US | Somerville | 2010-04-22 / 20100098323 - Method and Apparatus for Determining 3D Shapes of Objects | 1 |
James M. Lanman | US | Charleston | 2012-06-07 / 20120141230 - METHODS AND APPARATUS TO SCORE BOOK COVERS | 2 |
Todd Lanman | US | Rolling Hills | 2009-10-22 / 20090264933 - ANCHORS FOR SECURING A ROD TO A VERTEBRAL MEMBER | 1 |
Douglas Robert Lanman | US | Sunnyvale | 2015-06-25 / 20150177514 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR A PINLIGHT SEE-THROUGH NEAR-EYE DISPLAY | 4 |
Todd Lanman | US | Palos Verdes Estates | 2013-05-02 / 20130110169 - VERTEBRAL ROD SYSTEM AND METHODS OF USE | 1 |
Douglas Lanman | US | Sunnyvale | 2015-10-29 / 20150310798 - SUPERRESOLUTION DISPLAY USING CASCADED PANELS | 8 |
Brian Lanman | US | Oak Park | 2012-04-12 / 20120088749 - S1P Receptor Modulating Compounds and Use Thereof | 1 |
Richard Fritz Lanman | US | New York | 2015-07-23 / 20150208170 - PASSIVE AUDIO EAR FILTERS WITH MULTIPLE FILTER ELEMENTS | 2 |
Douglas Robert Lanman | US | Bellevue | 2014-11-20 / 20140340390 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT TO PRODUCE IMAGES FOR A NEAR-EYE LIGHT FIELD DISPLAY HAVING A DEFECT | 1 |
Douglas Lanman | US | Bellevue | 2015-12-17 / 20150362743 - Content-Adaptive Parallax Barriers for Automultiscopic Display | 1 |
Brian A. Lanman | US | Woodland Hills | 2015-11-19 / 20150329538 - AMIDES AS PIM INHIBITORS | 2 |
Douglas Lanman | US | Sunnyvale | 2015-10-29 / 20150310798 - SUPERRESOLUTION DISPLAY USING CASCADED PANELS | 8 |
Anna-Maria Lann | SE | Gustavsber | 2008-10-16 / 20080254754 - Mixer Circuit and RF Transmitter Using Such Mixer Circuit | 1 |
Pamela Lann | US | Willoughby | 2012-08-16 / 20120208731 - Lubricating Composition Containing an Ester | 1 |
Li Lanna | SE | Molndal | 2014-10-09 / 20140303175 - 2-Substituted-3-Phenylpropionic Acid Derivatives and Their Use in the Treatment of Inflammatory Bowel Disease | 3 |
James W. Lannan | US | Brookyn Center | 2013-09-12 / 20130237921 - PREFILLED SYRINGE WITH BREAKAWAY FORCE FEATURE | 1 |
Tim Lannan | US | Cape Canaveral | 2016-05-12 / 20160134748 - SYSTEMS, METHODS, AND DEVICES FOR TESTING COMMUNICATION LINES | 4 |
David John Lannan | AU | Adelaide | 2012-11-08 / 20120281002 - VISUAL PRESENTATION SYSTEM | 1 |
Gregory M. Lannan | US | Turbotville | 2014-09-18 / 20140274429 - Anti-Wrap Swing Hanger And Swing Assembly | 1 |
William G. Lannan | US | Santa Barbara | 2008-08-28 / 20080206709 - GINGIVAL SUPPORT SLEEVE | 1 |
James W. Lannan | US | Brooklyn Center | 2015-08-20 / 20150231333 - PREFILLED SYRINGE WITH BREAKAWAY FORCE FEATURE | 1 |
Fabien Patrick Lanne | FR | Valbonne | 2010-05-06 / 20100115554 - INTELLIGENT TV MOSAIC FOR IP TV | 1 |
Richard A. Lanne | US | Granville | 2014-11-20 / 20140339752 - PIPE AND TUBING ASSEMBLY WORKSTATION | 1 |
Raymond A. Lanne | US | Middle Grove | 2014-11-20 / 20140339752 - PIPE AND TUBING ASSEMBLY WORKSTATION | 1 |
Jean-Yves Lanne | FR | Paris | 2015-11-05 / 20150313885 - SOLID UNIT WITH HIGH FEXOFENADINE CONTENT AND PROCESS FOR THE PREPARATION THEREOF | 1 |
Fabien Lanne | FR | Valbonne | 2016-01-07 / 20160007061 - PROVIDING A REGIONAL CHANNEL IN A DIGITAL BROADCAST ENVIRONMENT | 6 |
David Arthur Lanner | US | Cincinnati | 2012-06-21 / 20120156354 - Ink Jetting Inks for Food Application | 1 |
Heinrich Lannert | DE | Schwetzingen | 2014-03-27 / 20140086908 - ANTI-ALPHA-V INTEGRIN ANTIBODY FOR THE TREATMENT OF PROSTATE CANCER | 1 |
Harald Lannert | DE | Fraenkisch-Crumbach | / - | 1 |
Frederick W. Lannert | US | Albrightsville | 2014-05-22 / 20140137401 - Kit for Improving the Efficiency of a HVAC System and for Securing a Heat Pump from Theft or Damage | 1 |
Robert Lannert | US | Broken Arrow | 2014-01-02 / 20140004923 - METHOD, APPARATUS, AND PROGRAM PRODUCT FOR PRESENTING RESULTS IN A BINGO-TYPE GAME | 4 |
Robert F. Lannert | US | Broken Arrow | 2014-08-07 / 20140221086 - DYNAMICALLY CONFIGURABLE GAMING SYSTEM | 4 |
Eric Jeffrey Lannert | US | Chicago | 2012-01-05 / 20120003613 - Goal Based System Utilizing a Table Based Architecture | 3 |
Eric M. Lannes | US | Caledonia | 2015-05-28 / 20150144075 - WATER HEATER HAVING A DOWN FIRED COMBUSTION ASSEMBLY | 2 |
Kenneth John Lannes | US | New Orleans | 2015-08-13 / 20150228285 - SYSTEM AND METHOD FOR LINEAR FREQUENCY TRANSLATION, FREQUENCY COMPRESSION AND USER SELECTABLE RESPONSE TIME | 2 |
Sarah Lannes | FR | Orsay | 2015-01-15 / 20150016745 - METHOD FOR DETERMINING NOISE LEVEL | 3 |
Petteri Lannes | FI | Lappeenranta | 2011-01-27 / 20110020532 - PAPERMAKING COATING STATION WITH PRESSURE-SENSITIVE FILM ROLL | 1 |
Petteri Lannes | FI | Jokela | 2011-04-07 / 20110081483 - Method of Spray Coating | 3 |
Lisa C. Lannes | US | Leesburg | 2014-12-11 / 20140363420 - METHOD AND COMPOSITION FOR TOPICAL PAIN RELIEF | 1 |
Mark A. Lannes | US | Leesburg | 2014-12-11 / 20140363420 - METHOD AND COMPOSITION FOR TOPICAL PAIN RELIEF | 1 |
Lars Lannfelt | SE | Stockholm | 2015-10-29 / 20150307601 - ANTIBODIES SPECIFIC FOR SOLUBLE AMYLOID BETA PEPTIDE PROTOFIBRILS AND USES THEREOF | 15 |
Soren Lanng | DK | Hellerup | 2010-07-08 / 20100174665 - AUTOMATIC TRADING SYSTEM WITH COMPUTER AIDED DECISION-METHODS OF WHEN TO BUY AND SELL ON STOCK EXCHANGES | 1 |
Soren Lanng | DK | Skagen | 2011-11-17 / 20110282824 - TECHNOLOGIES FOR MAPPING A SET OF CRITERIA | 1 |
Victor Lanni | US | Ray Township | 2011-02-03 / 20110027046 - SELF-PIERCING BLIND NUT INSERT | 1 |
Leonardo Lanni | IT | Rome | 2016-04-28 / 20160119784 - AUTHENTICATION OF PHONE CALLER IDENTITY | 13 |
Thomas Bruno Lanni | US | Macomb | 2009-08-27 / 20090215857 - Therapeutic Pyrrolidines | 1 |
Thomas W. Lanni | US | Laguna Niguel | 2015-10-01 / 20150280431 - POWER SUPPLY EQUIPMENT PROVIDING MULTIPLE IDENTIFICATION SIGNALS | 17 |
Leonardo Lanni | IT | Rome | 2016-04-28 / 20160119784 - AUTHENTICATION OF PHONE CALLER IDENTITY | 13 |
Thomas W. Lanni | US | Laguna Niguel | 2015-10-01 / 20150280431 - POWER SUPPLY EQUIPMENT PROVIDING MULTIPLE IDENTIFICATION SIGNALS | 17 |
George Lanni | US | Novi | 2010-01-07 / 20100001103 - Piston cooling jet with tracking ball orifice | 1 |
Helene Lannibois | FR | Charenton Le Pont | 2009-05-14 / 20090124699 - Silicone Material for Releasing an Active Molecule | 1 |
Deborah A. Lannigan-Macara | US | Charlottesville | 2012-09-27 / 20120245112 - SYNTHESIS AND IDENTIFICATION OF NOVEL RSK-SPECIFIC INHIBITORS | 2 |
Timothy B. Lannin | US | Ithaca | 2014-08-28 / 20140243832 - SAGITTAL SAW | 1 |
Scott Ray Lanning | US | Conway | 2014-10-30 / 20140320242 - TRIP UNIT WITH CAPTIVE TRIP BAR | 2 |
Adrian Lanning | US | Norwalk | 2015-07-09 / 20150195676 - SYSTEM AND METHOD THROUGH WHICH PEOPLE INVOLVED IN AN EMERGENCY SITUATION CAN COMMUNICATE AND SHARE REAL-TIME, ELECTRONIC, INFORMATION AND SITUATIONAL AWARENESS WITH EACH OTHER AND WITH PUBLIC SAFETY PERSONNEL DURING EMERGENCY SITUATIONS | 1 |
Craig Joseph Lanning | US | Denver | 2013-08-22 / 20130218178 - MEDICAL FABRIC WITH INTEGRATED SHAPE MEMORY POLYMER | 1 |
David Neil Lanning | US | Federal Way | 2012-03-22 / 20120070262 - OPTIMIZED TALL GRASS BIOMASS TRANSPORT SYSTEM | 1 |
David N. Lanning | US | Federal Way | 2016-03-24 / 20160082683 - Engineered Woody Biomass Baling System | 29 |
Brian Keith Lanning | US | Las Vegas | 2011-09-01 / 20110212774 - TERMINAL INCLUDING A BUTTON AND BUTTON HAVING PROJECTED IMAGES AND METHOD | 1 |
Elizabeth Lanning | US | Bay City | 2015-10-08 / 20150284873 - METHODS OF FORMING AND ANALYZING DOPED SILICON | 1 |
Mark E. Lanning | US | Mt. Pleasant | 2015-05-14 / 20150128347 - ADJUSTABLE PERSON SUPPORT SYSTEM WITH EXPANSION WINGS DRIVEN BY DUAL LEADSCREWS AND CENTER MOUNTED MOTORS | 2 |
Craig Lanning | US | N. Charleston | 2014-08-28 / 20140245374 - Device and Method for Detection of Anomalous Behavior in a Computer Network | 1 |
Karen Lanning | US | Batesville | 2012-12-13 / 20120311786 - EQUIPMENT HOLDER | 1 |
Curtis Lanning | US | Montgomery | 2014-02-13 / 20140041943 - DRILLING MOTOR VALVE AND METHOD OF USING SAME | 2 |
Rodney Kay Lanning | US | Pleasanton | 2011-07-14 / 20110170567 - LASER BANDWIDTH INTERLOCK CAPABLE OF SINGLE PULSE DETECTION AND REJECTION | 1 |
Mark Lanning | US | Mt. Pleasant | 2015-07-02 / 20150182400 - PATIENT SUPPORT SYSTEMS AND METHODS OF USE | 5 |
Stanley Miguel Lanning | US | La Honda | 2010-01-14 / 20100010877 - APPROACH FOR ESTIMATING USER RATINGS OF ITEMS | 1 |
Vincent L. Lanning | US | Pittsfield | 2013-10-03 / 20130260088 - HONEYCOMB PAPER | 2 |
Bruce Roy Lanning | US | Littleton | 2013-08-08 / 20130201546 - AUTONOMOUS ELECTROCHROMIC ASSEMBLY | 4 |
Scott Raymond Lanning | US | Conway | 2015-10-08 / 20150287564 - Remote Fuse Operation Indicator Assemblies and Related Systems and Methods | 2 |
Curtis Clifford Lanning | US | Montgomery | 2014-06-26 / 20140174827 - Drilling Systems and Fixed Cutter Bits with Adjustable Depth-of-Cut to Control Torque-on-Bit | 3 |
Christopher J. Lanning | US | Federal Way | 2016-03-24 / 20160082683 - Engineered Woody Biomass Baling System | 15 |
Justin Lanning | US | Franklin | 2010-05-06 / 20100114599 - SYSTEM FOR EVALUATION PATIENT CARE OUTCOMES | 1 |
Craig Lanning | US | Denver | 2013-06-27 / 20130165949 - SOFT TISSUE COAPTOR AND DEVICE FOR DEPLOYING SAME | 1 |
Terry Lanning | US | Ashton | 2009-10-15 / 20090255891 - Magnetic divider system | 1 |
Van Stephen Lanning | US | Bellevue | 2012-05-17 / 20120124363 - ANALYZING PERFORMANCE OF COMPUTING DEVICES IN USAGE SCENARIOS | 2 |
Bruce Lanning | US | Littleton | 2015-08-13 / 20150223971 - BRAIN COOLING SYSTEM | 4 |
Bruce R. Lanning | US | Littleton | 2013-06-20 / 20130157152 - METAL-AIR BATTERY WITH DUAL ELECTRODE ANODE | 1 |
Brian K. Lanning | US | Las Vegas | 2014-05-29 / 20140148241 - GAME RATING SYSTEM FOR GAMING DEVICES | 2 |
Nancy Lanning | US | Poulsbo | 2009-06-04 / 20090144778 - METHOD AND SYSTEM FOR SUPPLEMENTING TELEVISION PROGRAMMING WITH E-MAILED MAGAZINES | 1 |
Eric J. Lanning | US | San Jose | 2013-11-14 / 20130301696 - EQUALIZATION OF TRANSMISSIONS | 2 |
John M. Lanning | US | Reedsville | 2011-10-13 / 20110247992 - MOBILE LIFT CRANE WITH VARIABLE POSITION COUNTERWEIGHT | 2 |
W.w. Lanning | US | Montgomery | 2011-10-06 / 20110240898 - VALVE STEM ASSEMBLY FOR ROTARY VALVE AND METHOD | 1 |
Justin L. Lanning | US | Waxhaw | 2015-10-29 / 20150308768 - FIREARM PIN | 1 |
Christopher J. Lanning | US | Federal Way | 2016-03-24 / 20160082683 - Engineered Woody Biomass Baling System | 15 |
Van Lanning | US | Bellevue | 2011-06-09 / 20110138472 - USER-ADMINISTERED LICENSE STATE VERIFICATION | 1 |
David N. Lanning | US | Federal Way | 2016-03-24 / 20160082683 - Engineered Woody Biomass Baling System | 29 |
William W. Lanning | US | Montgomery | 2013-11-07 / 20130292595 - VALVE STEM ASSEMBLY FOR ROTARY VALVE AND METHOD | 1 |
Justin Daniel Lanning | US | Wauwatosa | 2016-02-04 / 20160030005 - SYSTEMS AND METHODS FOR STEERING MULTIPLE ULTRASOUND BEAMS | 1 |
Rodney K. Lanning | US | Pleasanton | 2015-12-24 / 20150372451 - COMPACT HIGH CURRENT, HIGH EFFICIENCY LASER DIODE DRIVER | 1 |
Brian Lanning | US | Las Vegas | 2012-02-16 / 20120040738 - GAMING MACHINE HAVING A DISPLAY AND SPEAKER SYSTEM WITH LIGHT PIPING MATERIAL | 1 |
Lorne Lanning | US | Berkeley | 2014-02-06 / 20140040783 - VIRTUAL SOCIAL SUPERVENUE FOR SHARING MULTIPLE VIDEO STREAMS | 14 |
Charles R. Lanning | CA | Ottawa | 2008-12-25 / 20080314808 - Portable, refillable water dispenser serving batches of water purified of organic and inorganic pollutants | 1 |
Hélène Lannisbois Drean | FR | Charenton Le Pont | 2010-03-18 / 20100069272 - ENHANCED CRUDE OIL RECOVERY | 1 |
Hélène Lannisbois Drean | FR | Charenton Le Pont | 2010-03-18 / 20100069272 - ENHANCED CRUDE OIL RECOVERY | 1 |
Hélène Lannisbois Drean | FR | Charenton Le Pont | 2010-03-18 / 20100069272 - ENHANCED CRUDE OIL RECOVERY | 1 |
Hélène Lannisbois Drean | FR | Charenton Le Pont | 2010-03-18 / 20100069272 - ENHANCED CRUDE OIL RECOVERY | 1 |
Dino Lanno | US | Blacklick | 2013-10-17 / 20130270151 - REUSABLE PALLET CONTAINER | 3 |
Marnix Lannoije | BE | Balen | 2015-11-12 / 20150321566 - Providing Vehicles with Electric Energy by Magnetic Induction | 2 |
Russ J. Lannom | US | Mt. Juliet | 2012-02-02 / 20120023882 - UNIVERSAL MOUNTING STRUCTURE FOR A LAWN STRIPER | 1 |
Terrence W. Lannom | US | Lebanon | 2012-07-12 / 20120175938 - Roadway Grinding/Cutting Apparatus and Monitoring System | 1 |
Robert W. Lannom | US | Katy | 2011-06-30 / 20110155390 - APPARATUS AND METHOD FOR PUMPING A FLUID AND AN ADDITIVE FROM A DOWNHOLE LOCATION INTO A FORMATION OR TO ANOTHER LOCATION | 1 |
Michael G. Lannon | US | Orleans | 2016-04-07 / 20160096069 - Cardio-Based Exercise Systems With Visual Feedback On Exercise Programs | 7 |
Matthew Lannon | US | Dracut | 2010-07-15 / 20100176922 - MOBILE RADIO FREQUENCY IDENTIFICATION (RFID) READER SYSTEM | 2 |
Andris Lannon | US | West Sacramento | 2009-01-29 / 20090025248 - Food Dehydrator | 1 |
Mary O. Lannon | US | Orleans | 2015-05-07 / 20150126332 - EXERCISING APPARATUS | 2 |
André Lannoo | BE | Linden | 2011-02-03 / 20110027587 - Stable Thermistor | 1 |
Griet Lannoo | BE | Desselgem | 2010-10-14 / 20100258380 - Spray Lubrication Unit And Method For Rolling Cylinders | 1 |
André Lannoo | BE | Linden | 2011-02-03 / 20110027587 - Stable Thermistor | 1 |
Jean-Michel Lannoy | FR | Anstaing | 2013-12-05 / 20130323122 - CITRATE ANTICOAGULATION SYSTEM FOR EXTRACORPOREAL BLOOD TREATMENTS | 8 |
Mario Garcia Lannoy | MX | Toluca | 2013-10-03 / 20130257129 - RECLINING VEHICLE SEAT WITH ACTUATOR AND MOTOR | 1 |
Michael Lannoy | BE | Hamois | 2009-08-13 / 20090203868 - PROCESS FOR THE PURIFICATION OF VINYL CHLORIDE POLYMERS (PVC) FROM HEAVY METALS | 1 |
Jacques Lannoy | FR | Bievres | 2011-07-14 / 20110172434 - DERIVATIVES OF HETEROCYCLES WITH 5 MEMBERS, THEIR PREPARATION AND THEIR USE AS MEDICAMENTS | 1 |
Jean-Michel Lannoy | FR | Anstaing | 2013-12-05 / 20130323122 - CITRATE ANTICOAGULATION SYSTEM FOR EXTRACORPOREAL BLOOD TREATMENTS | 8 |
Vincent Lannoy | BE | Liernu | 2008-11-20 / 20080286806 - Ligand for G-protein coupled receptor GPR43 and uses thereof | 1 |
Rebecka Lannsjö | SE | Stockholm | 2014-09-04 / 20140247232 - TWO STEP GAZE INTERACTION | 2 |
Rebecka Lannsjö | SE | Solna | 2016-04-21 / 20160109947 - SYSTEM FOR GAZE INTERACTION | 6 |
Brian Lannutti | US | San Diego | 2015-07-16 / 20150196564 - COMBINATION THERAPIES FOR HEMATOLOGIC MALIGNANCIES | 2 |
Anthony P. Lannutti | US | Norristown | 2012-06-07 / 20120141002 - Ultrasound Image Performance Determination | 1 |
John Lannutti | US | Grove City | 2016-02-11 / 20160041135 - CORE-SHELL NANOFIBER-BASED SENSORS | 2 |
Anthony E. Lannutti | US | Republic | 2009-01-01 / 20090005202 - BELT TENSIONER AND METHOD FOR MAKING A BELT-TENSIONER ARM AND A SPRING CASE | 1 |
Anthony E. Lannutti | US | Fayetteville | 2015-09-03 / 20150247557 - BELT TENSIONER WITH SUPPLEMENTAL FORCE ELEMENT | 12 |
Fabio Lannutti | IT | Chieti | 2014-10-30 / 20140322196 - LACTOFERRIN DERIVED PEPTIDES FOR USE AS BROAD-SPECTRUM INHIBITORS OF INFLUENZA VIRUS INFECTION | 1 |
John J. Lannutti | US | Grove City | 2010-10-28 / 20100273258 - Interactive Microenvironment System | 1 |
Anthony Eugene Lannutti | US | Fayetteville | 2016-04-14 / 20160102730 - TORSIONAL VIBRATION DAMPERS | 6 |
Anthony E. Lannutti | US | Fayetteville | 2015-09-03 / 20150247557 - BELT TENSIONER WITH SUPPLEMENTAL FORCE ELEMENT | 12 |
Brian Lannutti | US | Seattle | 2013-12-26 / 20130344138 - METHODS OF TREATMENT FOR SOLID TUMORS | 6 |
Brian J. Lannutti | US | Seattle | 2014-02-20 / 20140051696 - THERAPIES FOR TREATING CANCER | 1 |
Mathieu Lannuzel | FR | Saint Herblain | 2012-02-02 / 20120024455 - METHOD FOR MANUFACTURING A STIFFENED PANEL OF COMPOSITE MATERIAL | 2 |
Thierry Lannuzel | FR | Villeurbanne | 2016-03-10 / 20160071852 - FERROELECTRIC MEMORY DEVICE | 3 |
Mathieu Lannuzel | FR | Orvault | 2012-09-20 / 20120237736 - PROCESS FOR THE PRODUCTION OF A PROFILE MADE OF COMPOSITE MATERIAL FIBERS THAT HAS A HALF-NAIL-HEAD OR NAIL-HEAD CROSS-SECTION | 2 |
Jean-Yves Lanoe | FR | Laudun | 2010-08-05 / 20100196229 - SOLUTE PRECIPITATION METHOD AND DEVICE | 1 |
Pierre-Henri Lanoè | GB | Tyne And Wear | 2015-07-16 / 20150200369 - LIGHT EMITTING COMPOUNDS | 1 |
Daniel Brian Lanois | US | Atlanta | 2016-05-05 / 20160120533 - TWO SUTURE ANCHOR | 7 |
Alexandre Lanoix | FR | Fontenilles | 2012-10-04 / 20120253650 - Method And Device For Checking That An Aircraft Fulfils Successive Time Constraints | 2 |
Louise Lanoix | CA | Brossard | 2009-10-01 / 20090248458 - Method And System To Enable A User Of A Mobile Communication Device To Use A Flight Pass | 1 |
Joel Lanoix | CA | Montreal | 2015-11-19 / 20150330997 - TYPE 2 DIABETES BIOMARKERS AND USES THEREOF | 2 |
Réal Laurent Lanoix | CA | Laval | 2012-06-14 / 20120149275 - Toy Construction Base Plate | 1 |
Larry J. Lanore | US | Dryden | 2013-07-18 / 20130181470 - EXPANDABLE BARRIER | 3 |
Stéphane Lanotte | FR | Saint Maur Des Fosses | 2010-08-26 / 20100213653 - Device for the Secured Mounting of an Anti-Vibration Actuator on an Automobile | 1 |
Geoffrey Stuart Lanotte | US | Tampa | 2012-10-25 / 20120269331 - Media Relay Service | 1 |
Stéphane Lanotte | FR | Saint Maur Des Fosses | 2010-08-26 / 20100213653 - Device for the Secured Mounting of an Anti-Vibration Actuator on an Automobile | 1 |
Michel Lanotte | FR | Paris | 2009-01-29 / 20090029932 - Identification and use of miRNAs for differentiating myeloid leukemia cells | 1 |
Mark Allen Lanoue | US | Long Beach | 2013-02-14 / 20130038725 - HYPERSPECTRAL AND MULTIPLE IMAGING CONTROLLED ENCLOSURE FOR SAMPLE EXAMINATION | 5 |
Eric Lanoue | CA | Ottawa | 2014-11-27 / 20140347060 - System for Power Balance Monitoring in Batteries | 2 |
Pascal Lanoue | CA | Montreal | 2014-08-28 / 20140237836 - METHOD AND APPARATUS FOR OUTLINING RECESSED INSTALLATION OF A COMPONENT WITHIN A SURFACE MATERIAL | 1 |
Rich Lanoue | US | Harlem | 2015-03-05 / 20150066296 - TRAILER IDENTIFICATION SYSTEM FOR TRAILER BACKUP ASSIST | 1 |
Thomas J. Lanoue | US | Cary | 2010-12-16 / 20100315190 - VERSATILE DISTRIBUTION TRANSFORMER | 1 |
Vyacheslav Lanovets | RU | Moscow | 2015-04-23 / 20150109509 - Augmented Image Display Using a Camera and a Position and Orientation Sensor Unit | 1 |
Lieve Lanoye | BE | Gent | 2012-04-26 / 20120098464 - LIGHT EMITTING DIODE CIRCUIT FOR AMBIENT LIGHT | 1 |
John Stephen Lanphear | US | Lawrence | 2015-07-30 / 20150211234 - Adjustable Staircase and Height-Adjustable Platform with Adjustable Staircase | 2 |
Damon Lanphear | US | Vashon Island | 2013-04-18 / 20130097146 - PERSONALIZED RANKING OF CATEGORIZED SEARCH RESULTS | 1 |
John Lanphear | US | Northfield | 2012-10-25 / 20120268803 - ELECTROCHROMIC SYSTEMS AND CONTROLS COMPRISING UNIQUE IDENTIFIERS | 1 |
Susan Lanphear | US | Henniker | 2009-05-14 / 20090123871 - LITHOGRAPHIC IMAGING WITH PRINTING MEMBERS HAVING HYDROPHILIC, SURFACTANT-CONTAINING TOP LAYERS | 1 |
Damon Lanphear | US | Seattle | 2014-04-10 / 20140101093 - DISTRIBUTED, REAL-TIME ONLINE ANALYTICAL PROCESSING (OLAP) | 3 |
Janel Lanphere | US | Hyde Park | 2009-02-05 / 20090035352 - Drug Delivery Particle | 1 |
Janel Lanphere | US | Flagstaff | 2015-07-02 / 20150182658 - EMBOLIZATION | 5 |
Janel Lanphere | US | Newton | 2011-02-10 / 20110033553 - Embolization | 1 |
Janel L. Lanphere | US | Flagstaff | 2014-03-27 / 20140088669 - PARTICLES | 4 |
Janel L. Lanphere | US | Newton | 2009-01-29 / 20090030117 - POROUS POLYMERIC PARTICLE COMPRISING POLYVINYL ALCOHOL AND HAVING INTERIOR TO SURFACE POROSITY-GRADIENT | 1 |
Liu Lanping | CN | Jiangsu | 2009-02-26 / 20090054781 - Diagnostic imaging device having protective facade and method of cleaning and disinfecting same | 1 |
Mads Lanrok | US | San Jose | 2014-03-06 / 20140067673 - TRUSTED USER INTERFACE AND TOUCHSCREEN | 1 |
Margarita V. Lans | US | Highland Park | 2009-09-03 / 20090217497 - Universal spring loaded clamp | 2 |
Steven Christian Lans | NL | Delft | 2008-08-28 / 20080202939 - Process For the Electrolysis of Aluminiumsulfide | 1 |
Steven Christian Lans | NL | Hoek Van Holland | 2009-06-11 / 20090148363 - Production of Titanium Tetrachloride Using Fluidized Bed Reactor | 1 |
Maris J. Lans | US | Highland Park | 2013-03-28 / 20130074544 - Text messaging novelty jewelry | 4 |
Mars Lans | US | Highland Park | 2010-09-23 / 20100236676 - Graphics for personalization or customization of tire sidewalls | 1 |
Erik Lans | SE | Trosa | 2015-09-03 / 20150246308 - FILTER ASSEMBLY AND FILTER ASSEMBLY MANUFACTURING METHOD | 6 |
Bart Lans | NL | Amsterdam | 2013-08-29 / 20130219816 - HOTEL ROOM THAT INCLUDES BOTH LIVING SPACES AND FITNESS SPACES | 2 |
Maris Lans | US | Highland Park | 2011-09-22 / 20110226402 - Method for repurposing a tire | 1 |
Muriel Lansalot | FR | Villeurbanne | 2014-12-11 / 20140364558 - PROCESS FOR THE PREPARATION OF A VINYLIDENE CHLORIDE POLYMER | 1 |
Clément Lansalot-Matras | JP | Tsukuba | 2013-07-04 / 20130168614 - NICKEL ALLYL AMIDINATE PRECURSORS FOR DEPOSITION OF NICKEL-CONTAINING FILMS | 1 |
Clément Lansalot-Matras | US | Morrisville | 2016-05-19 / 20160137675 - TITANIUM-CONTAINING FILM FORMING COMPOSITIONS FOR VAPOR DEPOSITION OF TITANIUM-CONTAINING FILMS | 2 |
Clement Lansalot-Matras | DE | Bear | 2010-01-28 / 20100022790 - METHODS FOR SYNTHESIS OF HETEROLEPTIC CYCLOPENTADIENYL TRANSITION METAL PRECURSORS | 1 |
Clement Lansalot-Matras | JP | Tsukuba | 2013-07-04 / 20130168614 - NICKEL ALLYL AMIDINATE PRECURSORS FOR DEPOSITION OF NICKEL-CONTAINING FILMS | 12 |
Clement Lansalot-Matras | FR | Vezin-Le-Coquet | 2011-02-10 / 20110034653 - Catalyst Components Based on Fulvene Complexes | 1 |
Clément Lansalot-Matras | KR | Seoul | 2012-12-20 / 20120321817 - BIS-KETOIMINATE COPPER PRECURSORS FOR DEPOSITION OF COPPER-CONTAINING FILMS | 2 |
Clement Lansalot-Matras | JP | Tsukuba | 2013-07-04 / 20130168614 - NICKEL ALLYL AMIDINATE PRECURSORS FOR DEPOSITION OF NICKEL-CONTAINING FILMS | 12 |
Clement Lansalot-Matras | KR | Seoul | 2016-02-11 / 20160040289 - BIS(ALKYLIMIDO)-BIS(ALKYLAMIDO)MOLYBDENUM MOLECULES FOR DEPOSITION OF MOLYBDENUM-CONTAINING FILMS | 14 |
Clement Lansalot-Matras | KR | Seoul | 2016-02-11 / 20160040289 - BIS(ALKYLIMIDO)-BIS(ALKYLAMIDO)MOLYBDENUM MOLECULES FOR DEPOSITION OF MOLYBDENUM-CONTAINING FILMS | 14 |
Clément Lansalot-Matras | FR | Saint-Jammes | 2016-02-11 / 20160040289 - BIS(ALKYLIMIDO)-BIS(ALKYLAMIDO)MOLYBDENUM MOLECULES FOR DEPOSITION OF MOLYBDENUM-CONTAINING FILMS | 3 |
Clement Lansalot-Matras | US | Ibaraki | 2010-01-07 / 20100003532 - BETA-DIKETIMINATE PRECURSORS FOR METAL CONTAINING FILM DEPOSITION | 1 |
Clement Lansalot-Ma-Tras | FR | Vezin-Le-Coquet | / - | 1 |
Robbie Lansangan | US | Houston | 2011-01-27 / 20110016988 - MULTI-PHASE CORIOLIS FLOWMETER | 3 |
Marieke Lansbergen | NL | Utrecht | 2015-02-12 / 20150044138 - METHOD FOR IMPROVING FUNCTIONAL SYNAPTIC CONNECTIVITY | 1 |
Remco Adrianus Gerardus Lansbergen | NL | Heeze | 2015-12-24 / 20150373806 - LIGHTING DEVICE AND LIGHTING SYSTEM | 1 |
Robertus Cornelis Wilhelmus Lansbergen | NL | Amsterdam | 2008-09-04 / 20080212582 - Wireless Audio Transmission System and Method | 1 |
Adrianus Jozephus Henricus Lansbergen | NL | Zwolle | 2014-06-05 / 20140155514 - DRIER FOR ALKYD RESIN COMPOSITIONS | 1 |
Robertus Cornelis Wilhelmus Lansbergen | NL | Amersterdam | 2009-04-16 / 20090097445 - SYSTEM AND METHOD FOR INTERFERENCE IDENTIFICATION AND FREQUENCY ALLOCATION | 1 |
Adrianus Hendricus Lansbergen | NL | Aw Zwolle | 2012-05-24 / 20120128990 - AUTOXIDISABLE COATING COMPOSITION | 1 |
Adrianus Jozefus Hendricus Lansbergen | NL | Oosterbeek | 2009-12-10 / 20090306286 - COATING COMPOSITION COMPRISING A REACTIVE DILUENT OF POLYUNSATURATED ALCOHOL ESTER | 2 |
Robert Gabriël Maria Lansbergen | NL | Schiedam | 2016-03-17 / 20160077443 - Rapid Exchange Device for Lithography Reticles | 5 |
Robert Gabriël Maria Lansbergen | NL | Schiedam | 2013-04-25 / 20130100430 - LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 2 |
Adrianus Jozephus Hendricus Lansbergen | NL | Echt | 2015-04-23 / 20150111051 - RESIN, COMPOSITION AND USE | 1 |
Geoffrey B. Lansberry | US | Andover | 2012-07-19 / 20120185095 - Mobile Human Interface Robot | 4 |
David F. Lansburg | US | Chandler | 2011-01-06 / 20110002078 - Low-voltage-insensitive electro-pyrotechnic device | 1 |
Peter T. Lansbury, Jr. | US | Brookline | 2011-12-01 / 20110294794 - TREATMENT OF PROTEINOPATHIES USING A FARNESYL TRANSFERASE INHIBITOR | 8 |
Peter T. Lansbury, Jr. | US | Brookline | 2011-12-01 / 20110294794 - TREATMENT OF PROTEINOPATHIES USING A FARNESYL TRANSFERASE INHIBITOR | 8 |
Michael Lee Lansdale | US | La Selva Beach | 2013-10-03 / 20130255144 - Soil pressure sterilization method and apparatus | 1 |
Thomas William Lansdale | GB | Guildford | 2012-11-01 / 20120277001 - Manual and Camera-based Game Control | 3 |
Mark Ian Lansdell | GB | Sandwich | 2008-12-11 / 20080306123 - NOVEL COMPOUNDS | 1 |
Mark Ian Lansdell | GB | Kent | 2009-02-05 / 20090036459 - Melanocortin Type 4 Receptor Agonist Piperidinoylpyrrolidines | 2 |
Jennifer M. Lansden | US | Woodhaven | 2016-05-19 / 20160140494 - Method and System for Reconciling Transportation Records | 2 |
Bas Lansdorp | NL | Delft | 2008-09-04 / 20080210826 - KITE, METHOD OF GENERATING POWER USING SUCH A KITE, METHOD OF PROVIDING DRIVING FORCE TO A VEHICLE USING SUCH A KITE, VEHICLE PROVIDED WITH SUCH A KITE, AND POWER GENERATION ASSEMBLY COMPRISING SUCH A KITE | 1 |
Rachel A. Lansdown | US | San Francisco | 2009-12-10 / 20090306054 - INTEGRASE INHIBITORS | 1 |
Richard James Lansdowne | GB | Romsey | 2011-03-03 / 20110051754 - MEASUREMENT AND ADJUSTMENT OF REAL-TIME VALUES ACCORDING TO RESIDENCE TIME IN NETWORKING EQUIPMENT WITHOUT ACCESS TO REAL TIME | 1 |
David Charles Lansdowne | GB | Cornwall | 2009-12-24 / 20090318751 - Apparatus for Communicating with a Memory Tag and Use of the Same | 1 |
David Charles Lansdowne | GB | Falmouth | 2016-03-17 / 20160078258 - IDENTIFICATION OF BIOLOGICAL SAMPLES | 1 |
Cyril Vane Lansell | AU | Toorak | 2013-04-04 / 20130084207 - BRASS ALLOY | 1 |
Malcolm H. Lansell | GB | Wellingborough | 2010-11-11 / 20100287086 - Asset and liability modeling tool | 1 |
Peter Lansell | AU | Kew | 2015-12-10 / 20150352558 - SYSTEMS AND METHODS FOR PROCESSING SOLID MATERIALS USING SHOCKWAVES PRODUCED IN A SUPERSONIC GASEOUS VORTEX | 1 |
Malcolm H. Lansell | GB | Wellingborough Northants | 2016-04-21 / 20160110814 - ASSET AND LIABILITY MODELING TOOL | 1 |
Lance Vane Lansell | AU | Toorak | 2013-04-04 / 20130084207 - BRASS ALLOY | 1 |
Eric William Lanser | US | Minneapolis | 2014-07-24 / 20140207567 - Cross Channel Conversion Tracking System and Method | 1 |
Jan Lanser | CA | Lethbridge | 2010-06-17 / 20100148570 - Wheel Hub, System and Method for rut Reduction in Self Propelled Irrigation Systems | 1 |
Marc E. Lanser | US | Fayston | 2009-06-18 / 20090156491 - METHODS OF INHIBITING ANGIOGENESIS WITH FRAGMENTS AND HOMOLOGS OF TROPONIN SUBUNIT I | 1 |
Thomas A. Lanser | US | Hartland | 2009-01-01 / 20090005181 - COMPENSATOR ASSEMBLY FOR A MOTORCYCLE PRIMARY DRIVE | 1 |
Jerry L. Lanser | US | Longmont | 2014-09-18 / 20140265204 - Powered Ride-On Vehicle of an Airplane Design With Steer and Tilt Combination and Simulated Combat Capabilities. | 4 |
Michael L. Lanser | US | Holland | 2015-12-17 / 20150360605 - VEHICLE VISOR VANITY LIGHT AND ACTUATOR ASSEMBLY | 3 |
Tom Lanser | US | Hartland | 2013-10-17 / 20130269306 - NOISE-REDUCING MOWER BLADE | 1 |
Wendell Lansford | US | New York | 2014-01-30 / 20140033075 - Managing User Endorsements in Online Social Networking Systems | 6 |
Russell D. Lansford | US | Claremont | 2009-04-16 / 20090095919 - SYSTEM AND METHOD FOR MONITORING CELLULAR ACTIVITY | 1 |
Kathleen Stacia Lansford | US | Manchester | 2015-04-02 / 20150093550 - Nanostructures from Laser-Ablated Nanohole Templates | 2 |
James L. Lansford | US | Austin | 2011-12-01 / 20110292970 - Method and System for a Scalable Radio Architecture | 1 |
Rémi Lansiaux | FR | Toulouse | 2015-08-13 / 20150225062 - PART OF A FUSELAGE OF AN AIRCRAFT AND AIRCRAFT COMPRISING A KEEL BEAM AND AN AFT LOWER SHELL | 1 |
Clifford Lansil | CA | Mississauga | 2012-04-19 / 20120090285 - PARTICLE COLLECTION DEVICE | 1 |
Theo Lansing | DE | Leverkusen | 2012-08-23 / 20120211188 - DISULFO-TYPE FLUORESCENT WHITENING AGENTS | 1 |
Marcel Lansing | DE | Hamburg | 2015-12-31 / 20150375345 - Method for producing a roll-clad aluminum workpiece, roll-clad aluminum workpiece, and use therefor | 1 |
Johnathan Lansing | US | Westminister | 2016-03-03 / 20160061620 - SYSTEMS AND METHODS FOR ELECTRONIC DISPLAY OF VARIOUS CONDITIONS ALONG A NAVIGATION ROUTE | 1 |
Bliss Hanlon Lansing | US | Ellicott City | 2014-03-13 / 20140072960 - SELF DIAGNOSTIC TEST | 1 |
Jonathan Lansing | US | Reading | 2014-05-08 / 20140127735 - CHARACTERIZATION OF N-GLYCAN MIXTURES BY NUCLEAR MAGNETIC RESONANCE | 5 |
Shane Patrick Lansing | US | Mission Viejo | 2013-10-24 / 20130279523 - Highly Integrated Media Access Control | 3 |
Samuel Lansing | US | Fort Lauderdale | 2015-12-24 / 20150366265 - ELECTRONIC-CIGARETTE FILTER | 1 |
John Lansing | US | Houston | 2014-10-09 / 20140303909 - Ultrasonic Meter Flow Measurement Monitoring System | 5 |
Shane Lansing | US | Mission Viejo | 2011-06-16 / 20110141957 - Method for Dropping Lower Priority Packets that are Slated for Wireless Transmission | 1 |
Shane P. Lansing | US | Mission Viejo | 2014-03-06 / 20140064267 - Modem With Voice Processing Capability | 3 |
Max Lansing | US | San Francisco | 2012-06-14 / 20120150861 - HIGHLIGHTING KNOWN ANSWERS IN SEARCH RESULTS | 1 |
Jonathan C. Lansing | US | Reading | 2016-04-21 / 20160108450 - SIALYLATED GLYCOPROTEINS | 6 |
Leonard E. Lansing | CA | Mississauga | 2013-11-14 / 20130300196 - MULTI-PORT INVERTER/CONVERTER SYSTEM FOR DYNAMIC MICRO-GRID APPLICATIONS | 1 |
Jere Lansinger | US | Camano Island | 2012-05-24 / 20120125563 - WINDSHEILD WASHER FLUID HEATER | 1 |
Todd W. Lansinger | US | Trabuco Canyon | 2015-04-30 / 20150113795 - Manufacturing Process for a Custom Fit In-Ear Monitor Utilizing a Single Piece Driver Module | 1 |
Lawrence D. Lansing, Jr. | US | Granada Hills | 2016-01-28 / 20160028236 - SYSTEMS AND METHODS FOR GENERATING ENERGY USING A HYDROGEN CYCLE | 1 |
Lawrence D. Lansing, P.e. | US | Granada Hills | 2016-01-28 / 20160028236 - SYSTEMS AND METHODS FOR GENERATING ENERGY USING A HYDROGEN CYCLE | 1 |
Mirian Lansink | NL | Utrecht | 2013-08-29 / 20130219987 - Novel Glucose Tolerance Test and Composition for Use | 3 |
Mirian Lansink | NL | Houten | 2012-07-26 / 20120190643 - CARBOHYDRATE COMPOSITION FOR FLAT GLUCOSE RESPONSE | 3 |
Atte Lansisalmi | US | Atlanta | 2009-12-17 / 20090310581 - HRPD/3GPP EPC network connection apparatus, system, and method | 1 |
Nataliya Lanska | FI | Helsinki | 2014-10-09 / 20140299232 - Magnetic Shape Memory Alloys and Specimens Thereof | 1 |
Daryl J. Lansky | US | Memphis | 2014-06-12 / 20140158720 - Weighted Bob for a Dispensing Tube | 1 |
Ephraim Lansky | IL | Haifa | 2008-09-25 / 20080234361 - Pharmaceutical Compositions, Methods of Formulation Thereof and Methods of Use Thereof | 1 |
Steven G. Lansrud | US | Groveland | 2013-01-03 / 20130001995 - MOTION SEAT | 2 |
Randall J. Lansue | US | Belleville | 2014-12-18 / 20140367876 - VENTED MOLD AND METHOD FOR PRODUCING MOLDED ARTICLE | 4 |
Neil Joseph Lant | GB | Newcastle Upon Tyne | 2016-05-19 / 20160137956 - BENEFIT AGENT DELIVERY COMPOSITIONS | 42 |
Neil Joseph Lant | GB | Newcastle/tyne | 2012-05-17 / 20120122752 - DETERGENT COMPOSITIONS | 4 |
Neil Joseph Lant | GB | Necastle Upon Tyne | 2010-06-03 / 20100132131 - DETERGENT COMPOSITIONS | 1 |
Neil Joseph Lant | GB | Gosforth | 2010-11-25 / 20100298196 - ENZYME AND PHOTOBLEACH CONTAINING COMPOSITIONS | 1 |
Kimberly Lant | US | Magnolia | 2015-03-26 / 20150083417 - METHOD OF USING SURFACE MODIFYING METALLIC TREATMENT AGENTS TO TREAT SUBTERRANEAN FORMATIONS | 4 |
Keith Lant | US | Conroe | 2014-06-26 / 20140174192 - APPARATUS FOR MEASURING SHEAR BOND STRENGTH OF SET CEMENT AND METHOD OF USING SAME | 1 |
Neil Joseph Lant | GB | Newcastle Uopn Tyne | 2009-12-24 / 20090318325 - Laundry Composition | 1 |
Neil Joseph Lant | GB | Newcastle Upon | 2009-07-09 / 20090176682 - LAUNDRY DETERGENT COMPOSITION COMPRISING GLYCOSYL HYDROLASE | 2 |
Neil Joseph Lant | GB | Newcastle | 2010-10-14 / 20100261635 - Composition comprising a cellulase and a bleach catalyst | 12 |
Neil Joseph Lant | UK | Newcastle Upon Tyne | 2014-08-21 / 20140230155 - METHOD OF LAUNDERING A FABRIC | 1 |
Gary D. Lantaff | US | Westminster | 2009-10-01 / 20090248746 - MERGING DATA FROM SURVEY DEVICES | 2 |
Gary Dean Lantaff | US | Westminster | 2009-07-02 / 20090172589 - Configuring graphical displays | 1 |
Anne-Marie Lanteigne | CA | Laval | 2013-08-29 / 20130224266 - IMMUNOGENIC COMPOSITION | 1 |
James C. Lanter | US | Spring House | 2012-06-07 / 20120142733 - 4-SUBSTITUTED-CYCLOHEXYLAMINO-4-PIPERIDINYL-ACETAMIDE ANTAGONISTS OF CCR2 | 3 |
Andrew Lanter | US | Teaneck | 2012-09-06 / 20120222624 - CONTAINER SYSTEM | 1 |
Kent Lanter | US | Waterloo | 2016-03-03 / 20160058037 - GEL BASED LIVESTOCK FEED, METHOD OF MANUFACTURE AND USE | 3 |
Joshua Lanter | AU | Western Australia | 2010-04-29 / 20100102906 - MAGNETICALLY OPERATED SWITCH | 1 |
Kent J. Lanter | US | Waterloo | 2015-10-01 / 20150272168 - FOAMING ANIMAL ATTRACTANT AND METHOD OF USE | 10 |
Paul B. Lanter | US | Edwardsville | 2013-01-31 / 20130029377 - RECOMBINANT APOA-1M FROM ENGINEERED BACTERIA | 1 |
Kent J. Lanter | US | Waterloo | 2015-10-01 / 20150272168 - FOAMING ANIMAL ATTRACTANT AND METHOD OF USE | 10 |
Joshua Lanter | CH | Ruschein | 2011-02-24 / 20110043193 - MAGNETIC LINEAR SENSOR ARRANGEMENT | 1 |
Josua Lanter | AU | Spearwood | 2010-06-17 / 20100148762 - MAGNETIC POSITION SENSOR | 1 |
Joshua Lanter | CH | Chur | 2012-07-26 / 20120188070 - CIRCUIT ARRANGEMENT FOR A BELT LOCK | 6 |
David Phillip Lanter | US | Voorhees | 2012-05-31 / 20120135382 - INDIVIDUALIZED MASTERY-BASED DRIVER TRAINING | 1 |
Roger Wayne Lanter | US | Springfield | 2011-08-25 / 20110204553 - PART DRAINING HANGER | 1 |
Carolina Lanter | US | Audubon | 2009-10-15 / 20090258936 - CXCR2 INHIBITORS | 3 |
Carolina L. Lanter | US | Audubon | 2011-07-28 / 20110184177 - CXCR2 INHIBITORS | 1 |
James C. Lanter | US | 2011-07-07 / 20110166102 - NOVEL 2-SUBSTITUTED BENZIMIDAZOLES AS SELECTIVE ANDROGEN RECEPTOR MODULATORS (SARMS) | 1 | |
Josua Lanter | CH | Chur | 2015-03-26 / 20150082587 - SEAT BELT LOCK WITH HALL SENSOR | 5 |
Joshua Lanter | US | 2013-02-28 / 20130049947 - SYSTEM AND METHOD FOR RETRIEVING A STATUS OF A CHILD SEAT AND SUPPLYING ENERGY THERETO | 3 | |
James C. Lanter | US | Norristown | 2009-12-17 / 20090312412 - 3,4-DIAMINO-3-CYCLOBUTENE-1,2-DIONE DERIVATIVES AS POTASSIUM CHANNEL OPENERS | 1 |
James C. Lanter | US | Audubon | 2014-10-16 / 20140309261 - NOVEL 2-SUBSTITUTED BENZIMIDAZOLES AS SELECTIVE ANDROGEN RECEPTOR MODULATORS (SARMS) | 5 |
James C. Lanter | US | Raritan | 2011-04-14 / 20110086883 - NOVEL BENZIMIDAZOLE DERIVATIVES USEFUL AS SELECTIVE ANDROGEN RECEPTOR MODULATORS (SARMS) | 6 |
Heiko Lantermann | DE | Muenchen | 2011-02-24 / 20110042520 - Aircraft Tank System, Refueling Unit And Method For Refueling An Aircraft | 1 |
Sophie Lantermann | DE | Muenchen | 2016-04-21 / 20160106407 - HOLDING DEVICE FOR A SURGICAL INSTRUMENT | 5 |
Jurgen Lantermann | DE | Munchen | 2009-02-05 / 20090034699 - Method For Storing And Forwarding Multimedia Messages For Multimedia Calls | 1 |
Sophie Lantermann | DE | Munchen | 2015-06-04 / 20150150636 - MINIMALLY INVASIVE INSTRUMENT FOR ROBOTIC SURGERY | 2 |
Florent Lanterna | FR | Toulouse | 2016-01-28 / 20160026188 - METHOD AND DEVICE FOR AUTOMATICALLY ENGAGING AN AUTOMATED EMERGENCY DESCENT OF AN AIRCRAFT | 6 |
Ayal Lanternari | IL | Atlit | 2015-06-04 / 20150150761 - CONTAINER AND METHOD FOR HANDLING AND TREATING A CONSUMABLE LIQUID | 1 |
Adeline Lanterne | FR | Saint Front D'Alemps | 2014-12-04 / 20140357009 - Process For Manufacturing A Photovoltaic Cell | 1 |
Jean-Baptiste Lanternier | FR | Neuvecelle | 2015-02-12 / 20150040785 - DEVICE FOR OFFLINE INSPECTION AND COLOR MEASUREMENT OF PRINTED SHEETS FOR THE PRODUCTION OF BANKNOTES AND LIKE PRINTED SECURITIES | 2 |
Oreste J. Lantero | US | Trimble | 2014-06-26 / 20140178945 - PROCESS FOR CONVERSION OF GRANULAR STARCH TO ETHANOL | 3 |
Oreste J. Lantero | US | Belvidere | 2015-10-08 / 20150284756 - EXPRESSION OF GRANULAR STARCH HYDROLYZING ENZYMES IN TRICHODERMA AND PROCESS FOR PRODUCING GLUCOSE FROM GRANULAR STARCH SUSTRATES | 1 |
Oreste Lantero | US | Trimble | 2009-12-24 / 20090317872 - ACID FUNGAL PROTEASE IN FERMENTATION OF INSOLUBLE STARCH SUBSTRATES | 1 |
Oreste J. Lantero | US | Beloit | 2011-09-15 / 20110223639 - Process for Conversion of Granular Starch to Ethanol | 1 |
Oreste J. Lantero, Jr. | US | Trimble | 2012-06-21 / 20120156329 - Expression Of Granular Starch Hydrolyzing Enzymes In Trichoderma And Process For Producing Glucose From Granular Starch Substrates | 3 |
Oreste J. Lantero, Jr. | US | Belvidere | 2013-05-16 / 20130122569 - Expression of Granular Starch Hydrolyzing Enzymes in Trichoderma and Process for Producing Glucose from Granular Starch Sustrates | 2 |
Noël Lantheaume | FR | Vallerargues | 2012-12-06 / 20120305647 - DEVICE HAVING REDUCED OVERALL DIMENSIONS FOR IDENTIFYING A METAL SUBSTRATE IN A DUSTY AND METALLIC ENVIRONMENT, AND APPLICATION FOR IDENTIFYING CONTAINERS CONTAINING NUCLEAR FUEL ELEMENTS IN THE PRODUCTION PLANT THEREOF | 1 |
Noel Lantheaume | FR | Vallerargues | 2014-12-11 / 20140361199 - Use of a Mixture Comprising Erbium and Praseodymium as a Radiation Attenuating Composition, Radiation Attenuating Material, and Article Providing Protection Against Ionising Radiation and Comprising Such a Composition | 3 |
Noël Lantheaume | FR | Vallerargues | 2012-12-06 / 20120305647 - DEVICE HAVING REDUCED OVERALL DIMENSIONS FOR IDENTIFYING A METAL SUBSTRATE IN A DUSTY AND METALLIC ENVIRONMENT, AND APPLICATION FOR IDENTIFYING CONTAINERS CONTAINING NUCLEAR FUEL ELEMENTS IN THE PRODUCTION PLANT THEREOF | 1 |
Gerry Lanthier | US | Scott | 2014-07-24 / 20140202241 - Liner Top Test Tool and Method of Use | 1 |
Christopher M. Lanthier | CA | Burlington | 2015-12-17 / 20150361043 - PROCESS FOR PREPARING [(3-HYDROXYPYRIDINE-2-CARBONYL)AMINO]ALKANOIC ACIDS, ESTERS AND AMIDES | 3 |
Gregoire D. Lanthier | CA | Ottawa | 2013-05-23 / 20130132677 - OPTIMIZING DATA CACHE WHEN APPLYING USER-BASED SECURITY | 2 |
Gilles Lanthier | CA | Brossard | 2010-04-01 / 20100082164 - AUTO-CONFIGURING CONDITION MONITORING SYSTEM AND METHOD | 2 |
Gilles Lanthier | CA | Saint-Jerome | 2012-12-20 / 20120319692 - MOBILE SYSTEM FOR MEASURING ABNORMALITY DETECTION PARAMETERS OF A SWITCH IN A HIGH VOLTAGE ELECTRICAL SUBSTATION | 1 |
Julie Lanthier | CA | Laval | 2009-03-12 / 20090068669 - SLC9A3R1 DIRECTED DIAGNOSTICS FOR NEOPLASTIC DISEASE | 1 |
Gilles Lanthier | US | Brossard | 2010-06-17 / 20100147627 - MACHINE CONDITIONING MONITORING CLOSED LOOP LUBRICATION SYSTEM AND METHOD | 1 |
Richard W. Lantier | US | Seneca | 2009-07-16 / 20090180837 - DOCK SYSTEM | 1 |
Christine Ann Lantinen | US | Plymouth | 2012-03-29 / 20120074029 - BIODEGRADABLE NOVELTY PACKAGE | 1 |
Jelte Lanting | US | Hastings | 2011-09-08 / 20110217761 - METHOD FOR PROCESSING PEROXYGEN SOLUTIONS | 1 |
Trevor Michael Lanting | CA | Burnaby | 2016-05-12 / 20160132785 - SYSTEMS AND METHODS FOR OPERATING A QUANTUM PROCESSOR TO DETERMINE ENERGY EIGENVALUES OF A HAMILTONIAN | 1 |
Trevor Michael Lanting | CA | Vancouver | 2016-05-12 / 20160132785 - SYSTEMS AND METHODS FOR OPERATING A QUANTUM PROCESSOR TO DETERMINE ENERGY EIGENVALUES OF A HAMILTONIAN | 6 |
Jelte Lanting | US | Sewell | 2008-12-11 / 20080302721 - Anaerobic digestion apparatus, methods for anaerobic digestion and for minimizing the use of inhibitory polymers in digestion | 1 |
Henry Albert Lanting | CA | Dunnville | 2011-06-16 / 20110140402 - Folding Parking Stand | 1 |
Henry A. Lanting | CA | Dunnville | 2014-03-06 / 20140064835 - FOLDING PARKING STAND | 3 |
Frédérique Lantoine-Adam | FR | Toulouse | 2013-05-30 / 20130137768 - PANTHENYL DOCOSAHEXAENEOATE AND ITS USE FOR TREATING AND PREVENTING CARDIOVASCULAR DISEASES | 1 |
Frédérique Lantoine-Adam | FR | Toulouse | 2013-05-30 / 20130137768 - PANTHENYL DOCOSAHEXAENEOATE AND ITS USE FOR TREATING AND PREVENTING CARDIOVASCULAR DISEASES | 1 |
Frédérique Lantoine-Adam | FR | Toulouse | 2015-10-29 / 20150306056 - USE OF A DHA ESTER FOR PROPHYLACTIC AND/OR CURATIVE TREATMENT OF DREPANOCYTOSIS | 3 |
Mihaly Lantos | HU | Budapest | 2011-11-03 / 20110266768 - Alternating Drive, Primarily for Bicycles and Similar Driven Vehicles | 1 |
Mihály István Lantos | HU | Budapest | 2015-05-14 / 20150128453 - SURFACE STRUCTURE FOR SPORTS BOOTS WITH INCREASED BALL-CONTACT PROPERTIES AND METHOD FOR PREPARING THE STRUCTURE | 1 |
Carlos P. Lantos | AR | Buenos Aires | 2009-08-27 / 20090216008 - METHOD FOR THE PREPARATION OF 21-HYDROXY-6,19-OXIDOPROGESTERONE (21OH-6OP) | 1 |
Elemér Lantos | HU | Budapest | 2011-02-24 / 20110041985 - METHOD AND APPARTUS FOR MANUFACTURING FIBRE-REINFORCED HOSES | 1 |
Bence Lantos | HU | Budaors | 2014-05-22 / 20140140598 - SYSTEMS AND METHODS FOR 2D AND 3D IMAGE INTEGRATION AND SYNCHRONIZATION | 1 |
Mihaly Lantos | HU | Bupapest | 2013-08-08 / 20130200684 - WHEEL HUB ARRANGEMENT FOR A WHEEL DRIVE BY AN ALTERNATING SYMMETRIC DRIVE SYSTEM USING A FLEXIBLE PULLING ELEMENT, THAT CAN BE USED IN VEHICLES PARTICULARLY IN BICYCLES | 1 |
Elemér Lantos | HU | Budapest | 2011-02-24 / 20110041985 - METHOD AND APPARTUS FOR MANUFACTURING FIBRE-REINFORCED HOSES | 1 |
Richard Craig Lantow | US | Yorba Linda | 2010-04-22 / 20100095811 - QUICK-CHANGE SOCKET AND HEX KEY RETAINER ASSEMBLY FOR A FASTENER INSTALLATION TOOL | 1 |
Megan M. Lantry | US | Redmond | 2013-04-18 / 20130095102 - DIMERIC FUSION PROTEINS AND RELATED COMPOSITIONS AND METHODS | 1 |
Mark Lorin Lantry | US | Erie | 2013-04-25 / 20130101373 - EFFICIENT MOVES VIA SPARE CHAMBER | 3 |
Martin D. Lantry | US | New River | 2013-07-04 / 20130174132 - SYSTEMS AND METHODS FOR DATA BRICK CREATION AND USE | 1 |
Sean Lantry | US | Londonderry | 2009-12-10 / 20090305644 - SYSTEM AND METHOD FOR A WIRELESS CONTROLLER | 1 |
Laura Lantry | US | Lithia Springs | 2013-05-30 / 20130136691 - GASTRIN RELEASING PEPTIDE COMPOUNDS | 1 |
Sean J. Lantry | US | Londonderry | 2009-12-10 / 20090302996 - SYSTEM AND METHOD FOR A MANAGEMENT SERVER | 2 |
Alexey Dmitrievich Lantsov | RU | Moscow Region | 2016-03-10 / 20160066790 - LASER SPECKLE INTERFEROMETRIC SYSTEM AND METHOD FOR MOBILE DEVICES | 2 |
Alexey Dmitrievich Lantsov | RU | Moscow Oblast | 2014-02-13 / 20140043471 - OPTICAL MEASURING SYSTEM AND METHOD OF MEASURING CRITICAL SIZE | 1 |
Johan Lantto | SE | Lund | 2016-03-31 / 20160089435 - PAN-HER ANTIBODY COMPOSITION | 9 |
Erkki Lantto | FI | Helsinki | 2013-08-15 / 20130209218 - TURBOMACHINE | 3 |
Raija Lantto | FI | Klaukkala | 2009-08-13 / 20090203882 - NOVEL MICROBIAL ENZYMES AND THEIR USE | 2 |
Erkki Juhani Lantto | FI | Helsinki | 2014-08-21 / 20140232226 - HIGH CONICAL ANGLE TOUCH DOWN BEARING | 2 |
Lars Lantto | SE | Vittangi | 2010-06-10 / 20100142681 - ARRANGEMENT FOR GENERATION OF X-RAY RADIATION WITH A LARGE REAL FOCUS AND A VIRTUAL FOCUS ADJUSTED ACCORDING TO REQUIREMENTS | 1 |
Johan Lantto | SE | Lund | 2016-03-31 / 20160089435 - PAN-HER ANTIBODY COMPOSITION | 9 |
Muriel Lantus | FR | Berneck | 2012-02-23 / 20120043879 - OPTOELECTRONIC FILM ASSEMBLY | 1 |
Cyril Lantz | US | 2013-03-14 / 20130064233 - Transceiver Station for Forming a Telecommunications Network Node and Associated Telecommunications Method | 1 | |
Gary Lantz | US | Lake Forest | 2012-11-08 / 20120279896 - Insulated shipping container, and method of making | 3 |
Martin Lantz | SE | Malmo | 2015-04-02 / 20150092823 - MODULAR RADIO TRANSCEIVER | 1 |
Paul D. Lantz | US | Kingston | 2009-08-20 / 20090206150 - Locking ballot receptacle | 2 |
Mikkel William Lantz | US | Santa Clara | 2012-04-05 / 20120084114 - CAPTURING AND REVIEWING CHANGES IMPACTING ENTERPRISE RESOURCE PLANNING SYSTEMS | 1 |
Rebecca A. Lantz | CA | Ottawa | 2014-09-18 / 20140280821 - Method And Apparatus For Providing Tenant Redundancy | 1 |
Andrew Lantz | US | Redwood City | 2016-04-14 / 20160100858 - METHOD AND APPARATUS FOR ACCESSING THE INTERIOR OF A HIP JOINT, INCLUDING THE PROVISION AND USE OF A NOVEL TELESCOPING ACCESS CANNULA AND A NOVEL TELESCOPING OBTURATOR | 3 |
Mark A. Lantz | CH | Rueschlikon | 2015-12-10 / 20150356993 - MAGNETIC TAPE MEDIUM WITH MAGNETICALLY ENCODED SERVO BAND BURSTS | 20 |
Robert Lantz | US | Hilliard | 2014-11-27 / 20140349331 - USE OF BACILLUS COMPOSITION FOR INCREASING THE AMOUNT OF AVAILABLE SUGARS IN ANIMAL FEED | 1 |
Darrell Lantz | US | Collinsville | 2010-03-18 / 20100065267 - Apparatus for Separating a Mixture of Liquids of Differing Specific Gravities in a Wellbore | 1 |
Dennis R. Lantz | US | Midland | 2014-08-07 / 20140221512 - CONTINUOUS PROCESS FOR EXTRUDING NANOPOROUS FOAM | 1 |
Joel Lantz | US | Bedford Heights | 2011-10-13 / 20110249252 - FIBER OPTIC SECURITY MAT SYSTEM | 2 |
Harrison Lantz | US | Powder Springs | 2010-04-15 / 20100094763 - System and Method for Selecting and Protecting Intellectual Property Assets | 3 |
Philip Lantz | US | Cornelius | 2012-02-02 / 20120030677 - Method and apparatus for supporting universal serial bus devices in a virtualized environment | 1 |
Mark A. Lantz | CH | Adliswill | 2015-09-03 / 20150248915 - TAPE HEAD SYSTEM | 1 |
Johannes Lantz | SE | Malmo | 2015-12-03 / 20150342504 - AUDIOLOGIC TEST APPARATUS WITH DUAL PROBE SYSTEM | 1 |
Loren J. Lantz | US | Tucker | 2012-02-09 / 20120035555 - TRANSDERMAL PORATOR AND PATCH SYSTEM AND METHOD FOR USING SAME | 1 |
Daniel L. Lantz | US | Brookline | 2011-01-27 / 20110018525 - AUTONOMOUS SYRINGE AND GRID SYSTEMS | 1 |
Mark A. Lantz | CH | Thalwil | 2016-04-21 / 20160111120 - TAPE HEADS WITH SUB-AMBIENT PRESSURE CAVITIES | 7 |
Mark A. Lantz | CH | Adliswil | 2015-12-31 / 20150380015 - HIGH DENSITY TIMING BASED SERVO FORMAT | 19 |
Richard Lantz | US | Clinton | 2014-02-20 / 20140048362 - Disc Brake Pad Mounting and Retention System and Method | 1 |
Olivier Jacques Lantz | FR | Paris | 2011-09-15 / 20110223136 - NUTRITIONAL SUPPORT TO PREVENT AND/OR MITIGATE BONE MARROW TOXICITY FROM A CANCEROUS TUMOR | 1 |
Mark A. Lantz | CH | Zurich | 2014-01-30 / 20140029134 - TRANSPORT SYSTEM FOR TRANSPORTING MAGNETIC TAPE | 5 |
Suzanne Lantz | US | San Carlos | 2015-10-08 / 20150284756 - EXPRESSION OF GRANULAR STARCH HYDROLYZING ENZYMES IN TRICHODERMA AND PROCESS FOR PRODUCING GLUCOSE FROM GRANULAR STARCH SUSTRATES | 5 |
Daniel Lantz | US | Orlando | 2010-11-04 / 20100280448 - ELECTRIC BREAST PUMP | 1 |
Mark Lantz | CH | Adliswil | 2009-08-27 / 20090211087 - METHOD AND SYSTEM FOR IMPROVING ALIGNMENT PRECISION OF PARTS IN MEMS | 2 |
Mark Alfred Lantz | CH | Zurich | 2009-12-24 / 20090316296 - SERVO CONTROL IN TAPE DRIVES | 1 |
Mark A. Lantz | CH | Rueschlikon | 2015-12-10 / 20150356993 - MAGNETIC TAPE MEDIUM WITH MAGNETICALLY ENCODED SERVO BAND BURSTS | 20 |
Mark Alfred Lantz | CH | Adliswill | 2008-12-25 / 20080316904 - METHOD AND APPARATUS FOR REDUCING TIP-WEAR OF A PROBE | 1 |
Mark Alfred Lantz | CH | Switzerland | 2011-05-12 / 20110113517 - WEAR-LESS OPERATION OF A MATERIAL SURFACE WITH A SCANNING PROBE MICROSCOPE | 1 |
Mark Lantz | CH | Zurich | 2009-01-01 / 20090003189 - PROBE FOR SCANNING OVER A SUBSTRATE AND A DATA STORAGE DEVICE | 1 |
Anette Lantz | SE | Landskrona | 2009-01-01 / 20090000250 - System and Method for Producing a Packaging Laminate and Packages Made from the Packaging Laminate | 1 |
Richard L. Lantz | US | Clinton | 2016-02-11 / 20160040735 - Disc Brake Pad Mounting and Retention System and Method | 5 |
Mark Alfred Lantz | CH | Adliswil | 2015-08-27 / 20150243314 - TAPE HEAD WITH THERMAL TAPE-HEAD DISTANCE SENSOR | 6 |
Douglas Martin Lantz | US | Middlebury | 2009-09-24 / 20090236824 - Travel trailer having improved turning radius | 1 |
Mark Lantz | CH | Rueschlikon | 2013-08-15 / 20130205588 - Method and System for Improving Alignment Precision of Parts in MEMS | 1 |
Philip R. Lantz | US | Cornelius | 2013-01-31 / 20130031333 - METHOD AND APPARATUS FOR TLB SHOOT-DOWN IN A HETEROGENEOUS COMPUTING SYSTEM SUPPORTING SHARED VIRTUAL MEMORY | 2 |
Daniel J. Lantz | US | Orlando | 2014-07-31 / 20140208990 - PLASTIC PALLET WITH SINGLE LAYER TOP DECK HAVING INSERTS THEREIN AND RELATED METHODS | 4 |
Kevin A. Lantz | US | Washington | 2009-04-30 / 20090108778 - Mobile machine with one or more electric propulsion motors | 1 |
Harrison P. Lantz | US | Powder Springs | 2012-12-20 / 20120323801 - System and Method for Managing Sponsorships | 2 |
Jeffrey W. Lantz | US | Erie | 2012-09-27 / 20120240689 - Rotational Measurement System for Fluid Meters | 1 |
Anna Eliasson Lantz | SE | Helsingborg | 2016-04-28 / 20160115207 - GENETICALLY MODIFIED FILAMENTOUS FUNGI AND USES THEREOF | 1 |
Vuokko Tuulikki Lantz | FI | Vantaa | 2013-09-05 / 20130231127 - METHOD AND APPARATUS FOR RECEIVING USER ESTIMATION OF NAVIGATIONAL INSTRUCTIONS | 2 |
Ingo Lantz | DE | Hamburg | 2013-06-20 / 20130156928 - HEALTHY COFFEE AND METHODS OF ITS PRODUCTION | 2 |
Vuokko Lantz | FI | Vantaa | 2015-11-26 / 20150338651 - MULTIMODAL INTERATION WITH NEAR-TO-EYE DISPLAY | 3 |
Patrik Lantz | SE | Malmo | 2015-12-10 / 20150358334 - Method for authenticating a client program by a remote data processing system | 2 |
Blandine Lantz | FR | Saint Nizier 'Du Moucherotte | 2010-10-28 / 20100272239 - X-RAY BEAM DEVICE | 1 |
Suzanne E. Lantz | US | Palo Alto | 2009-04-23 / 20090104681 - Polypeptides Having Alpha-Amylase and Granular Starch Hydrolyzing Activity | 2 |
Suzanne E. Lantz | US | San Carlos | 2016-03-03 / 20160060665 - HEMICELLULASE ENRICHED COMPOSITIONS FOR ENHANCING HYDROLYSIS OF BIOMASS | 15 |
Eje Lantz | SE | Eskilstuna | 2011-01-13 / 20110005375 - WEAPON SYSTEM | 3 |
Douglas M. Lantz | US | Middlebury | 2013-12-26 / 20130341898 - TRAVEL TRAILER HAVING IMPROVED TURNING RADIUS | 3 |
Mark A. Lantz | CH | Rueschilkon | 2013-04-25 / 20130100554 - TAPE DRIVE HEAD WITH JOINTLY OPTIMIZED SHIELD-TO-SHEILD GAP AND SENSOR WIDTH | 1 |
Andrew Lantz | US | San Francisco | 2014-04-17 / 20140107800 - METHOD AND APPARATUS FOR ACCESSING THE INTERIOR OF A HIP JOINT, INCLUDING THE PROVISION AND USE OF A NOVEL TELESCOPING ACCESS CANNULA AND A NOVEL TELESCOPING OBTURATOR | 8 |
Dan Lantz | US | Orlando | 2014-05-15 / 20140132406 - PALLET TRUCK WITH LIFT INDICATOR ASSEMBLY AND ASSOCIATED METHODS | 3 |
Mark A. Lantz | US | Yorktown Heights | 2010-06-17 / 20100148358 - SEMICONDUCTOR DEVICE WITH A HIGH THERMAL DISSIPATION EFFICIENCY | 1 |
Suzanne E. Lantz | US | San Carlos | 2016-03-03 / 20160060665 - HEMICELLULASE ENRICHED COMPOSITIONS FOR ENHANCING HYDROLYSIS OF BIOMASS | 15 |
Andrew Lantz | US | San Francisco | 2014-04-17 / 20140107800 - METHOD AND APPARATUS FOR ACCESSING THE INTERIOR OF A HIP JOINT, INCLUDING THE PROVISION AND USE OF A NOVEL TELESCOPING ACCESS CANNULA AND A NOVEL TELESCOPING OBTURATOR | 8 |
Randy Lantz | US | Parkville | 2014-08-21 / 20140236634 - MOBILE DISCRETE DATA DOCUMENTATION | 2 |
Thomas D. Lantzer | US | Wake Forest | 2013-06-27 / 20130160825 - BACK CONTACT PHOTOVOLTAIC MODULE WITH GLASS BACK-SHEET | 8 |
Thomas D. Lantzer | US | Wake Forest | 2013-06-27 / 20130160825 - BACK CONTACT PHOTOVOLTAIC MODULE WITH GLASS BACK-SHEET | 8 |
Robin Lantzsch | DE | Berlin | 2011-11-03 / 20110266396 - CONTACT DETECTION | 1 |
Heiko Lantzsch | DE | Eisenach | 2015-10-01 / 20150276494 - HIGH TEMPERATURE SENSOR AND METHOD FOR PRODUCING A PROTECTIVE COVER FOR A HIGH TEMPERATURE SENSOR | 6 |
Reinhard Lantzsch | DE | Wuppertal | 2010-03-04 / 20100056598 - CIS-ALKOXY-SUBSTITUTED SPIROCYCLIC 1H-PYRROLIDINE-2,4-DIONE DERIVATIVES SERVING AS PESTICIDES | 1 |
William D. Lanum | US | Stone Mountain | 2010-01-14 / 20100008046 - THERMAL DISSIPATION HEAT SLUG SANDWICH | 1 |
Mark S. Lanus | US | Tempe | 2009-07-09 / 20090174256 - POWER DISTRIBUTION SYSTEM | 3 |
Carl J. Lanuti | US | Endwell | 2008-12-11 / 20080306755 - SYSTEM FOR PROJECT PREPARING A PROCUREMENT AND ACCOUNTS PAYABLE SYSTEM SURFACE | 3 |
Reyjan Lanuza | PH | Gen. T. De Leon Valenzuela City | 2009-03-19 / 20090077306 - OPTIMIZING MEMORY OPERATIONS IN AN ELECTRONIC STORAGE DEVICE | 1 |
Mary J. Lanuza | US | Wheeling | 2008-10-09 / 20080249337 - Oxidation of Methane to Methanol using a Catalyst Containing a Transition Metal | 1 |
Rayjan C. Lanuza | PH | Taguig City | 2011-05-12 / 20110113186 - REDUCING ERASE CYCLES IN AN ELECTRONIC STORAGE DEVICE THAT USES AT LEAST ONE ERASE-LIMITED MEMORY DEVICE | 1 |
Reyjan Lanuza | PH | Taguig City | 2014-04-17 / 20140104949 - Reducing Erase Cycles In An Electronic Storage Device That Uses At Least One Erase-Limited Memory Device | 1 |
Carla Lanuza Cerzocimo | ES | Huesca | 2011-12-22 / 20110313321 - METHOD AND DEVICE FOR OBTAINING A PLANTAR IMAGE AND DOUBLE-SIDED MACHINING OF THE INSOLE THUS OBTAINED | 1 |
Andreas Lanver | DE | Mannheim | 2013-12-12 / 20130331532 - POLYMERIZABLE ALKYLIDENE-1,3-DIOXOLANE-2-ONE AND USE THEREOF | 10 |
Ulrich Lanver | DE | Langenfeld | 2014-08-21 / 20140235750 - FEEDER AND SHAPEABLE COMPOSITION FOR PRODUCTION THEREOF | 2 |
Andreas Lanver | DE | Mannheim | 2013-12-12 / 20130331532 - POLYMERIZABLE ALKYLIDENE-1,3-DIOXOLANE-2-ONE AND USE THEREOF | 10 |
Eyal Lanxner | IL | Modiln | 2014-09-18 / 20140278804 - DYNAMIC RE-PRICING OF ITEMS ON ELECTRONIC MARKETPLACES AND/OR ONLINE STORES | 1 |
Eyal Lanxner | IL | Kfar Saba | 2015-02-26 / 20150058139 - EXISTENT DOMAIN NAME DNS TRAFFIC CAPTURE AND ANALYSIS | 1 |
Eyal S. Lanxner | IL | Modiin | 2011-05-26 / 20110125658 - Method and System for Performing Fraud Detection for Users with Infrequent Activity | 3 |
Eyal Lanxner | IL | Modiin | 2015-03-26 / 20150089056 - DOMAIN POPULARITY SCORING | 2 |
Mark Lany | CA | Stoney Creek | 2009-02-05 / 20090033031 - DISC GAME APPARATUS AND METHOD OF PLAYING THE SAME | 1 |
Marc Lany | CH | Lausanne | 2012-10-04 / 20120248295 - PHOTODETECTOR | 2 |
Stephan Lany | US | Arvada | 2014-10-30 / 20140318623 - PHOTOVOLTAIC SEMICONDUCTOR MATERIALS BASED ON ALLOYS OF TIN SULFIDE, AND METHODS OF PRODUCTION | 1 |
Michael Dennis Lanyi | US | Kempton | 2012-02-09 / 20120032378 - Blast Furnace Iron Production with Integrated Power Generation | 5 |
Timothy James Lanyk | US | Golden | 2014-07-10 / 20140193317 - Methods of Recovering Scandium from Titanium Residue Streams | 1 |
Samuel Ross Garland Lanyon | AU | Elwood Vic | 2011-09-15 / 20110220212 - Brine Discharge Assembly | 1 |
Charles D. Lanyon | US | Pottstown | 2010-05-27 / 20100128475 - Outdoor Lighting Fixture Using LEDs | 1 |
Samuel Ross Garland Lanyon | AU | Victoria | 2012-10-25 / 20120267288 - ACOUSTICALLY DRIVEN NANOPARTICLE CONCENTRATOR | 1 |
Jacob Scott Lanyon | US | Milford | 2014-12-11 / 20140360796 - FOLDING VEHICLE | 1 |
Samuel Ross Garland Lanyon | AU | Elwood | 2012-12-20 / 20120318322 - SOLAR PANEL MOUNTING SYSTEM | 2 |
Joshua J. Lanz | US | West St. Paul | 2015-04-16 / 20150102055 - CONTROLLED DISSOLUTION SOLID PRODUCT DISPENSER | 3 |
Eric Lanz | US | Cary | 2013-12-12 / 20130332378 - Methods and Systems for Integrated Social Media, Strategy, and Organizational Hierarchy | 1 |
Mitchell D. Lanz | US | Maple Grove | 2014-01-02 / 20140005749 - METHOD AND APPARATUS FOR DETECTION OF LEAD REVERSAL | 1 |
Bret Lanz | US | Manhattan | 2014-03-27 / 20140087980 - LUBRICANT COMPOSITIONS | 1 |
Andreas Lanz | CH | Bergdietikon | 2014-03-27 / 20140083602 - APPARATUS AND METHOD FOR MANUFACTURING TEAR-OFF LIDS | 1 |
Paul Lanz | DE | Meckenbeuren | 2009-06-18 / 20090157890 - DEVICE AND METHOD FOR TRANSMITTING REAL TIME DATA | 1 |
Rudiger Lanz | DE | Simmertal | 2009-07-30 / 20090190347 - MOTOR-DRIVEN, HEAD-DISPLACEABLE FLOODLIGHT UNIT | 1 |
Scott M. Lanz | US | Oregon City | 2012-06-14 / 20120144626 - INTERLOCKING EXTENSION POLES AND TOOL HOLDER | 1 |
Andreas Lanz | CH | Lyss | 2015-10-29 / 20150308478 - Device for Mutual Positioning of Longitudinal Building Components | 3 |
Marc Lanz | CH | Reitnau | 2012-04-19 / 20120095099 - Trans carotenoids, their synthesis, formulation and uses | 1 |
Joshua James Lanz | US | St. Paul | 2011-10-06 / 20110239526 - Method of Using a Versatile Pest Station with Interchangeable Inserts | 2 |
Hermann Lanz | DE | Frickingen | 2012-02-02 / 20120024095 - MANUAL TRANSMISSION | 2 |
Marc Lanz | CH | Lobsigen | 2012-07-12 / 20120179110 - NEEDLE PROTECTION DEVICE COMPRISING A DISTAL PROTECTION ELEMENT AND A PROXIMAL PROTECTION ELEMENT | 4 |
Jürg Lanz | CH | Muri | / - | 1 |
Oswald Lanz | IT | Appiano Sulla Strada Del Vino | 2011-03-03 / 20110050940 - Method for efficient target detection from images robust to occlusion | 1 |
Marian Lanz | CH | Roschenz | 2015-02-26 / 20150056746 - DIKETOPYRROLOPYRROLE POLYMERS FOR USE IN ORGANIC FIELD EFFECT TRANSISTORS | 3 |
Titus Lanz | DE | Wuerzburg | 2010-10-28 / 20100269260 - ANIMAL IMAGING HOLDING DEVICE AND METHOD | 2 |
Thomas M. Lanz | US | Woodbury | 2015-12-24 / 20150367287 - SPLICED FIBER-REINFORCED OUTER SHELL FOR CYLINDRICAL FILTRATION ELEMENT | 2 |
Mitchell Lanz | US | Maple Grove | 2012-11-22 / 20120296385 - Vector Configuration Detection and Corrective Response Systems and Methods | 3 |
Matthieu Lanz | FR | Nevers | 2015-10-22 / 20150298759 - GUIDE DEVICE FOR A FLEXIBLE LINKING MEMBER PASSING INTO A BICYCLE FRAME | 6 |
Hans Peter Lanz | CL | Santiago | 2009-10-15 / 20090255783 - Arrangement for extracting extraction products in Underground extraction operations in caving | 1 |
Marc Lanz | CH | Logsigen | 2009-10-15 / 20090259178 - NEEDLE SAFETY MODULE COMPRISING A LOCKING MECHANISM AND A USER IDENTIFICATION | 1 |
Matthias Lanz | DE | Hamburg | 2015-08-27 / 20150245151 - Processing of Audio Signals for a Tinnitus Therapy | 1 |
Christopher P. Lanz | US | Orange | 2008-08-28 / 20080202178 - Key Trapping Access Control System and Method | 1 |
Jürg Lanz | CH | Muri | / - | 1 |
Orrin Ryan Lanz | US | Wapello | 2013-08-15 / 20130207868 - ENCLOSURE SYSTEM FOR AN ANTENNA | 1 |
Werner Lanz | CH | Pfaffikon | 2009-04-23 / 20090101746 - Winder for a meterial web of flexible material | 1 |
Gunther Lanz | FR | Guebwiller | 2009-04-30 / 20090106958 - Device for maintaining the crimping of textile fibers or filaments during subsequent setting | 1 |
Joel E. Lanz | US | Apalachin | 2015-12-03 / 20150344717 - ODOR CONTROL BULK MATERIAL COVER | 3 |
Reinhold Lanz | DE | Burgkirchen | 2012-06-21 / 20120152278 - METHOD FOR CLEANING A SEMICONDUCTOR WAFER COMPOSED OF SILICON DIRECTLY AFTER A PROCESS OF POLISHING OF THE SEMICONDUCTOR WAFER | 1 |
Eric Lanz | US | Sunnyvale | 2014-05-01 / 20140123032 - Methods for Creating, Arranging, and Leveraging An Ad-Hoc Collection of Heterogeneous Organization Components | 1 |
Titus Lanz | DE | Rimpar | 2013-05-16 / 20130123609 - Flexible Coil for MR Experiments on Small Animals | 3 |
Douglas Philip Lanz | CA | Surrey | 2013-10-03 / 20130259741 - MULTI-STAGE NON-THERMAL PLASMA APPARATUS AND METHOD FOR TREATING FLUID FLOWS | 1 |
William C. Lanz | US | Dublin | 2011-05-26 / 20110121603 - LATERAL SLIDE VEHICLE SEAT | 1 |
Alessandro Lanza | IT | Sondrio | 2016-05-05 / 20160125218 - SYSTEMS, METHODS AND ARTICLES FOR READING HIGHLY BLURRED MACHINE-READABLE SYMBOLS | 1 |
Jeff Bryan Lanza | US | San Francisco | 2014-06-12 / 20140165178 - LEVERAGING ONLINE IDENTITIES TO GRANT ACCESS TO PRIVATE NETWORKS | 1 |
Franklin Lanza | US | Kirtland Hills | 2013-09-26 / 20130247290 - VENTILATED TOILET | 1 |
David James Lanza | US | Indian Harbour Beach | 2009-08-13 / 20090201208 - WIRELESS TRANSMITTER LOCATION DETERMINING SYSTEM AND RELATED METHODS | 1 |
Michael Lanza | US | San Francisco | 2010-08-05 / 20100199182 - SYSTEM ALLOWING USERS TO EMBED COMMENTS AT SPECIFIC POINTS IN TIME INTO MEDIA PRESENTATION | 1 |
Roberto Lanza | IT | Catania | 2008-09-11 / 20080219358 - METHOD FOR COMMUNICATING DATA AND CLOCK SIGNALS AND CORRESPONDING SIGNAL, TRANSMITTER AND RECEIVER | 1 |
Rémy Lanza | FR | Bollene | 2014-12-11 / 20140361465 - Method for Recycling Furniture, in Particular Bed Bases, Web of Material Obtained by Such A Method, and Associated Recycling Installation | 4 |
Joann Lanza | US | Farmington Hills | 2009-05-07 / 20090114522 - METHOD OF MINIMIZING ALDEHYDE-BASED IMPURITIES IN A PROCESS STREAM | 1 |
Elaine Lanza | US | Clearwater | 2015-07-16 / 20150198601 - METHODS OF DIAGNOSING AND PROGNOSING COLONIC POLYPS | 2 |
Serena Lanza | IT | Bologna | 2011-07-28 / 20110179989 - FLEXIBLE, DIGITAL ENHANCED READING DEVICE | 1 |
Marco Lanza | IT | Lecco | 2011-05-19 / 20110118289 - 6-1H-IMIDAZO-QUINAZOLINE AND QUINOLINES DERIVATIVES, NEW MAO INHIBITORS AND IMIDAZOLINE RECEPTOR LIGANDS | 2 |
Carlo John Lanza | US | Islip | 2016-03-24 / 20160087574 - PROTECTIVE COVERING FOR ROOF MOUNTED SYSTEMS | 3 |
Robert Lanza | US | Clinton | 2016-04-28 / 20160115450 - HEMANGIO-COLONY FORMING CELLS | 29 |
Christopher L. Lanza | US | Cheektowaga | 2012-09-13 / 20120227743 - PASSENGER OXYGEN MASK | 1 |
Richard C. Lanza | US | Brookline | 2010-02-04 / 20100027739 - Phase-Contrast X-Ray Imaging | 2 |
Lucio L. Lanza | US | Palo Alto | 2010-06-24 / 20100162193 - METHOD AND PROCESS FOR DESIGN OF INTEGRATED CIRCUITS USING REGULAR GEOMETRY PATTERNS TO OBTAIN GEOMETRICALLY CONSISTENT COMPONENT FEATURES | 1 |
Gregory M. Lanza | US | St. Louis | 2016-02-25 / 20160051635 - BLOOD SUBSTITUTE COMPOSITION AND METHOD OF USE | 10 |
Robert Lanza | US | Clinton | 2016-04-28 / 20160115450 - HEMANGIO-COLONY FORMING CELLS | 29 |
Luciana Franco Lanza | BR | Belo Horizonte Mg | / - | 1 |
Luca Giovanni Lanza | IT | Genova | 2014-05-22 / 20140137632 - DEVICE FOR CHECKING THE CALIBRATION OF CATCHING INSTRUMENTS MEASURING RAINFALL INTENSITY | 1 |
Robert Lanza | US | Worcester | 2014-09-04 / 20140248700 - Method of Differentiation of Morula or Inner Cell Mass Cells and Method of Making Lineage-Defective Embryonic Stem Cells | 1 |
Ann-Mara Lanza | US | Wellesley | / - | 1 |
Robert P. Lanza | US | Clinton | 2016-02-11 / 20160038543 - MESENCHYMAL STROMAL CELLS AND USES RELATED THERETO | 16 |
Joseph P. Lanza | US | Ozark | 2013-07-25 / 20130188954 - RFoG CPE Devices with Wavelength Collision Avoidance Using Laser Transmitter Local and/or Remote Tunability | 1 |
John F. Lanza | US | Orlando | 2011-06-09 / 20110136436 - SYSTEM AND METHOD FOR SHORT RANGE WIRELESS COMMUNICATION | 1 |
Guido Lanza | US | San Francisco | 2009-09-03 / 20090220554 - Transglutaminase Inhibitors and Methods of Use Thereof | 2 |
Nathaniel Cleverly Lanza | US | Pittsburgh | 2010-04-01 / 20100083151 - Natural language based filtering | 2 |
Mariela Claudia Lanza | AR | Capital Federal | 2013-04-18 / 20130097432 - PROVIDING CONSISTENT CRYPTOGRAPHIC OPERATIONS | 2 |
Gregory Lanza | US | St. Louis | 2013-11-21 / 20130308847 - SPECTRAL IMAGING | 6 |
Anthony Lanza | US | Webster | 2008-10-09 / 20080247666 - DARKNESS CONTROL USING PATTERN MATCHING | 1 |
Robert P. Lanza | US | Clinton | 2016-02-11 / 20160038543 - MESENCHYMAL STROMAL CELLS AND USES RELATED THERETO | 16 |
Rémy Lanza | FR | Bollene | 2013-08-22 / 20130216429 - Method for disinfecting bedding items by microwaves, in particular mattresses, and related facility | 3 |
Gregory M. Lanza | US | St. Louis | 2016-02-25 / 20160051635 - BLOOD SUBSTITUTE COMPOSITION AND METHOD OF USE | 10 |
Richard Lanza | US | Cambridge | 2015-05-28 / 20150146848 - METHOD FOR CODED-SOURCE PHASE CONTRAST X-RAY IMAGING | 2 |
Joseph A. Lanza | US | New Haven | 2009-07-09 / 20090177648 - SYSTEMS AND METHODS FOR ORGANIZING AND MANAGING TRUSTED HEALTH CARE REFERENCE INFORMATION | 1 |
Julio Eduardo Lanza | US | Greenville | 2015-06-11 / 20150160644 - REPAIR METHOD, SYSTEM FOR AUTOMATIC LOCATING AND CLEARING AND TOOL FOR AUTOMATED LOCATING AND MODIFYING | 1 |
Robert Lanza | US | Los Gatos | 2014-11-06 / 20140328139 - Seismic Device With Sealed Housing And Related Methods | 1 |
Janice Lanza-Brache | US | Patterson | 2010-06-03 / 20100133216 - Raised Attic Storage System | 1 |
Raymond J. Lanzafame | US | Rochester | 2015-12-03 / 20150343235 - METHOD AND APPARATUS FOR NON-THERMAL NAIL, FOOT, AND HAND FUNGUS TREATMENT | 2 |
Philip F. Lanzafame | US | 2010-10-21 / 20100263189 - Shoulder protection pad for ladders with hollows to accommodate rung ends and rivets | 1 | |
Philip F. Lanzafame | US | Poulsbo | 2015-08-20 / 20150233180 - Ladder legs and adjustable leg extension ladder levelers | 2 |
Anthony Charles Lanzalaco | US | Fairfield | 2015-12-31 / 20150374607 - TOPICAL USE OF A SKIN-COMMENSAL PREBIOTIC AGENT AND COMPOSITIONS CONTAINING THE SAME | 8 |
Anthony Charles Lanzalaco | US | Fairfleld | 2014-03-13 / 20140072533 - METHOD OF MAKING COSMETIC COMPOSITIONS CONTAINING A PREBIOTIC | 3 |
Anthony Charles Lanzalaco | US | Fairfield | 2015-12-31 / 20150374607 - TOPICAL USE OF A SKIN-COMMENSAL PREBIOTIC AGENT AND COMPOSITIONS CONTAINING THE SAME | 8 |
Guglielmo Lanzani | IT | Milano | 2013-07-18 / 20130184783 - HYBRID BIOORGANIC INTERFACE FOR NEURONAL PHOTOACTIVATION, AND RETINAL PROSTHETIC DEVICE | 2 |
Emanuele Lanzani | IT | Milano | 2010-07-22 / 20100180622 - Machine for Producing Ice | 2 |
Oreste Lanzani | IT | Bagnolo Mella (brescia) | 2011-01-06 / 20110000050 - Hinge For A Refined Built-In Appliance | 1 |
Federico Lanzani | IT | Reggio Emilia | 2008-08-21 / 20080200572 - Process For The Production of Extruded Sheets Of Expanded Polystyrene | 1 |
Federico Lanzani | IT | Azzano Mella | 2013-08-01 / 20130192352 - SENSOR DEVICE FOR MEASURING THE FLOW AND/OR THE LEVEL OF A FLUID OR OF A SUBSTANCE | 1 |
Oreste Lanzani | IT | Bagnolo Mella (bs) | 2013-08-22 / 20130212835 - Hinge For A Household Appliance With Improved Elastically Deformable Means | 1 |
Roberto Lanzara | US | St. Peters | 2015-08-13 / 20150228199 - Ergonomics Test Buck | 2 |
Giovanni Lanzara | IT | Roma (rm) | 2015-02-05 / 20150034143 - ASSEMBLY FOR CONVERTING SOLAR RADIATION INTO ELECTRIC AND/OR THERMAL ENERGY | 3 |
Richard G. Lanzara | US | New York | 2009-01-08 / 20090012717 - Method For Determining Drug-Molecular Combinations That Modulate And Enhance The Therapeutic Safety And Efficacy Of Biological Or Pharmaceutical Drugs | 1 |
Giulia Lanzara | US | Palo Alto | 2009-03-12 / 20090067144 - FLEXIBLE NETWORK | 1 |
Giovanni Lanzara | IT | Rome | 2011-04-28 / 20110094565 - METHODS, SYSTEMS, AND APPARATUSES FOR ALIGNING LIGHT CONCENTRATOR COMPONENTS WITH A LIGHT SOURCE | 3 |
Alessandra Lanzara | US | Piedmont | 2015-08-20 / 20150235799 - VERSATILE SPIN-POLARIZED ELECTRON SOURCE | 3 |
Erica Lanzarini | IT | Santa Sofia (forli-Cesena) | 2013-07-18 / 20130184783 - HYBRID BIOORGANIC INTERFACE FOR NEURONAL PHOTOACTIVATION, AND RETINAL PROSTHETIC DEVICE | 1 |
Antonio Lanzavecchia | CH | Bellinzona | 2016-04-07 / 20160096880 - HUMAN CYTOMEGALOVIRUS NEUTRALIZING ANTIBODIES AND USE THEREOF | 28 |
Antonio Lanzavecchia | CH | Bellinzona | 2016-04-07 / 20160096880 - HUMAN CYTOMEGALOVIRUS NEUTRALIZING ANTIBODIES AND USE THEREOF | 28 |
Antonio Lanzavecchia | CH | Porza | 2010-04-08 / 20100086555 - NEUTRALIZING ANTI-INFLUENZA A VIRUS ANTIBODIES AND USES THEREOF | 2 |
Craig A. Lanzen | US | Lambertville | 2015-12-31 / 20150381415 - SERVER-SIDE PROTOCOL CONFIGURATION OF ACCESSING CLIENTS | 8 |
Craig A. Lanzen | US | Lambertville | 2015-12-31 / 20150381415 - SERVER-SIDE PROTOCOL CONFIGURATION OF ACCESSING CLIENTS | 8 |
William D. Lanzen | US | North Kings Town | 2009-07-30 / 20090192831 - SECURITIZATION OF HEALTH CARE RECEIVABLES | 1 |
Craig Andrew Lanzen | US | Lambertville | 2009-05-14 / 20090122705 - Managing Bursts of Traffic In Such a Manner as to Improve The Effective Utilization of Session Servers | 2 |
Craig A. Lanzen | US | Durham | 2016-01-07 / 20160006771 - MAINTAINING SESSION INITIATION PROTOCOL APPLICATION SESSION AFFINITY IN SIP CONTAINER CLUSTER ENVIRONMENTS | 7 |
Martin Lanzendoerfer | DE | Muenchen | 2009-07-30 / 20090191187 - ANTIBODIES AGAINST INTERLEUKIN-1 RECEPTOR AND USES THEREOF | 1 |
Martin Lanzendoerfer | DE | Tutzing | 2015-10-01 / 20150274831 - ANTIBODIES AGAINST HUMAN CSF-1R AND USES THEREOF | 13 |
Martin Lanzendoerfer | DE | Tutzing | 2015-10-01 / 20150274831 - ANTIBODIES AGAINST HUMAN CSF-1R AND USES THEREOF | 13 |
Ghita Lanzendorfer | DE | Hamburg | 2009-05-21 / 20090131340 - USE OF FLAVONOIDS AS IMMUNOMODULATING OR IMMUNO-PROTECTIVE AGENTS IN COSMETIC AND DERMATOLOGICAL PREPARATIONS | 1 |
Louis D. Lanzerotti | US | Burlington | 2009-06-04 / 20090140343 - LATERAL DIFFUSION FIELD EFFECT TRANSISTOR WITH A TRENCH FIELD PLATE | 3 |
Roni Lanzet | IL | Kiryat-Ata | / - | 1 |
Jeffery Lanzet | US | Prospect | 2014-01-02 / 20140006039 - Health Care Index | 1 |
Kenneth M. Lanzetta | US | Smithtown | 2013-10-03 / 20130262268 - METHOD AND SYSTEM FOR SENDING, ROUTING, AND RECEIVING INFORMATION USING CONCISE MESSAGES | 2 |
Lydia Lanzetta | US | Scotch Plains | 2012-06-07 / 20120141404 - AQUEOUS FATTY QUATERNARY AMINE-CONTAINING CARRIER SYSTEMS FOR WATER-INSOLUBLE MATERIALS | 2 |
Sylvian Lanzi | FR | Chirens | 2010-05-13 / 20100116852 - LIQUID DISPENSING DEVICE EQUIPPED WITH A SEALING COMPONENT | 1 |
Oscar Lanzi | US | Chicago | 2013-10-10 / 20130268215 - System and Method for Prediction of Snap-Through Buckling of Formed Steel Sheet Panels | 1 |
Cinzia Lanzi | IT | Bresso | 2009-05-21 / 20090130229 - Antitumor uses of compound | 1 |
Matteo Lanzi | IT | Bologna | 2014-02-20 / 20140049561 - POOLING AND TILING DATA IMAGES FROM MEMORY TO DRAW WINDOWS ON A DISPLAY DEVICE | 1 |
Christopher H. Lanzi | US | Amsterdam | 2009-05-28 / 20090136775 - REMOVABLE SHEATHING APPARATUS AND METHOD | 1 |
Anastasia Lanzi | NL | Utrecht | 2013-06-27 / 20130164327 - Adenovirus vaccine vectors | 1 |
Sylvain Lanzi | FR | Chirens | 2013-07-18 / 20130184655 - Syringe And Safety Device Assembly | 8 |
Pier Luca Lanzi | IT | Milano | 2014-12-11 / 20140364230 - Apparatus and Method for Rehabilitation Employing a Game Engine | 1 |
Sylvain Lanzi | FR | Chirens | 2013-07-18 / 20130184655 - Syringe And Safety Device Assembly | 8 |
Giulia Lanzilli | IT | Roma | 2010-09-09 / 20100227919 - Dioxaspiroketal Derivatives, Process for Their Preparation and Uses Thereof | 1 |
Ann-Marie Lanzillotto | US | Lawrenceville | 2015-04-09 / 20150098630 - IRIS BIOMETRIC RECOGNITION MODULE AND ACCESS CONTROL ASSEMBLY | 2 |
Edward Lanzilotta | US | Reading | 2012-02-23 / 20120046828 - Ride Height Control System and Method for Controlling Load Distribution at Target Ride Height in a Vehicle Suspension System | 2 |
William Lanzilotta | US | Athens | 2016-02-11 / 20160040196 - ENZYMATIC CONVERSION OF BOTH ENANTIOMERS OF 1,2-PROPANEDIOL TO PROPIONALDEHYDE | 1 |
John Lanzilotta | US | Whitehouse Station | 2016-04-28 / 20160115687 - Prefabricated Lightweight Steel Wall Tensioning System | 1 |
Anthony Richard Lanzito | US | Elmwood Park | 2016-02-25 / 20160055776 - ELECTRONIC SIGNAGE FOR TOWER APPLICATIONS | 1 |
Winfried Lanzki | DE | Unna | 2015-04-23 / 20150107958 - SAFETY DEVICE FOR A PASSENGER TRANSPORT INSTALLATION | 5 |
Mordechai Lanzkron | IL | Kfar Etzion | 2015-03-12 / 20150074609 - IMAGE-BASED APPLICATION AUTOMATION | 2 |
Mordechai Lanzkron | IL | Yehud | 2011-05-05 / 20110107304 - Quality Assurance Testing | 1 |
Colin Lanzl | US | Nashua | 2015-01-08 / 20150009855 - SYSTEMS AND METHODS FOR HIGH RATE OFDM COMMUNICATIONS | 15 |
Thomas Lanzl | DE | Marktredwitz | 2015-12-17 / 20150362130 - Device for storing and delivery of a liquid and/or gaseous medium under pressure, as well as a fuel energy conversion device and method for assembling a device for storing and delivery of a liquid and/or gaseous medium under pressure | 3 |
Colin Lanzl | US | Moraga | 2013-01-03 / 20130003587 - SYSTEMS AND METHODS FOR HIGH RATE OFDM COMMUNICATIONS | 1 |
Martin Lanzl | DE | Schrobenhausen | 2013-06-06 / 20130140091 - CONSTRUCTION WORK UNIT AND METHOD FOR ERECTING A MAST | 2 |
Thomas Lanzl | DE | Marklredwitz | 2013-01-10 / 20130008090 - CLOSURE ARRANGEMENT | 1 |
Martin Lanzl | DE | Ingolstadt | 2012-03-22 / 20120072081 - CONTRUCTION MACHINE HAVING A COMPUTER UNIT FOR DETERMINING AN ADJUSTMENT RANGE | 3 |
Thomas Lanzl | DE | Haag | 2015-02-26 / 20150052964 - MACHINE AND METHOD FOR TREATING CAST COMPONENTS | 1 |
Victor F. Lanzo | CA | Laval | 2014-06-26 / 20140180154 - NON-INVASIVE MONITORING OF RESPIRATORY RATE, HEART RATE AND APNEA | 3 |
Vincent R. Lanzolla | US | Clayton | 2008-10-09 / 20080246732 - METHOD AND APPARATUS FOR MASKING KEYSTROKE SOUNDS FROM COMPUTER KEYBOARDS | 1 |
Sergio Lanzone | IT | Genova | 2016-04-28 / 20160119082 - COMMUNICATIONS NETWORK USING ADAPTABLE FEC | 13 |
Robert Lanzone | US | Chandler | 2015-11-26 / 20150340332 - COPPER PILLAR SIDEWALL PROTECTION | 2 |
Steven W. Lanzone | US | Cheektowaga | 2013-10-31 / 20130285371 - EXTENDED LENGTH TUBE STRUCTURES | 3 |
Andrew J. Lanzone | US | San Marcos | 2014-11-20 / 20140340434 - Dynamic Gamut Display Systems, Methods, and Applications Thereof | 7 |
Sergio Lanzone | IT | Genova | 2016-04-28 / 20160119082 - COMMUNICATIONS NETWORK USING ADAPTABLE FEC | 13 |
Vincent Lanzone | US | Brookline | 2014-04-10 / 20140099585 - Igniter Shield Device and Methods Associated Therewith | 1 |
Sergio Lanzone | IT | Genoa | 2013-12-26 / 20130343750 - COMMUNICATIONS NETWORK USING ADAPTABLE FEC | 6 |
Andrew J. Lanzone | US | San Marco | 2012-02-09 / 20120033113 - Quantum Photonic Imagers and Methods of Fabrication Thereof | 1 |
Thomas Lanzoni | US | Cedar Park | 2014-11-27 / 20140349763 - System and Method for Providing Performance in a Personal Gaming Cloud | 4 |
Luca Lanzoni | IT | Bergamo | 2008-11-27 / 20080291595 - Protection Unit For An Ac/Dc Low-Voltage Power Supply Line | 1 |
Thomas P. Lanzoni | US | Cedar Park | 2015-08-13 / 20150227206 - METHOD FOR USING THE GPU TO CREATE HAPTIC FRICTION MAPS | 4 |
Riccardo Lanzoni | IT | Imola | 2016-05-19 / 20160138551 - METHOD TO DETECT AND CONTROL DETONATION PHENOMENA IN AN INTERNAL COMBUSTION ENGINE | 5 |
Marco Lanzoni | IT | Bologna | 2015-10-15 / 20150290349 - DISINFECTING/STERILISING MACHINE FOR DISINFECTING/ STERILISING ENDOSCOPES | 1 |
Fabio Lanzoni | US | Tarzana | 2011-02-03 / 20110027192 - SLIM-FIT FAT BURNING WATER | 1 |
Giacomo Lanzoni | US | Miami Beach | 2016-03-03 / 20160058798 - METHOD OF TREATING PANCREATIC AND LIVER CONDITIONS BY ENDOSCOPIC-MEDIATED (OR LAPAROSCOPIC-MEDIATED) TRANSPLANTATION OF STEM CELLS INTO/ONTO BILE DUCT WALLS OF PARTICULAR REGIONS OF THE BILIARY TREE | 2 |
Russell Lanzrath | US | Newton | 2013-08-15 / 20130209634 - HIGH PRESSURE PASTEURIZING OF WHOLE MUSCLE MEATS | 1 |
Russell Lanzrath | US | New Century | 2014-11-13 / 20140335245 - MEAT PRODUCT | 1 |
Brian Lanzrath | US | Olathe | 2013-01-17 / 20130017133 - SYSTEMS AND METHODS FOR FLUID SAMPLE PROCESSING | 1 |
David Lao | US | San Ramon | 2014-03-13 / 20140073814 - AMINOETHYLATION PROCESS HAVING IMPROVED YIELD OF ARYLOXYALKYLENE AMINE COMPOUNDS AND REDUCED UREA BY-PRODUCTS | 1 |
Leng Kin Lao | SG | Singapore | 2011-02-10 / 20110030874 - LOW TEMPERATURE METHOD OF BONDING SUBSTRATES HAVING AT LEAST ONE SURFACE THAT INCLUDES A LAYER OF SU8 | 1 |
Ye Lao | CN | Zhuhai | 2011-07-28 / 20110183032 - Stomach-proected Alpha-amylase to Improve the Utilization of Diet Energy and Growth Performance of Animals | 2 |
Guillermo Lao | US | 2009-12-31 / 20090327137 - Method and apparatus for establishing usage rights for digital content to be created in the future | 1 | |
Jingyu Lao | US | Saline | 2016-01-07 / 20160001525 - COATED ARTICLE WITH IR REFLECTING LAYER AND METHOD OF MAKING SAME | 27 |
Ieng Kin Lao | MO | Taipa | 2011-02-03 / 20110026871 - SENSOR ELEMENT | 4 |
Yanbin Lao | US | North Chicago | 2016-03-17 / 20160075691 - 4,5- DIHYDROPYRAZOLE DERIVATIVES, PHARMACEUTICAL COMPOSITIONS CONTAINING THEM, AND THEIR USE IN THERAPY | 7 |
Yanbin Lao | US | Abbott Park | 2014-09-18 / 20140275087 - PYRROLIDINE DERIVATIVES, PHARMACEUTICAL COMPOSITIONS CONTAINING THEM, AND THEIR USE IN THERAPY | 7 |
Changshi Lao | US | Atlanta | 2010-07-08 / 20100171095 - Super Sensitive UV Detector Using Polymer Functionalized Nanobelts | 3 |
Shihong Lao | JP | Kyoto | 2013-09-19 / 20130243246 - MONITORING DEVICE, RELIABILITY CALCULATION PROGRAM, AND RELIABILITY CALCULATION METHOD | 4 |
Chufeng Lao | CN | Qingdao | 2014-09-25 / 20140283314 - WASHING MACHINE AND WASHING MACHINE THEREOF | 1 |
Yeqi Lao | CN | Guangzhou | 2014-10-16 / 20140308001 - Optical Fibre and Method of Fabricating a Coupling Device Therefor | 1 |
Keng Weng Lao | CN | Macau | 2014-06-12 / 20140160811 - RAILWAY POWER CONDITIONER FOR CO-PHASE TRACTION SUPPLY SYSTEM | 1 |
Yuanzhi Lao | HK | Hk | 2015-02-05 / 20150038569 - Anti-cervical Cancer Compound and Method of Use Thereof | 2 |
Chunfeng Lao | CN | Laoshan Qingdao | 2014-05-08 / 20140123402 - WASHING METHOD WITH POLYMER SOLID PARTICLES | 1 |
Ye Lao | CN | Shangai | 2013-11-14 / 20130302505 - Mycotoxin Binder | 1 |
Zhongfen Lao | CN | Guangdong | 2013-11-14 / 20130302699 - Non-Aqueous Electro-Chemical Battery and Method of Preparation Thereof | 1 |
Zhiqiang Lao | US | Rochester | 2013-10-03 / 20130257869 - METHOD FOR ENHANCING RECONSTRUCTED 3-D TOMOSYNTHESIS VOLUME IMAGE | 1 |
Guillermo Lao | US | Torrance | 2015-05-28 / 20150149369 - METHOD AND APPARATUS FOR ESTABLISHING USAGE RIGHTS FOR DIGITAL CONTENT TO BE CREATED IN THE FUTURE | 26 |
Christine Lihong Lao | CN | Shanghai | 2014-03-20 / 20140080712 - COMPOSITIONS AND METHODS FOR DOUBLE ENCAPSULATION OF A VOLATILE COMPOUND | 1 |
Yuanzhi Lao | CN | Shanghai | 2015-08-20 / 20150231090 - USAGE OF GUTTIFERONE K FOR TREATING HIGH METASTATIC CANCER | 1 |
Sze W. Lao | US | Fremont | 2015-11-12 / 20150326506 - VIRTUAL PORT SUPPORT IN A LOGICAL SWITCH ARCHITECTURE | 1 |
Xuejun Lao | CN | Xinchang County | 2016-04-14 / 20160101053 - Reduction Type Coenzyme Q10 Powder, Composition Thereof, And Preparation Method Thereof | 3 |
Yuanzhi Lao | HK | Hong Kong | 2015-01-22 / 20150025155 - ANTI-PROSTATE CANCER COMPOUND AND METHOD OF USE THEREOF | 5 |
Si-Man Lao | CA | Toronto | 2014-12-18 / 20140366544 - COMBUSTOR EXIT DUCT FOR GAS TURBINE ENGINES | 1 |
Ning Lao | CN | Shenzhen | 2014-04-03 / 20140096205 - Login method, open platform identification method, open platform and open platform system | 3 |
Peifeng Lao | MX | Mexico City | 2013-04-25 / 20130103645 - Method and Device for Inputting Data in Multimedia Service Database on Embedded Device | 1 |
Aili Lao | CA | London | 2009-01-29 / 20090028850 - Prolongation of survival of an allograft by inhibiting complement activity | 1 |
Bert J. Lao | US | Los Angeles | 2011-11-24 / 20110288023 - CANCER DRUG DELIVERY USING MODIFIED TRANSFERRIN | 2 |
Kai Lao | US | Pleasanton | 2015-11-12 / 20150322504 - SEQUENCE AMPLIFICATION WITH LOOPABLE PRIMERS | 11 |
Vengpui Louis Lao | US | San Francisco | 2013-10-17 / 20130275172 - MULTI-LAYERED ONLINE CALENDARING AND PURCHASING | 2 |
Ning Lao | CN | Shenzhen Guangdong | 2014-07-24 / 20140206319 - METHOD AND DEVICE FOR ACHIEVING DATA ROAMING | 1 |
Guan-Ming Lao | CN | Zhejiang | 2009-03-05 / 20090056648 - Protection for Heat Transfer Oil Boiler | 1 |
Xuejun Lao | CN | Xinchang County, Zhejiang Province | 2012-12-06 / 20120310002 - 1,4,6,10-Tetra-Double Bond Pentadec-Carbon Phosphonate, Preparation Method Thereof, And Preparation Method Of Lycopene Using The Same | 1 |
Louis Lao | US | Oakland | 2014-01-30 / 20140032671 - METHOD AND APPARATUS FOR USER-SELECTED EVENT REMINDERS | 5 |
Willen Lao | US | Foothill Ranch | 2008-09-04 / 20080215650 - Efficient multiple input multiple output signal processing method and apparatus | 2 |
Katherine B. Lao | US | Concord | 2009-05-21 / 20090128843 - Application-based profiles of printer driver settings | 1 |
Ye Lao | CN | Shanghai | 2011-11-17 / 20110281018 - Mycotoxin Binder | 1 |
Kien Lao | US | Durham | 2015-09-17 / 20150263663 - Light-Harvesting Power Supply With Power Management and Load Identification Capability | 2 |
Chunfeng Lao | CN | Qingdao | 2015-01-29 / 20150027173 - WASHING MACHINE DRUM BAFFLE AND WASHING MACHINE THEREWITH | 5 |
Binneg Y. Lao | US | Rancho Palos Verdes | 2012-07-05 / 20120168928 - CHIP ASSEMBLY WITH FREQUENCY EXTENDING DEVICE | 2 |
Keith Quoc Lao | US | Austin | 2014-07-10 / 20140191409 - FORMING VIAS AND TRENCHES FOR SELF-ALIGNED CONTACTS IN A SEMICONDUCTOR STRUCTURE | 1 |
Zhihao Lao | US | Camarillo | 2011-09-08 / 20110215870 - BURST MODE AMPLIFIER | 2 |
Guillermo Lao | US | Torrance | 2015-05-28 / 20150149369 - METHOD AND APPARATUS FOR ESTABLISHING USAGE RIGHTS FOR DIGITAL CONTENT TO BE CREATED IN THE FUTURE | 26 |
Hai Zhan Lao | HK | New Territories | 2015-07-16 / 20150197045 - METHOD FOR MANUFACTURING MAGNETIC ROLLERS AND SYSTEM THEREOF | 1 |
Zhongfen Lao | CN | Huizhou | 2013-08-01 / 20130196212 - High Energy Battery And The Manufacture Method Thereof | 1 |
Ning Lao | CN | Shenzhen City | 2013-03-07 / 20130060877 - METHOD AND SYSTEM FOR PRESENTING REPOSTED MESSAGE | 1 |
Changan Lao | US | San Jose | 2010-11-18 / 20100289806 - MEMORY MANAGEMENT BASED ON AUTOMATIC FULL-SCREEN DETECTION | 1 |
Charlie Lao | US | San Jose | 2010-11-18 / 20100289805 - METHODS AND SYSTEMS FOR PROCESSING OBJECTS IN MEMORY | 1 |
Kai Qin Lao | US | Pleasanton | 2015-10-01 / 20150275284 - CLONAL AMPLIFICATION OF NUCLEIC ACID ON SOLID SURFACE WITH TEMPLATE WALKING | 23 |
Yuanwei Lao | US | Katy | 2013-04-25 / 20130100286 - SYSTEM AND METHOD FOR PREDICTING VEHICLE LOCATION | 1 |
Ye Lao | CN | Guangzhou | 2012-11-08 / 20120282367 - Rumen-Protected Lutein Product for Producing High-Lutein Dairy Products | 1 |
Yanbin Lao | US | Lake Bluff | 2016-04-21 / 20160106765 - Carbidopa and L-Dopa Prodrugs and Methods of Use | 1 |
Haojun Lao | US | Tempe | 2012-02-23 / 20120043143 - Solar Electric Vehicle with Foldable Body Panels on a Sun Tracking Chassis | 1 |
Po-Chao Lao | TW | Tu-Cheng | 2010-11-04 / 20100279163 - BATTERY COVER LATCH ASSEMBLY | 2 |
David Lao | US | Seattle | 2014-12-18 / 20140371493 - METHODS OF CONVERTING POLYOLS | 1 |
Haiping Lao | CN | Hangzhou | 2016-02-18 / 20160046615 - Novel Crystal Form of Dabrafenib Mesylate and Preparation Method Thereof | 2 |
Lijuan Lao | CN | Guangdong Province | 2012-05-17 / 20120120825 - Method and Base Station for Combined Adjusting Downlink AMC and MIMO Mode | 1 |
Si-Lek Lao | TW | Shenzhen City | 2009-10-01 / 20090244861 - SERVER ENCLOSURE WITH TRANSFER CARD MODULE | 1 |
Chung-Ren Lao | TW | Taichung City | 2015-10-15 / 20150295032 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 4 |
Ni Lao | US | Pittsburgh | 2012-09-13 / 20120233140 - CONTEXT-AWARE QUERY ALTERATION | 1 |
Brooke Bullock Lao | US | Leesville | 2015-03-12 / 20150072991 - OLIGOOXOPIPERAZINES AND METHODS OF MAKING AND USING THEM | 2 |
Keith Lao | US | Austin | 2015-12-10 / 20150357237 - MULTI-LEVEL STACK HAVING MULTI-LEVEL CONTACT AND METHOD | 3 |
Zhiqiang Lao | US | New Town | 2012-04-26 / 20120099771 - COMPUTER AIDED DETECTION OF ARCHITECTURAL DISTORTION IN MAMMOGRAPHY | 1 |
Edward W.h. Lao | US | San Francisco | 2011-10-20 / 20110255088 - POLARIZATION COMPENSATED BEAM SPLITTER AND DIAGNOSTIC SYSTEM FOR HIGH POWER LASER SYSTEMS | 2 |
Sze-Wa Lao | US | Fremont | 2015-07-23 / 20150207667 - NETWORK CONTROL SOFTWARE NOTIFICATION WITH ADVANCE LEARNING | 6 |
Jonathan Lao | FR | Clermont-Ferrand | 2010-11-04 / 20100278902 - STRONTIUM DOPED BIOACTIVE GLASSES | 1 |
My Ly Lao | DK | Vejle | 2013-01-24 / 20130022662 - COMPRESSED CHEWING GUM TABLET COMPRISING TASTE-MASKING AGENT | 4 |
Aili Lao | US | / - | 1 | |
Chunfeng Lao | CN | Laosha | 2014-08-21 / 20140230160 - WASHING MACHINE AND WASHING METHOD | 1 |
Jonathan Claude Alexandre Lao | FR | Veyre-Monton | 2016-04-28 / 20160114076 - IMPLANT WITH CONTROLLED POROSITY MADE OF A HYBRID MATERIAL | 2 |
Ieng Kin Lao | SG | Singapore | 2011-08-11 / 20110193183 - NANOWIRE SENSOR, NANOWIRE SENSOR ARRAY AND METHOD OF FABRICATING THE SAME | 1 |
Jingyu Lao | US | Saline | 2016-01-07 / 20160001525 - COATED ARTICLE WITH IR REFLECTING LAYER AND METHOD OF MAKING SAME | 27 |
Xuejun Lao | CN | Donglu | 2014-01-30 / 20140030419 - Carotenoid Oil Suspension With High Bioavailability And Preparation Method Thereof | 1 |
Zhiqiang Lao | US | Newton | 2012-10-18 / 20120263366 - ENHANCED VISUALIZATION FOR MEDICAL IMAGES | 1 |
Jun-Hsian Lao | TW | Keelung City | 2009-06-18 / 20090153769 - FLAT PANEL DISPLAY AND BACKLIGHT MODULE THEREOF | 1 |
Chung-Ren Lao | TW | Taichung County | 2012-02-02 / 20120025308 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE, METHOD FOR FABRICATING BIPOLAR-CMOS-DMOS | 2 |
Xuejun Lao | CN | Shaoxing | 2013-02-21 / 20130046114 - 1-Methoxyl-2, 6, 10-Trimethyl-1, 3, 5, 9-Undec-Tetraene, and Preparation Method and Uses thereof | 3 |
Christine Lihong Lao | CN | Fuguan Town | 2015-11-26 / 20150335012 - SILVER-CONTAINING COMPOSITION | 2 |
Yongchao Lao | CN | Guangzhou | 2015-08-06 / 20150220241 - METHOD AND DEVICE FOR SELECTING WEBPAGE EDGE CONTENT, AND MOBILE TERMINAL | 1 |
Chunfeng Lao | CN | Laoshan | 2014-08-28 / 20140237731 - WASHING MACHINE AND WASHING METHOD | 1 |
Huagin Lao | CN | Xiamen | 2016-01-07 / 20160004041 - Imaging Lens, and Electronic Apparatus Including the Same | 1 |
Zhao Jing Lao | CN | Shenzhen | 2011-04-28 / 20110095630 - END CAP ASSEMBLY | 1 |
Wei-Li Lao | US | Herndon | 2015-12-31 / 20150376678 - SRM Assay to Indicate Cancer Therapy | 1 |
Hai Zhan Lao | CN | Guang Dong Province | 2015-02-05 / 20150033536 - Method and apparatus for manufacturing magnetic drawing board | 1 |
Zhiqiang Lao | US | Newtown | 2015-01-29 / 20150030213 - System and Method for Creating a Virtual Backdrop | 3 |
Henry Lao | US | Milpitas | 2015-05-07 / 20150126908 - DEVICES AND METHODS FOR AIRWAY MEASUREMENT | 1 |
Jinming Lao | CN | Shenzhen | 2012-05-24 / 20120129558 - Method and device for reducing mutual interference for multi-carrier | 2 |
Kai Lao | US | Pleasanton | 2015-11-12 / 20150322504 - SEQUENCE AMPLIFICATION WITH LOOPABLE PRIMERS | 11 |
David Lao | US | Kenmore | 2010-07-01 / 20100169257 - TRANSPARENT PARALLELISM AMONG LINEAR SOLVERS | 1 |
Liyun Lao | GB | Cranfield | 2010-12-09 / 20100307598 - METHOD, CONTROLLER AND SYSTEM FOR CONTROLLING THE SLUG FLOW OF A MULTIPHASE FLUID | 1 |
Heng Cheong Lao | TW | Hsinchu City | 2015-01-15 / 20150016474 - COMMUNICATING APPARATUS | 2 |
Gerardo Jose La O' | US | Somerville | 2010-09-30 / 20100248064 - THREE DIMENSIONAL SINGLE-CHAMBER FUEL CELLS | 1 |
Gerardo Jose La O' | US | Alameda | 2015-05-28 / 20150147666 - FLUIDIC ARCHITECTURE FOR METAL-HALOGEN FLOW BATTERY | 9 |
Gerardo Jose La O' | US | Alameda | 2015-05-28 / 20150147666 - FLUIDIC ARCHITECTURE FOR METAL-HALOGEN FLOW BATTERY | 9 |
Michael Laor | IL | Zichron Yaakov | 2014-09-18 / 20140270627 - EO DEVICE FOR PROCESSING DATA SIGNALS | 3 |
Herzel Laor | US | Boulder | 2015-03-26 / 20150084990 - AUGMENTED REALITY MEDICAL PROCEDURE AID | 9 |
Yoram Laor | IL | Beny Brak | 2009-12-17 / 20090308773 - Carton Box for Storage of Disposable Pre-Cut Toilet Paper Tissues | 1 |
Dor Laor | IL | Tel Aviv | 2014-07-31 / 20140215461 - LOW-LATENCY FAULT-TOLERANT VIRTUAL MACHINES | 32 |
Raviv Laor | US | New York | 2012-05-03 / 20120109736 - Method of and System for Managing Promotions for Purchase Transactions Over a Network | 2 |
Yuval Laor | US | Boulder | 2013-08-01 / 20130193594 - GAS DELIVERY SYSTEM | 2 |
Dor Laor | IL | Raanana | 2014-06-05 / 20140156894 - MSI EVENTS USING DYNAMIC MEMORY MONITORING | 4 |
Dor Laor | IL | Tel Aviv | 2014-07-31 / 20140215461 - LOW-LATENCY FAULT-TOLERANT VIRTUAL MACHINES | 32 |
Benny Laor | IL | Kiryat Bialik | 2012-10-18 / 20120262734 - DOUBLE SEAM MEASUREMENT SYSTEM | 1 |
Guy Laor | US | New York | 2012-06-14 / 20120150662 - Centralized Deployment of Advertising Code | 1 |
Herzel Laor | US | Boulder | 2015-03-26 / 20150084990 - AUGMENTED REALITY MEDICAL PROCEDURE AID | 9 |
Amir Laor | IL | Kibbutz Dan | 2015-10-15 / 20150292838 - ARMOR COUPLER | 3 |
Ilan Laor | IL | Casearea | 2013-05-16 / 20130122982 - METHOD, CIRCUIT, DEVICE, SYSTEM, AND CORRESPONDING COMPUTER READABLE CODE FOR FACILITATING COMMUNICATION WITH AND AMONG INTERACTIVE DEVICES | 1 |
Marit Laos | EE | Tallinn | 2015-12-31 / 20150374677 - ANALOGS OF PRIDOPIDINE, THEIR PREPARATION AND USE | 2 |
Rémi Laoubi | FR | Grenoble | 2016-05-12 / 20160130133 - MEMS-SENSOR | 1 |
Damya Laoui | BE | Limal | 2015-11-26 / 20150335770 - TARGETING AND IN VIVO IMAGING OF TUMOR-ASSOCIATED MACROPHAGES | 4 |
Tahar Laoui | SA | Dhahran | 2015-10-01 / 20150280245 - METHOD FOR PRODUCING PT-FREE ELECTROCATALYSTS FOR FUEL CELLS AND BATTERIES | 8 |
Tahar Laoui | SA | Dhahran | 2015-10-01 / 20150280245 - METHOD FOR PRODUCING PT-FREE ELECTROCATALYSTS FOR FUEL CELLS AND BATTERIES | 8 |
Abdelazize Laoui | US | Bridgewater | / - | 1 |
Nikolaos Laoutaris | ES | Madrid | 2014-01-09 / 20140013321 - METHOD FOR PROVIDING CLOUD COMPUTING RESOURCES | 3 |
Nikolaos Laoutaris | ES | Barcelona | 2013-05-23 / 20130132549 - METHOD AND A DEVICE FOR BULK DATA TRANSFER IN DELAY-TOLERANT NETWORKS | 2 |
Fouad Laoutid | FR | Ales Cedex | 2008-08-28 / 20080203348 - Firestop Material | 1 |
Fouad Laoutid | FR | Lambersart | 2015-06-25 / 20150175770 - Flame-Retardant and Fire-Resistant Polymer Compositions Made From Lime | 4 |
Reinier Niels Lap | NL | Surhuizum | 2013-01-10 / 20130008562 - INSTRUMENT, A SYSTEM AND A CONTAINER FOR PROVISIONING A DEVICE FOR PERSONAL CARE TREATMENT, AND A DEVICE FOR PERSONAL CARE TREATMENT WITH SUCH A CONTAINER | 3 |
Mario Lap | NL | Amsterdam | 2016-05-12 / 20160129060 - Composition for the treatment of neurobehavioral disorders | 1 |
Nguyen Lap | VN | Ho Chi Minh City | 2014-08-28 / 20140243198 - METHODS OF WEED CONTROL IN PINEAPPLE | 1 |
Chow Chi Lap | HK | Aberdeen | 2014-10-23 / 20140310989 - ARTICLE OF FOOTWEAR AND RELATED METHODS | 1 |
Nikolay L. Lapa | RU | Jaroslavl' | 2009-03-12 / 20090067706 - System and Method for Multiframe Surface Measurement of the Shape of Objects | 2 |
Dave Lapa | BE | Antwerpen | / - | 1 |
Yan N. Lapa | RU | District Of Chunsk | 2009-04-09 / 20090091581 - Combined Object Capturing System and Display Device and Associated Method | 1 |
Davy Lapa | BE | Antwerp | 2015-01-22 / 20150022801 - COMPUTER-IMPLEMENTED METHOD OF AND SYSTEM FOR TEACHING AN UNTRAINED OBSERVER TO EVALUATE A GEMSTONE | 2 |
Sergei Anatolievich Lapa | RU | Konakovo | 2010-10-14 / 20100261163 - Method for simultaneous detection of Mycobacterium tuberculosis complex and identification of mutations in mycobacterial DNA resulting in the resistance of microorganisms to rifampicin and isoniazid on biological microarrays, set of primers, biochip, and set of oligonucleotide probes used in the method | 1 |
Razvan Lapadat | US | Denver | 2012-07-12 / 20120177641 - Gefitnib Sensitivity-Related Gene Expression and Products and Methods Related Thereto | 2 |
Adriana Lapadatu | NO | Horten | 2015-07-23 / 20150206908 - FOCAL PLANE ARRAY AND METHOD FOR MANUFACTURING THE SAME | 3 |
Paul Lapadula | US | Brown Mills | 2014-07-31 / 20140209257 - Quick Fastening Assembly | 1 |
Len Lapadula | US | Rochester | 2012-11-08 / 20120283858 - COMPUTERIZED SYSTEM AND METHOD FOR MANAGING FANTASY SPORTS TEAM | 1 |
Anthony Lapadula | US | Hampstead | 2011-06-09 / 20110137570 - METHODS FOR STRUCTURAL ANALYSIS OF GLYCANS | 1 |
Gerard Lapadula | US | Piscataway | 2009-06-18 / 20090155151 - Methods and Systems Including CO Oxidation Catalyst With Low NO to NO2 Conversion | 1 |
Gerard D. Lapadula | US | New York | 2014-09-11 / 20140255284 - Base Metal Catalyst And Method Of Using Same | 2 |
Gerald Lapadula | US | Piscataway | 2009-04-02 / 20090087367 - Ammonia Oxidation Catalyst for Power Utilities | 1 |
Donato Lapadula | IT | Potenza | 2011-09-15 / 20110221091 - METHOD AND MOULD FOR THERMOFORMING AND FOLDING A PLASTIC SHEET | 1 |
Leonard John Lapadula, Iii | US | Rochester | 2014-04-24 / 20140114449 - Computerized System and Method for Mixing Multiple Sources of Sports Statistics Projections | 2 |
Mark George Lapage | US | Dudley | 2011-12-29 / 20110318286 - SPF ENHANCED EXTENDED COLOR BULK POWDERS AND METHODS OF MAKING THEREOF | 1 |
Albert A. Lapage | US | Beverly | 2015-01-15 / 20150018843 - ARTICULABLE SURGICAL INSTRUMENT | 1 |
Janine Lapage | US | Redondo Beach | 2014-05-15 / 20140135227 - USE OF PERIOSTIN AS A NOVEL BIOMARKER | 5 |
Matthew C. Lapaglia | US | Chandler | 2013-06-06 / 20130139345 - WIPER ATTACK ANGLE ADJUSTMENT TOOL | 1 |
Aniruddha Lapalikar | IN | Nagpur | 2011-05-19 / 20110118751 - Integrated Surgical Cutting System | 1 |
Aniruddha Lapalikar | IN | Dhantoli | 2015-06-11 / 20150157413 - INTEGRATED SURGICAL CUTTING SYSTEM | 1 |
Amiel Lapalme | CA | Laval | 2011-05-26 / 20110119860 - Auxiliary vacuum device for a central vacuum cleaning system | 1 |
Tristan Lapalme | CA | Granby | 2015-06-11 / 20150161817 - METHOD AND SYSTEM FOR PERFORMING RENDERING | 1 |
Jerome Lapalme | US | Parker | 2009-07-02 / 20090167629 - THREE-PRONG CLIP AND METHODS OF INSTALLATION | 1 |
Andre Lapalme | CA | Drummondvill | 2009-01-01 / 20090005173 - Method of Providing Gaming Addiction Control Solutions in a Gaming Establishment | 1 |
Jerome A. Lapalme | US | Aurora | 2016-03-10 / 20160072206 - REVERSE TAPER, ANTI-PULL OUT SUPPORT FEATURE FOR SURFACE MOUNT HDMI AND USB CONNECTORS | 3 |
Eric Lapalme | CA | St-Ours | 2012-10-04 / 20120248727 - TRAILER FOR TRANSPORTING FREIGHT CONTAINERS AND METHOD OF USE | 1 |
Rosa Lapalombella | US | Dublin | 2011-11-17 / 20110280913 - Methods and Compositions for Delivering Therapeutic Agents in the Treatment of B-Cell Related Disorders | 1 |
Peter Lapan | US | Arlington | 2009-01-29 / 20090029408 - Methods for Characterizing Cell Proximity | 1 |
Svetlana Lapan | US | Northbook | 2008-09-18 / 20080222810 - METHOD AND SYSTEM FOR PROVIDING CONVENIENTLY ACCESSIBLE RESTING AREA | 1 |
Svetlana Lapan | US | Northbrook | 2011-05-19 / 20110114053 - Actuator powered by fluid and method of forming the same | 2 |
Dmitri Lapanik | JP | Yokohama | 2014-01-09 / 20140011124 - METHOD AND SYSTEM FOR STENCIL DESIGN FOR PARTICLE BEAM WRITING | 5 |
Valeria La Parola | IT | Catania | 2016-01-28 / 20160024408 - ONE-POT PROCESS FOR THE PRODUCTION OF BIODIESEL AND GLYCEROL ETHER MIXTURES USEFUL AS BIOFUELS | 1 |
Ronald R. Laparre | US | Centerville | 2015-04-30 / 20150114199 - METHODS OF THERMO-MECHANICALLY PROCESSING TOOL STEEL AND TOOLS MADE FROM THERMO-MECHANICALLY PROCESSED TOOL STEELS | 3 |
Laure Lapasset | FR | Montpellier | 2014-02-27 / 20140056860 - METHOD FOR REJUVENATING CELLS | 1 |
Douglas G. Lapasta | US | Hawley | 2015-11-12 / 20150324750 - SYSTEM AND METHOD FOR EVALUATING JOB CANDIDATES | 5 |
Ronald H. Lapat | US | Sudbury | 2016-01-28 / 20160028155 - ELECTRONICALLY RECONFIGURABLE, PIECEWISE-LINEAR, SCALABLE ANALOG MONOPULSE NETWORK | 1 |
Walter Peter Lapatovich | US | Boxford | 2014-12-25 / 20140375203 - INDUCTION RF FLUORESCENT LAMP WITH HELIX MOUNT | 14 |
Walter P. Lapatovich | US | Boxford | 2014-10-30 / 20140320003 - LAMP, PROCESS FOR MAKING AND USE OF SAME | 11 |
Walter P. Lapatovich | US | Boxford | 2014-10-30 / 20140320003 - LAMP, PROCESS FOR MAKING AND USE OF SAME | 11 |
Thomas G. Lapcevic | US | Pittsburgh | 2010-11-04 / 20100280891 - SYSTEM AND METHOD FOR BRANDING A FACILITY | 1 |
Randall K. Lapcevich | US | Dickerson | 2013-01-17 / 20130017573 - PREPARATION OF PROTECTIVE ANTIGEN | 3 |
Tetyana Lapchenko | DE | Heidenheim | 2010-08-12 / 20100199698 - REFRIGERATION DEVICE | 1 |
Anatoliy Lapchuk | KR | Suwon-Si | 2010-01-28 / 20100020288 - PROJECTION DISPLAY APPARATUS | 8 |
Anatoliy Lapchuk | KR | Suwon-Si | 2010-01-28 / 20100020288 - PROJECTION DISPLAY APPARATUS | 8 |
Anatoliy Lapchuk | KR | Suwon | 2008-09-11 / 20080218699 - DISPLAY DEVICE HAVING PLURALITY OF LIGHT SOURCES AND USING DIFFRACTIVE LIGHT MODULATOR, CAPABLE OF REDUCING SPECKLES | 1 |
Zdenek Lapcik | CZ | Velehrad | 2013-02-21 / 20130043224 - PLASMA TORCH AND COMPONENTS | 1 |
Brock Matthew Lape | US | Clifton Park | 2016-04-14 / 20160102961 - UNEVEN SURFACE DEFLECTION AND AMPLITUDE MEASUREMENT TOOL | 8 |
Brock M. Lape | US | Clifton Park | 2011-08-18 / 20110198793 - CART SUPPORT SYSTEM FOR DYNAMOELECTRIC MACHINE COILS | 11 |
Nancy K. Lape | US | Upland | 2013-12-19 / 20130333404 - SAFE AND COMPACT MACHINE FOR RAPIDLY PRODUCING FROZEN CONFECTIONS | 1 |
Brock Matthew Lape | US | Clifton Park | 2016-04-14 / 20160102961 - UNEVEN SURFACE DEFLECTION AND AMPLITUDE MEASUREMENT TOOL | 8 |
Adam Benjamin Lapede | US | Los Altos | 2016-03-03 / 20160066270 - MULTI-MODAL WIRELESS CONNECTION MANAGEMENT | 1 |
Alan S. Lapedes | US | Los Alamos | 2013-10-17 / 20130273103 - POLYVALENT IMMUNOGEN | 1 |
David Alan Lapen | US | Peoria | 2009-12-31 / 20090320640 - Variable inertia flywheel | 1 |
Nieves Lapena | ES | Madrid | 2015-07-09 / 20150190987 - FIRE RESISTANT SUSTAINABLE AIRCRAFT INTERIOR PANELS | 3 |
Nieves Lapena-Rey | ES | Madrid | 2015-09-10 / 20150252480 - Chromium-free Conversion Coating | 3 |
Silvia Lapenna | IT | Livorno | 2014-02-27 / 20140057349 - Steroidal Ligands and Their Use in Gene Switch Modulation | 2 |
Jose Carlos Lapenna | BR | Itu | 2008-09-04 / 20080210619 - Constructive disposition applied to sample collector for the purposes of feces parasitological exam | 1 |
Caterina Lapenta | IT | Florence | 2009-02-12 / 20090041792 - Dendritic cells, uses therefor, and vaccines and methods comprising the same | 1 |
Christophe Laperche | FR | La Chapelle Des Fougerets | 2010-01-28 / 20100018154 - THERMOFORMING MACHINE FOR PACKAGE CONTROL AND MAKING | 1 |
Sylvain Roger Laperche | CH | Basel | 2011-12-29 / 20110318454 - NUTRITIONAL BEVERAGE AND A METHOD OF MAKING THE SAME | 1 |
Jean-Claude Laperche | FR | Colomiers | 2015-03-26 / 20150088341 - Control system for an aircraft | 1 |
Ronen Laperdon | IL | Kiriat Tivon | 2011-01-06 / 20110004473 - APPARATUS AND METHOD FOR ENHANCED SPEECH RECOGNITION | 1 |
Ronen Laperdon | IL | Modi'In | 2014-09-11 / 20140257820 - METHOD AND APPARATUS FOR REAL TIME EMOTION DETECTION IN AUDIO INTERACTIONS | 5 |
Pierre Laperle | CA | St-Augustin-De-Desmaures | 2014-09-18 / 20140270637 - DUAL-INDEX OPTICAL PUMP STRIPPER ASSEMBLY | 2 |
Maxime Laperle | CA | Sherbrooke | 2011-03-03 / 20110049205 - SYSTEM FOR MOUNTING A BOX OR BAG TO A VEHICLE | 1 |
Charles Laperle | CA | Kanata | 2015-12-31 / 20150381281 - HIGH SPEED SIGNAL GENERATOR | 3 |
Jean-Nicolas Laperle | CA | Montreal | 2016-05-05 / 20160126914 - ADVANCED COMMUNICATION EARPIECE DEVICE AND METHOD | 4 |
Lucy Laperna | US | New Albany | 2015-09-10 / 20150254403 - Electronic Medical Record Interface | 1 |
Joseph D. Laperna | US | Redmond | 2012-07-19 / 20120184120 - DUAL SERIAL BUS INTERFACE | 1 |
Renaud Laperriere | FR | Aix Les Bians | 2011-08-04 / 20110191003 - SKID STEER MACHINE WITH AUTOMATIC OPERATING RATIO CHANGE SYSTEM | 1 |
Jean-Francois Laperriere | CA | Prevost | 2015-12-17 / 20150360116 - LEG PAD FOR A HOCKEY PLAYER | 8 |
Luc Laperriere | CA | Quebec | 2013-11-07 / 20130294573 - METHOD OF AND APPARATUS FOR CONTINUOUS WAVE TOMOSYNTHESIS USING PHOTON COUNTING | 2 |
Luc Laperriere | CA | Saint-Laurent, | 2013-05-16 / 20130121478 - RADIATION DETECTOR CALIBRATION USING VOLTAGE INJECTION | 1 |
Leo Laperriere | CA | Laval | 2011-07-14 / 20110170580 - SIGNAL PROCESSING UNIT AND METHOD, AND CORRESPONDING TRANSCEIVER | 1 |
Sarah Laperriere | US | Hampstead | 2013-03-21 / 20130068716 - Storage Container for Electrical Components | 2 |
Jean-Francois Laperriere | CA | Prevost | 2015-12-17 / 20150360116 - LEG PAD FOR A HOCKEY PLAYER | 8 |
Joseph Matthew Laperriere, Iii | US | Chesterfield | 2011-12-01 / 20110290949 - FITTING ASSEMBLY HAVING A RETAINING CLIP | 2 |
John Lapetina | US | Los Altos Hills | 2016-03-17 / 20160073914 - Wrist-Mounted Electrocardiography Device | 4 |
John Lapetina | US | San Francisco | 2014-01-23 / 20140022163 - WEARABLE DEVICE WITH INPUT AND OUTPUT STRUCTURES | 4 |
John F. Lapetina | US | San Francisco | 2012-02-16 / 20120041371 - ACCESS DEVICE WITH BLUNTING DEVICE | 2 |
Oleg P. Lapets | US | Allison Park | 2010-07-01 / 20100166287 - Neuronal Profiling | 1 |
Adrien Lapeyre | FR | Evreux | 2010-12-16 / 20100316795 - POLYAMIDE-BASED POWDER AND ITS USE FOR OBTAINING AN ANTIBACTERIAL COATING | 1 |
Robert S. Lapeyre | US | New Orleans | 2015-03-12 / 20150068223 - RAINFALL CHILLER | 11 |
François Lapeyre | FR | La Force | 2015-02-26 / 20150053646 - MACHINE AND METHOD TO CHEMICALLY ENGRAVE A PLATE OF STAINLESS STEEL | 1 |
Robert S. Lapeyre | US | New Orleans | 2015-03-12 / 20150068223 - RAINFALL CHILLER | 11 |
Didier Lapeyre | FR | Chaignes | 2010-05-27 / 20100131056 - MECHANICAL PROSTHETIC HEART VALVE | 1 |
Andre C. Lapeyre | US | Rochester | 2009-10-08 / 20090254038 - INTRODUCER APPARATUS WITH CUTTING EDGES | 1 |
Todd M. Lapeyrouse | US | Pittsburgh | 2013-11-14 / 20130299166 - SOLIDS WASTE, SOLIDIFICATION MATERIAL MIXING AND CONVEYANCE UNIT | 1 |
Willard J. Lapeyrouse | US | Houma | 2013-03-07 / 20130058798 - HYDRAULIC OIL WELL PUMPING APPARATUS | 3 |
Paul Lapham | GB | Wear | 2011-06-16 / 20110141474 - MEASUREMENT METHOD | 1 |
Andrew Lapham | US | Grandville | 2013-01-31 / 20130028702 - RETRACTABLE CONTAINER WITH SUPPORT LEGS | 1 |
Michael Lapham | US | Punta Gorda | 2011-10-27 / 20110260127 - Tree mounted hoist | 1 |
John R. Lapham | US | Fort Mayers | 2012-01-19 / 20120016521 - AUTOMATION EQUIPMENT CONTROL SYSTEM | 1 |
Kyle Allen Lapham | US | San Francisco | 2016-02-18 / 20160045918 - HIGH-THROUGHPUT SAMPLE PROCESSING SYSTEMS AND METHODS OF USE | 1 |
John R. Lapham | US | Fort Myers | 2013-10-24 / 20130282176 - AUTOMATION EQUIPMENT CONTROL SYSTEM | 1 |
Martin Lapham | US | Rye | 2016-05-19 / 20160137379 - PACKAGES FOR AND METHODS OF PACKAGING FOOD PRODUCTS | 2 |
Donald Lapham | US | Lockport | 2015-02-05 / 20150037209 - STERILIZATION METHOD | 2 |
Katherine Lapham | US | White Lake | 2015-03-26 / 20150082788 - WASTEGATE VALVE POSITION CORRECTION | 1 |
Michael L. Lapham | US | Midland | 2014-07-03 / 20140187692 - Impact-Modified Styrenic Polymers Containing Brominated Vinyl Aromatic-Butadiene Copolymer | 1 |
Thomas Lapham | US | Carnation | 2010-02-11 / 20100031426 - PORTABLE HELMET | 1 |
Paul Lapham | GB | Tyne And Wear | 2011-06-16 / 20110139182 - DETERGENT USE | 1 |
Andrew T. Lapham | US | Milford | 2014-06-19 / 20140172731 - FLOOR PLAN CREATION AND WORKER ASSIGNMENT | 1 |
Shannon Lee Lapham | US | Nathrop | 2016-05-19 / 20160136056 - MEDICATION ALARM, DISPENSER AND RECORDS ARCHIVE SYSTEM AND APPARATUS | 1 |
Donald S. Lapham, Iii | US | Lockport | 2010-01-28 / 20100021558 - Dilute Aqueous Peracid Solutions and Stabilization Method | 2 |
Suzanne Lapi | US | St. Louis | 2011-04-07 / 20110079108 - Method and apparatus for isolating the radioisotope molybdenum-99 | 2 |
Enrico Lapi | IT | Santa Croce S/a | 2011-02-10 / 20110034834 - Assisting Device For Adopting The Correct Posture Of Lower Limbs And For Pursuing Walking Activity | 1 |
Suzanne Lapi | US | San Francisco | 2008-10-02 / 20080241025 - Method and apparatus for isolating 186Rhenium | 1 |
Suzanne Lapi | US | Saint Louis | 2014-12-04 / 20140356282 - 100Mo compounds as accelerator targets for production of 99mTc | 1 |
Monty Lapica | US | Las Vegas | 2012-10-04 / 20120253906 - AUTOMATED PAYMENT SYSTEM PROVIDING DISCOUNTED PRICING FOR VARIABLY PRICED GOODS OR SERVICES | 1 |
Inbal Sarah Lapid | US | Bloomington | 2014-11-27 / 20140350455 - Intraluminal Activation System And Method Of Activating An Inactive Agent | 1 |
Yael Lapid | IL | Tel Aviv | 2009-12-17 / 20090310668 - METHOD, APPARATUS AND SYSTEM FOR CONCURRENT PROCESSING OF MULTIPLE VIDEO STREAMS | 1 |
Adam Lapid | IL | Shoham | 2008-10-23 / 20080263348 - Dynamic asymmetric partitioning of program code memory in network connected devices | 1 |
Alexander Joseph Lapid | US | San Diego | 2013-09-26 / 20130251556 - POWER SYSTEM ARRANGEMENT | 2 |
Inbal Sarah Lapid | US | Tempe | 2010-01-21 / 20100016882 - RECOVERABLE INFERIOR VENA CAVA FILTER | 1 |
Terence Jeffery Lapidge | AU | New South Wales | / - | 1 |
Terence Jeffery Lapidge | AU | Killarney Heights | / - | 1 |
Steven Lapidge | AU | South Australia | 2014-09-11 / 20140255460 - NITRITE SALTS AS POISONS IN BAITS FOR OMNIVORES | 2 |
Polina Lapido | IL | Rishon Le Zion | 2016-02-25 / 20160051944 - SOLVENT AND ACID STABLE MEMBRANES, METHODS OF MANUFACTURE THEREOF AND METHODS OF USE THEREOF INTER ALIA FOR SEPARATING METAL IONS FROM LIQUID PROCESS STREAMS | 2 |
Tsvee Lapidot | IL | Ness Ziona | 2012-02-23 / 20120045411 - SHORT BETA-DEFENSIN-DERIVED PEPTIDES | 5 |
Doron Lapidot | JP | Tokyo | 2015-09-17 / 20150264803 - Electrical Connection Interface For Connecting Electrical Leads For High Speed Data Transmission | 10 |
Noa Lapidot | IL | Mevaseret Zion | 2016-05-12 / 20160130408 - METHODS FOR TREATING LIGNOCELLULOSIC MATERIALS | 7 |
Ido Lapidot | IL | Haifa | 2016-02-04 / 20160036826 - SECURE CONTENT PACKAGING USING MULTIPLE TRUSTED EXECUTION ENVIRONMENTS | 2 |
Horav Lapidot | IL | Tel Aviv | 2012-07-12 / 20120177196 - PROACTIVE SYSTEM AND METHOD FOR MONITORING AND GUIDANCE OF CALL CENTER AGENT | 1 |
Noa Lapidot | US | Mevaseret Zion | 2014-06-19 / 20140171379 - LIGNIN COMPOSITIONS, METHODS OF PRODUCING THE COMPOSITIONS, METHODS OF USING LIGNIN COMPOSITIONS, AND PRODUCTS PRODUCED THEREBY | 1 |
Shaul Lapidot | IL | Kibbutz Tzora | 2015-04-02 / 20150094452 - COMPOSITIONS COMPRISING FIBROUS POLYPEPTIDES AND POLYSACCHARIDES | 6 |
Tsvee Lapidot | IL | Nes-Ziona | 2016-05-05 / 20160122391 - SHORT BETA-DEFENSIN-DERIVED PEPTIDES | 1 |
Hovav Lapidot | IL | Kiryat Tivon | 2015-08-20 / 20150235159 - SYSTEM AND METHOD FOR CONTACT CENTER AGENT GUIDANCE WITH FEEDBACK | 1 |
Uriel Lapidot | CA | Vancouver | 2015-03-12 / 20150074532 - METHOD AND APPARATUS FOR CONTROLLING SURVEILLANCE SYSTEM WITH GESTURE AND/OR AUDIO COMMANDS | 1 |
Zvi Lapidot | IL | Rehovot | 2012-07-12 / 20120179369 - PERSONAL NAVIGATION SYSTEM | 3 |
Noa Lapidot | IL | Mevasseret Zion | 2012-12-20 / 20120321685 - COMPOSITIONS CONTAINING OILS HAVING A SPECIFIC GRAVITY HIGHER THAN THE SPECIFIC GRAVITY OF WATER | 6 |
Ori Lapidot | US | Parkland | 2013-06-06 / 20130144658 - System and Method for Bundling of Homeowner Insurance and a Home Service Contract | 2 |
Miri Lapidot | IL | Meitar | 2009-01-08 / 20090010947 - Red Microalgae Expressing Exogenous Polypeptides And Methods Of Generating And Utilizing Same | 1 |
Shaul Lapidot | IL | Kibbutz Tzora Doar-Na Shimshon | 2010-12-16 / 20100317588 - COMPOSITIONS COMPRISING FIBROUS POLYPEPTIDES AND POLYSACCHARIDES | 2 |
Dror Lapidot | IL | Ganei Hadar | 2011-08-18 / 20110199861 - METHOD AND SYSTEM FOR DETECTING MOTORIZED OBJECTS | 2 |
Miri Miriam Lapidot | IL | Meitar | 2015-02-05 / 20150040268 - METHODS AND COMPOSITIONS FOR THE DELIVERY OF NUCLEIC ACIDS TO SEEDS | 1 |
Doron Lapidot | JP | Tokyo | 2015-09-17 / 20150264803 - Electrical Connection Interface For Connecting Electrical Leads For High Speed Data Transmission | 10 |
Shaul Lapidot | IL | M.p. Shimshon | 2013-05-23 / 20130131332 - METHOD FOR PRODUCTION OF CELLULOSE NANO CRYSTALS FROM CELLULOSE-CONTAINING WASTE MATERIAL | 1 |
Hovav Lapidot | US | Manhattan | 2010-01-21 / 20100017263 - CALL CENTER ANALYTICAL SYSTEM HAVING REAL TIME CAPABILITIES | 1 |
Hovav Lapidot | US | New York | 2012-10-18 / 20120263291 - ADAPTIVE, SELF-LEARNING OPTIMIZATION MODULE FOR RULE-BASED CUSTOMER INTERACTION SYSTEMS | 2 |
Eugene Lapidous | US | Saratoga | 2015-07-30 / 20150213236 - SYSTEMS AND METHODS FOR IMPLEMENTING SELF-DESTRUCTING CONTENT LINKS | 8 |
Stanley N. Lapidus | US | Bedford | 2015-10-15 / 20150292008 - SHORT CYCLE METHODS FOR SEQUENCING POLYNUCLEOTIDES | 10 |
Peter Lapidus | US | Boulder | 2013-05-02 / 20130106825 - VOLTAGE CONVERSION APPARATUS SUITABLE FOR A PIXEL DRIVER AND METHODS | 2 |
Marilyn Ruth Lapidus | US | Columbia | 2009-07-23 / 20090185772 - Fiberoptic patient health multi-parameter monitoring devices and system | 1 |
Stanley Lapidus | US | Bedford | 2015-04-23 / 20150111204 - Single Molecule Sequencing of Captured Nucleic Acids | 10 |
Stanly N. Lapidus | US | Bedford | 2014-02-13 / 20140045702 - SYSTEMS AND METHODS FOR DISTINGUISHING BETWEEN AUTISM SPECTRUM DISORDERS (ASD) AND NON-ASD DEVELOPMENT DELAY | 1 |
Paul Lapidus | US | Redding | 2011-01-20 / 20110011384 - LAUNCHING DEVICE AND METHOD | 1 |
Alla Lapidus | US | Walnut Creek | 2012-08-23 / 20120214221 - Methods For Monitoring Multiple Gene Expression | 3 |
Stanley Lapidus | US | Bedford | 2015-04-23 / 20150111204 - Single Molecule Sequencing of Captured Nucleic Acids | 10 |
Stanley N. Lapidus | US | Bedford | 2015-10-15 / 20150292008 - SHORT CYCLE METHODS FOR SEQUENCING POLYNUCLEOTIDES | 10 |
Alexander Lapidus | US | Bothell | 2012-08-30 / 20120217197 - END OF WATER PURIFICATION CARTRIDGE LIFE APPARATUS | 1 |
Stanley N. Lapidus | US | Lexington | 2015-10-15 / 20150294081 - METHODS AND SYSTEMS FOR DETERMINING AUTISM SPECTRUM DISORDER RISK | 1 |
Neil N. Lapidus | US | 2012-12-20 / 20120323749 - SYSTEM AND METHOD FOR DATA PRIVACY AND CATEGORIZATION OF SALES AND USE TAX DATA | 1 | |
Rena Lapidus | US | Baltimore | 2015-08-20 / 20150231176 - Compositions and Methods for the Treatment of Cancers | 5 |
Randall R. Lapierre | US | Hooksett | 2012-11-22 / 20120293381 - WIDE BAND EMBEDDED ARMOR ANTENNA | 1 |
Stephane Lapierre | FR | Sallanches | 2013-10-31 / 20130289751 - Method of Operating a Home-Automation Installation | 3 |
Jackie Lapierre | US | Oakland | 2012-01-12 / 20120008668 - Wireless Digital Subscriber Line Device having Reduced RF Interference | 1 |
Lorette Lapierre | FR | Ambronay | 2014-10-02 / 20140293036 - BIO-IMAGING METHOD AND SYSTEM | 2 |
Oksana Lapierre | US | Deerfield | 2015-11-05 / 20150314949 - PACKAGING AND METHOD OF OPENING | 1 |
Camille Lapierre | NO | Bergen | 2016-01-07 / 20160001336 - AUTONOMOUS CLEANING DEVICE FOR SEISMIC STREAMERS AND METHOD | 1 |
Roger E. Lapierre | US | Oakland | 2012-03-29 / 20120078203 - Antimicrobial injection port barrier cover | 1 |
Ronald Aaron Lapierre | US | Laverne | 2013-12-19 / 20130339180 - COLLECTION CREATOR AND ORGANIZER FOR SOCIAL MEDIA | 1 |
Diane Lapierre | US | Plant City | 2015-03-12 / 20150068465 - Litter Box Fence | 1 |
Dominic Lapierre | CA | Rigaud | 2015-08-27 / 20150242294 - Network Test System | 2 |
Christopher Lapierre | FR | Jonage | 2014-01-23 / 20140023808 - Process for the manufacture of tanks having high barrier properties toward fluids | 1 |
Serge Lapierre | FR | Bollene | 2011-07-28 / 20110182707 - Equipment for Handling Packs of Tires | 1 |
Andre Lapierre | US | Woodland | 2014-04-17 / 20140102862 - LUG LOADER | 1 |
Leighton Lapierre | US | Wilmington | 2014-08-21 / 20140236244 - THERAPY DEVICE WITH FIXATED DISTRACTION DISTANCE | 2 |
Hilde Lapierre | BE | Zomergem | 2016-05-19 / 20160135382 - HOLDER AND METHOD FOR THE COMMERICIAL GROWING, STORAGE, TRANSPORTATION AND/OR SALE OF MUSHROOMS | 1 |
Christophe Lapierre | FR | Jonage | 2011-01-27 / 20110020594 - FIBER-FILLED POLYAMIDE COMPOSITIONS AND MOLDED ARTICLES SHAPED THEREFROM | 2 |
Francois Lapierre | CA | Quebec | 2013-03-21 / 20130068631 - METHOD AND APPARATUS FOR SANITIZING WATER IN A BATHING UNIT AND CONTROL INTERFACE FOR USE IN CONNECTION WITH SAME | 1 |
Alexandre Lapierre | CA | Longueuil | 2015-02-26 / 20150053152 - BOILER WITH INTEGRATED ECONOMIZER | 1 |
Marc Lapierre | CA | Brossard | 2013-08-29 / 20130227416 - DEVICE FOR LOGGING, EDITING AND PRODUCTION OF VIDEO PROGRAMS FOR ACTIVITIES OF LOCAL INTEREST | 2 |
Regis Lapierre | FR | Marck | 2009-07-02 / 20090166084 - ELECTRICAL BUSHING FOR A SUPERCONDUCTOR ELEMENT | 1 |
Camille Lapierre | CA | Quebec | 2010-02-25 / 20100049361 - MEDICATION DISPENSING SYSTEM AND METHOD | 1 |
Renee M. Lapierre | US | Plantsville | 2011-10-27 / 20110263734 - Blowing agents formed from nanoparticles of carbonates | 1 |
Jean-Marc Lapierre | US | Pelham | 2016-03-10 / 20160067260 - Compositions and Methods for Treating Proliferation Disorders | 19 |
M. Alexandre Lapierre | CA | Longueuil | 2010-12-30 / 20100326373 - BOILER WITH IMPROVED HOT GAS PASSAGES | 1 |
Philippe Lapierre | FR | Valentigney | 2015-04-16 / 20150101710 - Method for Low-Pressure Carbonitriding Using a Reduced Temperature Gradient in an Initial Nitridation Phase | 3 |
Jimmy Lapierre | CA | Sherbrooke | 2015-06-04 / 20150154967 - LOW BITRATE AUDIO ENCODING/DECODING SCHEME HAVING CASCADED SWITCHES | 2 |
Catherine Lapierre | FR | Neauphle Le Chateau | 2012-07-26 / 20120192312 - Production of Plants with Reduced Lignin Content | 1 |
Randall R. Lapierre | US | Manchester | 2011-11-03 / 20110267998 - METHOD AND APPARATUS FOR ELIMINATION OF DUPLEXERS IN TRANSMIT/RECEIVE PHASED ARRAY ANTENNAS | 1 |
Philippe Lapierre | CA | Montreal | 2015-10-15 / 20150289583 - SKATE AND METHOD OF MANUFACTURE | 2 |
Andrew Lapierre | CA | Mont-Royal | 2012-10-11 / 20120259567 - INTELLIGENT BATTERY PACK | 1 |
Stephen R. Lapierre | US | Union City | 2013-10-17 / 20130272298 - VOICE OVER NETWORK (VoN)/VOICE OVER INTERNET PROTOCOL (VoIP) ARCHITECT HAVING HOTLINE AND OPTIONAL TIE LINE | 1 |
Leighton J. Lapierre | US | Wilmington | 2014-09-18 / 20140277499 - SPINAL IMPLANTS AND IMPLANTATION SYSTEM | 4 |
Gilles Lapierre | FR | Marsannay Ia Cote | 2009-04-16 / 20090096187 - FOLDABLE JOINTED BICYCLE | 1 |
Todd M. Lapierre | US | Middleton | 2015-04-02 / 20150089918 - GAS TURBINE LUBRICATION SYSTEMS | 3 |
Anthony Lapierre | FR | Juan Les Pins | 2015-02-05 / 20150039355 - CONTRACT NUMBER ALLOCATION FOR TRAVEL INDUSTRY TRANSACTIONS | 1 |
Jeffrey Lapierre | US | Santa Cruz | 2012-04-19 / 20120094721 - METHOD AND APPARATUS FOR SHARING OF DATA BY DYNAMIC GROUPS | 1 |
Caroline Lapierre | FR | Itteville | 2015-03-19 / 20150075380 - ACTIVATED-CARBON FILTER WITH STORAGE VOLUME FOR A FUEL TANK | 1 |
Scott G. Lapierre | US | Houston | 2010-10-21 / 20100268468 - Method and Apparatus for Geobaric Analysis | 2 |
Jimmy Lapierre | CA | Orford | 2011-08-18 / 20110202355 - Audio Encoding/Decoding Scheme Having a Switchable Bypass | 3 |
Andre Lapierre | US | Vancouver | 2015-12-24 / 20150368046 - LUG LOADER | 1 |
Patrice Lapierre | CA | Montreal | 2009-03-05 / 20090064342 - SENSITIVITY-ENABLED ACCESS CONTROL MODEL | 1 |
Stéphane Lapierre | FR | Sallanches | 2013-10-31 / 20130289751 - Method of Operating a Home-Automation Installation | 2 |
Dawn Marie Lapietra | US | Rochester | 2010-12-09 / 20100309528 - HIGH PRODUCTIVITY SINGLE PASS SCANNING SYSTEM | 1 |
Lynn M. La Pietra | US | Rochester | 2014-12-25 / 20140376798 - RIB ENHANCEMENT IN RADIOGRAPHIC IMAGES | 2 |
Brett D. Lapin | US | Alexandria | / - | 1 |
Andrei Lapin | RU | Moscow | 2009-05-28 / 20090138320 - PRODUCT CATALOG MANAGEMENT SYSTEM AND METHOD | 1 |
Ivan Lapin | DE | Essen | 2010-09-02 / 20100218459 - METHOD AND DEVICE FOR PACKAGING TIRES | 1 |
Kyle Lapin | US | Ft Wayne | 2012-09-20 / 20120239156 - REVISION GLENOID DEVICE AND METHOD | 1 |
Dennis Lapin | US | Orlando | 2015-08-06 / 20150218023 - Method and Apparatus for the Treatment of Water with a Gas or Nutrient Infused Liquid | 1 |
Yuri Lapin | US | Newark | 2015-02-19 / 20150050659 - PROTECTED FLUORESCENT REAGENT COMPOUNDS | 1 |
Stephen C. Lapin | US | Waterford | 2014-09-25 / 20140287201 - Durable, Heat Resistant, Erasable Release Coatings, Release Coated Substrates, and Their Methods of Manufacture | 4 |
Olga V. Lapina | US | Clifton Park | 2014-04-10 / 20140100372 - SYNTHESIS OF CHIRALLY PURIFIED SUBSTITUTED BENZOTHIAZOLE DIAMINES | 3 |
Olga Lapina | US | Newark | 2015-06-25 / 20150175625 - CRYSTALLINE FORMS OF AN ANTIVIRAL COMPOUND | 1 |
Viktoria Alexeevna Lapina | BY | Minsk | 2010-01-21 / 20100015097 - METHOD OF MAKING SORBENT, THE SORBENT OBTAINED BY THIS METHOD AND THE USES OF THE SORBENT AS FEED ADDITIVE AND MEDICINE | 1 |
Olga Lapina | US | Clifton Park | 2016-03-31 / 20160090358 - SYNTHESIS OF A NEUROSTIMULATIVE PIPERAZINE | 2 |
Victoria Lapina | BY | Minsk | 2012-04-12 / 20120088229 - SURFACE PLASMON RESONANCE SENSOR | 1 |
Olga Viktorovna Lapina | US | Newark | 2015-12-17 / 20150361085 - SOLID FORMS OF AN ANTIVIRAL COMPOUND | 2 |
Olga V. Lapina | US | Newark | 2015-08-13 / 20150225383 - SOLID FORMS OF AN ION CHANNEL MODULATOR | 1 |
Pamela Lapine | US | Los Gatos | 2015-04-09 / 20150100628 - System and Method for Message Dispatching and Communication | 1 |
Rick Lapine | US | Irvington | 2015-02-05 / 20150034654 - SHAPE MEMORY HANDLES AND INSULATORS | 2 |
Anthony Lapine | US | Los Gatos | 2015-04-09 / 20150100628 - System and Method for Message Dispatching and Communication | 2 |
Jay Lapine | US | Duluth | 2011-03-03 / 20110053684 - PARI-MUTUEL GAME | 1 |
Seth Lapine | US | Stamford | 2011-09-01 / 20110210135 - Lockable Lid for Container | 1 |
Paul Lapine | US | Laguna Niguel | / - | 1 |
Eric Michael Lapine | US | Fairfax | 2009-07-23 / 20090186117 - Stress-Reducing Device and a Method of Using Same | 1 |
Nicholas James Laping | US | Chadds Ford | 2013-04-25 / 20130101667 - Cyclohexylamines | 3 |
Nicholas James Laping | US | West Chester | 2014-12-25 / 20140378511 - PYRIDINE DERIVATIVES | 2 |
Nicholas J. Laping | US | King Of Prussia | 2009-11-12 / 20090281110 - Method of Treatment | 1 |
Nicholas James Laping | US | Malvern | 2015-10-15 / 20150290182 - USE OF AMINOINDANE COMPOUNDS IN TREATING OVERACTIVE BLADDER AND INTERSTITIAL CYSTITIS | 4 |
Jonathan Stephen Lapington | GB | Pwllheli | 2012-11-22 / 20120293192 - CHARGE READ-OUT STRUCTURE FOR A PHOTON / PARTICLE DETECTOR | 1 |
Petteri Lapinlampi | FI | Espoo | 2009-10-01 / 20090247893 - METHOD AND APPARATUS FOR MEASURING RESPONSIVENESS OF A SUBJECT | 1 |
Ingrid Lapins | US | Renton | 2013-04-11 / 20130091083 - BATTERY ADAPTIVE LEARNING MANAGEMENT SYSTEM | 2 |
Mark Andrew Lapins | AU | Canning Vale | 2015-08-06 / 20150220337 - DEVICE, SYSTEM AND METHOD FOR CONTROLLING AN OPERATION | 1 |
Melania Lapinski | US | Mechanicville | 2015-12-03 / 20150344740 - RELEASE MODIFIER COMPOSTION | 1 |
Mark P. Lapinski | US | Aurora | 2015-11-05 / 20150315102 - PROCESS FOR PRODUCING A FEED STREAM FOR A STEAM CRACKER | 26 |
Grzegorz Lapinski | IE | Balgriffin | 2010-07-22 / 20100184440 - MOBILE DEVICE NETWORK SELECTION | 1 |
Melania Lapinski | US | Malta | 2012-02-09 / 20120034470 - Low Coefficient of Friction Coating for Metallic Surfaces | 1 |
Mark P. Lapinski | US | Aurora | 2015-11-05 / 20150315102 - PROCESS FOR PRODUCING A FEED STREAM FOR A STEAM CRACKER | 26 |
Anne E. Lapinski | US | Mount Prospect | 2014-09-18 / 20140267364 - Color-matching tool for virtual painting | 1 |
Keith Lapinski | US | St. Petersburg | 2015-04-30 / 20150120373 - SYSTEMS AND METHODS FOR RISK PROCESSING AND VISUALIZATION OF SUPPLY CHAIN MANAGEMENT SYSTEM DATA | 1 |
Gregory J. Lapinski | US | Portland | 2014-07-03 / 20140184711 - Method To Reduce Surface Resistivity Of A Release Agent Applicator In A Printing Apparatus | 2 |
Matthew Jason Lapinski | US | Skillman | 2016-03-03 / 20160059392 - UNIVERSAL SCREWDRIVER | 1 |
Craig F. Lapinski | US | Sparta | 2012-08-16 / 20120206890 - METHOD FOR INTEGRATION OF CIRCUIT COMPONENTS INTO THE BUILD-UP LAYERS OF A PRINTED WIRING BOARD | 2 |
Mark Paul Lapinski | US | Aurora | 2013-01-17 / 20130015103 - REFORMING CATALYST AND PROCESSAANM Lapinski; Mark PaulAACI AuroraAAST ILAACO USAAGP Lapinski; Mark Paul Aurora IL USAANM Barger; PaulAACI Arlington HeightsAAST ILAACO USAAGP Barger; Paul Arlington Heights IL US | 2 |
Bryan Charles Lapinski | US | Trumbull | 2013-07-25 / 20130189208 - SOFT SOLID ANTIPERSPIRANT COMPOSITIONS | 1 |
Viktor Lapinskii | US | Austin | 2009-10-08 / 20090254875 - PROACTIVE ROUTING SYSTEM AND METHOD | 1 |
David Lapinsky | US | Allison Park | 2013-03-21 / 20130072539 - Anti-Cancer Tamoxifen-Melatonin Hybrid Ligand | 2 |
Michael Lapinsky | US | Seattle | 2015-02-12 / 20150046886 - GESTURE RECOGNITION | 4 |
Mike Lapinsky | US | Seattle | 2014-12-18 / 20140372677 - Wearable Device Assembly with Ability to Mitigate Data Loss Due to Component Failure | 1 |
Gennady Lapir | DE | Merzhausen | 2016-02-11 / 20160041987 - METHOD AND SYSTEM FOR EXTRACTION | 3 |
Karoly Lapis | CH | Chur | 2015-12-31 / 20150374776 - USE OF FERMENTED WHEAT GERM IN THE TREATMENT OF INFLAMMATORY BOWEL DISEASE | 1 |
Lapis Semiconductor Co., Ltd. | JP | Tokyo | 2013-07-25 / 20130186198 - GRAVITY AXIS DETERMINATION APPARATUS AND MOBILE TERMINAL APPARATUS USING THE SAME | 11 |
Yakov Lapitsky | US | Toledo | 2016-03-17 / 20160074516 - Ionically Crosslinked Polyelectrolytes as Underwater Adhesives as Controlled Release Vehicles | 4 |
Sandy Lapize | FR | Paris | 2015-10-15 / 20150289620 - HAIR STYLING APPLIANCE FOR FORMING CURLS WITH DIFFERENT SIZES | 1 |
Radek Lapkiewicz | AT | Vienna | 2015-06-25 / 20150177128 - QUANTUM IMAGING WITH UNDETECTED PHOTONS | 1 |
Alan J. Lapkin | US | Las Vegas | 2009-07-02 / 20090165698 - PORTABLE SAFETY FLAG DEVICE AND METHOD THEREFOR | 1 |
Sergey Alexandrovich Lapkovsky | US | 2015-04-30 / 20150114208 - Method for adjusting the parameters of a musical composition | 1 | |
Sergey Alexandrovich Lapkovsky | UA | Lutsk | 2015-04-30 / 20150114208 - Method for adjusting the parameters of a musical composition | 1 |
Christopher A. Lapkowski | CA | Calgary | 2012-03-08 / 20120060011 - ALLOCATING REGISTER HALVES INDEPENDENTLY | 2 |
Matthew Laplaca | US | Cumberland | 2016-04-07 / 20160095648 - ENDOMETRIAL LINING TISSUE TREATMENT DEVICE | 9 |
Michelangelo La Placa | IT | Roma | 2012-06-28 / 20120161981 - TRAFFIC LIGHT PREEMPTION MANAGEMENT SYSTEM | 2 |
Annabelle Laplace | FR | Avignon | 2014-08-07 / 20140219900 - PROCESS FOR PREPARING AN OXYHALOGENIDE AND/OR OXIDE OF ACTINIDE(S) AND/OR OF LANTHANIDE(S) FROM A MEDIUM COMPRISING AT LEAST ONE MOLTEN SALT | 1 |
Carl J. Laplace | US | Raleigh | 2013-01-10 / 20130010692 - Other Protocol Message Routing Over a Wireless Network of Metering Devices | 3 |
Cyprien Laplace | FR | Grenoble | 2015-04-30 / 20150116310 - METHOD AND SYSTEM TO VIRTUALIZE GRAPHIC PROCESSING SERVICES | 6 |
Christian Laplace | CA | Dollard Des Ormeaux | 2012-12-20 / 20120317930 - Apparatus and Methods for Inserting Food Products into Packages | 3 |
Diego Andres Laplagne | AR | Buenos Aires | 2009-04-02 / 20090087435 - Isolated Chimeric Proteins Of Modified Lumazine Synthase | 1 |
Thierry Michel Laplagne | FR | Cugnaux | 2015-12-03 / 20150349776 - HIGH SIDE DRIVER COMPONENT AND METHOD THEREFOR | 2 |
Thierry Laplagne | FR | Miremont | 2008-09-11 / 20080221759 - DRIVE ARRANGEMENT FOR ACTIVATING A CAR SAFETY DEVICE ACTIVATION ELEMENT | 1 |
Thierry Laplagne | FR | Cugnaux | 2015-08-27 / 20150241901 - POWER SUPPLY CONTROL DEVICE | 4 |
Benoît Laplaize | FR | Fougeres | 2009-12-31 / 20090325872 - USE OF ALPHA-LACTALBUMIN FOR REGULATIONS OF GLYCEMIA | 1 |
Benoît Laplaize | FR | Fougeres | 2009-12-31 / 20090325872 - USE OF ALPHA-LACTALBUMIN FOR REGULATIONS OF GLYCEMIA | 1 |
Benoît Laplaize | FR | Fougeres | 2009-12-31 / 20090325872 - USE OF ALPHA-LACTALBUMIN FOR REGULATIONS OF GLYCEMIA | 1 |
Yves Thomas Laplanche | FR | Crolles | 2014-01-23 / 20140022835 - CONTROLLING THE VOLTAGE LEVEL ON THE WORD LINE TO MAINTAIN PERFORMANCE AND REDUCE ACCESS DISTURBS | 5 |
Cedric Laplanche | FR | Saverne | 2014-10-23 / 20140311391 - AGRICULTURAL MACHINE WITH AN IMPROVED TERRAIN FOLLOWING FOR THE WORK ELEMENTS | 1 |
Loic Laplanche | DE | Ludwigshafen | 2016-01-07 / 20160002231 - NOVEL INHIBITOR COMPOUNDS OF PHOSPHODIESTERASE TYPE 10A | 5 |
Loic Laplanche | DE | Wiesbaden | 2016-05-19 / 20160137668 - NOVEL INHIBITOR COMPOUNDS OF PHOSPHODIESTERASE TYPE 10A | 3 |
Clement Laplane | FR | Vincennes | 2012-07-26 / 20120186826 - Intermediate Disconnection Tool to Be Placed in A Shuttle Lowered into A Well for Exploiting A Fluid, and Related Shuttle and Method | 2 |
Frederick Laplant | US | St.paul | 2012-05-17 / 20120122383 - DOATED ABRASIVE ARTICLE AND METHODS OF ABLATING COATED ABRASIVE ATRICLES | 1 |
Frederick P. Laplant | US | St. Paul | 2013-10-10 / 20130264730 - LASER CUTTING METHOD AND ARTICLES PRODUCED THEREWITH | 1 |
Michael J. Laplant | US | Buffalo | / - | 1 |
William J. Laplant | US | Buffalo | / - | 1 |
Steven Laplante | CA | Bois-Des-Filion | 2011-02-03 / 20110028464 - INHIBITORS OF HUMAN IMMUNODEFICIENCY VIRUS REPLICATION | 2 |
Jacques Laplante | US | Niles | 2012-11-29 / 20120298684 - CIGARETTE BLANK DISPENSING APPARATUS | 9 |
Maxime Laplante | CA | Sherbrooke | 2015-11-19 / 20150329013 - Seat Adjustment System | 2 |
Steven R. Laplante | CA | Laval | 2013-08-08 / 20130203747 - INHIBITORS OF HUMAN IMMUNODEFICIENCY VIRUS REPLICATION | 1 |
Timothy J. Laplante | US | Columbus | 2011-05-12 / 20110112348 - PROCESS FOR MAKING STYRENE USING MIRCOHANNEL PROCESS TECHNOLOGY | 1 |
Raymond Laplante | CA | Val D'Or | 2012-04-19 / 20120090894 - LOCKING DEVICE FOR A DRILLING DEVICE | 1 |
Michael Laplante | CA | Montreal | 2008-12-11 / 20080306087 - Therapeutic Agents | 2 |
Jacques Laplante | US | Niles | 2012-11-29 / 20120298684 - CIGARETTE BLANK DISPENSING APPARATUS | 9 |
Nicole Evelyn Laplante | US | Amsterdam | 2014-12-11 / 20140363412 - PLATELET ACTIVATION USING LONG ELECTRIC FIELD PULSES | 1 |
Jeff Laplante | US | White Lake | 2015-07-30 / 20150211812 - HEAT EXCHANGER INLET TANK WITH INMOLDED INLET RADIUS FEATURE | 1 |
Rick Laplante | US | Powell | 2010-10-21 / 20100269096 - CREATION, GENERATION, DISTRIBUTION AND APPLICATION OF SELF-CONTAINED MODIFICATIONS TO SOURCE CODE | 1 |
Sylas Laplante | US | Potsdam | 2010-09-09 / 20100224027 - METHOD FOR PRODUCING DISPERSED, CRYSTALLINE, STABLE TO OXIDATION COPPER PARTICLES | 1 |
Michael Joseph Laplante | US | Lowell | 2010-08-05 / 20100192337 - SHOE LACE PROTECTOR | 1 |
Timothy J. Laplante | US | Powell | 2014-10-02 / 20140291204 - PROCESS AND APPARATUS EMPLOYING MICROCHANNEL PROCESS TECHNOLGOY | 2 |
Mark E. Laplante | US | Tampa | 2015-02-05 / 20150039380 - SYSTEMS AND METHODS FOR CONVERTING SALES OPPORTUNITIES TO SERVICE TICKETS, SALES ORDERS, AND PROJECTS | 1 |
Sylvio Laplante | CA | Quebec | 2015-09-10 / 20150253267 - Liquid Metal Cleanliness Analyzer | 1 |
Yvon Laplante | CA | Valcourt | 2009-06-04 / 20090141507 - LIGHTING FIXTURE CHANNEL WITH DIFFUSER | 1 |
Steven Laplante | CA | Laval | 2014-10-02 / 20140296228 - INHIBITORS OF HUMAN IMMUNODEFICIENCY VIRUS REPLICATION | 3 |
Michael F. Laplante | US | Chicopee | 2012-11-08 / 20120279384 - FIREARM FIRE CONTROL SELECTOR | 2 |
Paulita M. Laplante | US | Inver Grove Heights | 2016-02-04 / 20160029900 - METHOD AND SYSTEM FOR ASSESSING SEVERITY AND STAGE OF PERIPHERAL ARTERIAL DISEASE AND LOWER EXTREMITY WOUNDS USING ANGIOSOME MAPPING | 4 |
Robert P. Laplante | US | Gilford | 2011-07-07 / 20110163507 - Shaft Seal with Lubrication Device | 2 |
Mark Laplante | US | Vergennes | 2012-04-26 / 20120099339 - LIGHT ENGINE MODULE AND SYSTEM INCLUDING SAME | 2 |
Paulita Laplante | US | Inver Grove Heights | 2011-06-23 / 20110152638 - CARDIOGRAPHY SYSTEM AND METHOD USING AUTOMATED RECOGNITION OF HEMODYNAMIC PARAMETERS AND WAVEFORM ATTRIBUTES | 2 |
Mark J. Laplante | US | Montgomery | 2009-01-08 / 20090008020 - GREENSHEET VIA REPAIR/FILL TOOL | 2 |
Jean-Paul Laplante | US | Endwell | 2008-11-27 / 20080290005 - CONFIGURABLE INTELLIGENT CONVEYOR SYSTEM AND METHOD | 1 |
Tony Laplante | GB | Aberdeen | 2011-10-13 / 20110248495 - CONNECTOR AND METHOD OF MAKING A CONNECTION | 1 |
Jeffrey P. Laplante | US | Minneapolis | 2016-05-19 / 20160135952 - HYPOTUBE SHAFT WITH ARTICULATION MECHANISM | 1 |
Jeffrey Paul Laplante | US | Minneapolis | 2013-11-07 / 20130297013 - HYPOTUBE SHAFT WITH ARTICULATION MECHANISM | 1 |
Jean M. Laplante | US | Oriskany | 2015-06-11 / 20150159277 - ELECTROLESS NICKEL COATINGS AND COMPOSITIONS AND METHODS FOR FORMING THE COATINGS | 2 |
Lance C. Laplante | US | Irving | 2015-06-25 / 20150180750 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR SERVICE PROCESSING | 2 |
François Laplante | CA | Chicoutimi | 2014-03-20 / 20140076733 - DRY CELL START-UP OF AN ELECTROLYTIC CELL FOR ALUMINUM PRODUCTION | 1 |
Jose M. Laplaza | US | Plymouth | 2012-06-28 / 20120164697 - POLYPEPTIDES AND BIOSYNTHETIC PATHWAYS FOR THE PRODUCTION OF STEREOISOMERS OF MONATIN AND THEIR PERCURSORS | 1 |
Jose Laplaza | US | San Diego | 2011-02-24 / 20110045547 - ISOMERASES AND EPIMERASES AND METHODS OF USING | 1 |
Jose Miguel Laplaza | US | Carlsbad | 2012-07-19 / 20120184020 - ENGINEERED MICROORGANISMS WITH ENHANCED FERMENTATION ACTIVITY | 6 |
Jose Laplaza | US | Plymouth | 2011-01-27 / 20110020882 - AMINOTRANSFERASE AND OXIDOREDUCTASE NUCLEIC ACIDS AND POLYPEPTIDES AND METHODS OF USING | 1 |
Jose Laplaza | US | Carlsbad | 2015-12-17 / 20150361024 - PURIFICATION OF POLYCARBOXYLIC ACIDS | 1 |
Florentin Laplume | CA | Chicoutimi | 2011-05-12 / 20110108231 - Feeding System for Semi-Solid Metal Injection | 1 |
Lotan Laplume | US | St. Jospeh | 2013-12-26 / 20130340307 - PLURALITY OF SPORT TEAM TILES | 1 |
Jon Laplume | US | Virginia Beach | 2014-07-17 / 20140196203 - Modular Armor Supplement Apparatus And System With Silent Fasteners And Adjustability | 1 |
Nicolas Laplume | AR | Buenos Aires | 2015-03-19 / 20150082271 - SYSTEM AND METHOD FOR PROVIDING AN EDITOR FOR USE WITH A BUSINESS PROCESS DESIGN ENVIRONMENT | 1 |
Donald A. Lapoint | US | St. Louis | 2014-09-18 / 20140266887 - MOBILE RADAR SYSTEM | 2 |
Daniel Paul Lapoint | US | Memphis | 2014-09-18 / 20140280920 - METHOD AND SYSTEM FOR REMOTELY MONITORING DEVICE STATUS AND INTERNET CONNECTIVITY ON A COMPUTER NETWORK | 1 |
Jean Lapoint | US | Lowell | 2014-07-17 / 20140197180 - HEATED MUG | 1 |
Garry L. Lapoint | US | Woodburn | 2014-04-24 / 20140109977 - OVERFLOW VALVE PREVENTION SYSTEM | 1 |
Garry L. Lapoint | US | Aurora | 2015-08-13 / 20150225228 - OVERFLOW VALVE PREVENTION SYSTEM | 1 |
David A. Lapoint | US | Los Angeles | 2008-10-09 / 20080246361 - POWER GENERATION DEVICE | 1 |
Lawrence Charles Lapointe | AU | West Pennant Hills, Nsw | 2010-11-18 / 20100292094 - METHOD OF DIAGNOSING NEOPLASMS | 1 |
Pierre Lapointe | US | North Dartmouth | 2012-04-12 / 20120085763 - Liquid Supply Assembly | 1 |
Anne Lapointe | US | Sunnyvale | 2008-11-27 / 20080293899 - Methods For Oligomerizing Olefins | 2 |
Doreen Lapointe | US | Hooksett | 2010-05-06 / 20100112892 - Doll and pillow case | 1 |
Marcel Lapointe | CA | Laval | 2008-10-23 / 20080260016 - Fully Adaptive Equalization for High Loss Communications Channels | 1 |
Andre Lapointe | CA | St-Alexandre De Kamouraska | 2015-07-30 / 20150210409 - DOUBLE STACKER SYSTEM AND METHOD | 1 |
Andre Lapointe | CA | St-Simon-Les-Mines | 2009-01-08 / 20090007988 - FLOOR PLANKS PRODUCTION MACHINES AND METHOD | 2 |
Pierre Lapointe | US | Plaineville | 2013-03-14 / 20130062343 - STRAINER DISPENSER AND METHOD OF USE | 1 |
Lawrence Charles Lapointe | AU | West Pennant Hills | 2016-05-12 / 20160130657 - METHOD OF DIAGNOSING NEOPLASMS | 4 |
Michael J. Lapointe | US | Poughkeepsie | 2008-10-02 / 20080243859 - Information Self-Storage System | 1 |
Lawrence C. Lapointe | AU | New South Wales | 2011-04-28 / 20110098189 - METHOD OF DIAGNOSING NEOPLASMS - II | 1 |
Maurice L. Lapointe | US | Ellicott City | 2013-02-28 / 20130048929 - Battery Powered Winch | 2 |
Andre Lapointe | CA | Saint-Simon-Les-Mines | 2008-09-04 / 20080210339 - Automatic floor board classification method and system therefor | 1 |
Pierre Lapointe | US | Plainville | 2014-03-27 / 20140084001 - Liquid Supply Assembly | 2 |
Réjean Lapointe | CA | Laval | 2013-04-04 / 20130085260 - METHOD FOR THE IDENTIFICATION OF T CELL EPITOPES | 1 |
Ellen Louise Lapointe | US | Oakland | 2011-06-02 / 20110130247 - RHYTHM ROPE | 5 |
Thomas Lapointe | US | Manchester | 2012-05-24 / 20120124724 - Garbage Disposal Sink Drain Safety Device | 1 |
Larry P. Lapointe | US | Temperance | 2015-12-10 / 20150351546 - FURNITURE MEMBER SEATBACK LINKAGE WITH SELECTABLE FASTENER LOCATIONS FOR ADJUSTING SEATBACK ANGLE | 33 |
Stephen L. Lapointe | US | Vero Beach | 2013-07-25 / 20130189222 - IDENTIFICATION AND SYNTHESIS OF A MALE-PRODUCED PHEROMONE FOR THE NEOTROPICAL ROOT WEEVIL DIAPERPES ABBREVIATUS (COLEOPTERA: CURCULIONIDAE) | 1 |
Anne M. Lapointe | US | Sunnyvale | 2009-06-04 / 20090143222 - METHODS OF USING HETEROCYCLE-AMINE LIGANDS, COMPOSITIONS, COMPLEXES, AND CATALYSTS | 3 |
Philippe Lapointe | FR | Pau | 2015-09-10 / 20150253461 - METHOD FOR DETERMINING A KARSTIC REGION | 1 |
Aaron Lee Lapointe | US | Torrington | 2013-11-14 / 20130299535 - Multiple Item Carrying Assembly | 1 |
Jerome P. Lapointe | US | Oakland | 2015-02-12 / 20150044708 - Methods for Detecting Oncofetal Fibronectin | 3 |
Jean Lapointe | CA | Ottawa | 2011-09-15 / 20110223688 - GRATING-BASED EVANESCENT FIELD MOLECULAR SENSOR USING A THIN SILICON WAVEGUIDE LAYER | 1 |
Robert E. Lapointe | US | Ithaca | 2015-10-22 / 20150299083 - PROCESS FOR PRODUCTION OF ACRYLATES FROM EPOXIDES | 7 |
Robin Lapointe | CA | Dollard Des Ormeaux | 2014-02-13 / 20140042782 - Retractable car seat sunshade | 1 |
Jean-Francois Lapointe | CA | Montreal | 2011-01-06 / 20110002994 - METHOD OF REGULATING THE TH17 PATHWAY AND ITS ASSOCIATED METABOLIC IMPACT | 1 |
France Lapointe | CA | Gatineau | 2015-12-24 / 20150370879 - GRAPHICAL USER INTERFACE FOR MODELING DATA | 1 |
Leon A. Lapointe | US | Columbus | 2015-10-22 / 20150300297 - EXHAUST SYSTEM FOR SPARK-IGNITED GASEOUS FUEL INTERNAL COMBUSTION ENGINE | 10 |
Lawrence Charles Lapointe | AU | New South Wales | 2015-06-04 / 20150152505 - METHOD OF SCREENING FOR COLORECTAL CANCER | 2 |
David Lapointe | CA | Pointe Claire | 2008-12-25 / 20080317200 - Method and System for Low Radiation Computed Tomography | 1 |
Robin Lapointe | CA | Pierrefonds | 2012-02-16 / 20120036635 - Baby Carrier Rocker | 1 |
Leon A. Lapointe | US | Columbus | 2015-10-22 / 20150300297 - EXHAUST SYSTEM FOR SPARK-IGNITED GASEOUS FUEL INTERNAL COMBUSTION ENGINE | 10 |
Candace M. Lapointe | US | Temperance | 2009-05-21 / 20090130944 - Teething toy | 1 |
Jean-Francois Lapointe | CA | Laval | 2013-01-31 / 20130029906 - METHOD OF REGULATING PPAR, OBESITY RELATED PATHWAYS AND THEIR ASSOCIATED METABOLIC IMPACT | 1 |
Christopher M. Lapointe | US | Allston | 2013-07-04 / 20130174014 - SYSTEMS AND METHODS FOR CONFIGURING A MOBILE-OPTIMIZED WEBSITE | 2 |
Jean-Guy Lapointe | CA | Repentigny | 2012-09-20 / 20120237886 - Portable Catalytic Drying Apparatus | 2 |
Larry R. Lapointe | US | Temperance | 2009-03-05 / 20090057519 - Furniture member swivel base | 1 |
Steven H. Lapointe | US | Plainwell | 2010-09-09 / 20100228455 - TRANSMISSION CONTROL MODULE WITH VALVE CONTROL | 1 |
Christopher P. Lapointe | US | Madison | 2016-05-19 / 20160138012 - RNA Tagging | 1 |
Lawrence Lapointe | AU | West Pennant Hills | 2015-05-21 / 20150141275 - DIAGNOSTIC GENE MARKER PANEL FOR COLORECTAL CANCER | 1 |
Éric Lapointe | CA | Montreal (quebec) | 2015-09-17 / 20150262509 - PORTABLE DEVICE WITH VIRTUAL TACTILE KEYBOARD AND REFRESHABLE BRAILLE DISPLAY | 1 |
Frederic Lapointe | CA | Quebec | / - | 1 |
Robert E. Lapointe | US | Syracuse | 2011-10-20 / 20110257296 - TUNABLE POLYMER COMPOSITIONS | 1 |
Vanessa Lapointe | CA | Ottawa | 2010-04-08 / 20100086906 - Obstructed Delivery Simulation System | 1 |
Lawrence C. Lapointe | AU | Kings Langley, New South Wales | 2009-12-31 / 20090325810 - DETECTION METHOD | 1 |
Jean Lapointe | CA | Laval | 2010-10-21 / 20100266337 - FLEXIBLE DELINEATOR POST | 1 |
Brian Lapointe | US | South Dennis | 2016-04-07 / 20160095394 - FLEXIBLE JEWELRY TETHER FOR SECURING AND ORIENTING JEWELRY | 2 |
Lawrence Charles Lapointe | AU | North Ryde | 2015-01-08 / 20150010951 - METHOD OF MICROVESICLE ENRICHMENT | 1 |
Blair T. Lapointe | US | Brookline | 2015-08-06 / 20150218169 - 3-AMINOCYCLOALKYL COMPOUNDS AS RORgammaT INHIBITORS AND USES THEREOF | 5 |
Rejean Lapointe | CA | Laval | 2013-04-04 / 20130085260 - METHOD FOR THE IDENTIFICATION OF T CELL EPITOPES | 4 |
Lawrence C. Lapointe | AU | West Pennant Hills | 2014-09-25 / 20140287940 - METHOD OF DIAGNOSING NEOPLASMS - II | 1 |
Nichole E. Lapointe | US | Santa Barbara | 2016-02-04 / 20160031978 - COMPOSITION AND METHOD FOR PREVENTING OR TREATING A TAUOPATHY | 2 |
Pierre Lapointe | US | Marlborough | 2015-12-17 / 20150360812 - STRAINER DISPENSER AND METHOD OF USE | 1 |
Jean-Luc Lapointe | FR | Jarny | 2011-09-15 / 20110223441 - VERY HIGH MECHANICAL STRENGTH STEEL AND METHOD FOR PRODUCING A SHEET OF THIS STEEL COATED WITH ZINC OR ZINC ALLOY | 1 |
Steeve Lapointe | CA | Levis | 2012-02-09 / 20120031743 - MODULAR CONVEYOR BELT | 2 |
Denis Lapointe | CA | Saint-Simon-Les-Mines | 2014-05-29 / 20140147229 - Extension fastener for portable tool | 1 |
Richard T. La Pointe | US | San Diego | 2010-04-01 / 20100081513 - SPORT AND GAME TARGET NET | 1 |
Lukasz Lapok | PL | Slaskie | 2011-07-14 / 20110172437 - Perfluorophthalocyanine Molecules and Methods of Synthesis | 2 |
Lukasz Lapok | PL | Piekary Slaskie | 2015-12-24 / 20150368194 - System and Method for Fluoralkylated Fluorophthalocyanines with Aggregating Properties and Catalytic Driven Pathway for Oxidizing Thiols | 3 |
Carrie A. Laponza | US | Los Angeles | 2014-03-13 / 20140075294 - Panoptic Visualization Of A Document According To The Structure Thereof | 1 |
Giovanni Maria Laporta | GB | Hertfordshire | 2008-11-06 / 20080272085 - Bottle Stopper | 1 |
Giovanni Laporta | DE | Fuerth | 2014-08-28 / 20140239799 - FLAT EMITTER | 1 |
Victor Laporta | US | Northbrook | 2013-10-31 / 20130284603 - Method and Apparatus for Plating Metal Parts | 2 |
David Laporta | US | Matawan | 2015-12-10 / 20150359072 - PILING LIGHT | 3 |
Guido Laporta | US | Dalton | 2016-04-14 / 20160100831 - METHODS AND INSTRUMENTS FOR SUBCHONDRAL TREATMENT OF OSTEOARTHRITIS IN A SMALL JOINT | 2 |
Thomas Laporta | US | Fort Lauderdale | 2014-06-26 / 20140174487 - SHOWERHEAD CLEANING AND DISINFECTING SYSTEM AND METHOD | 2 |
Giovanni Maria Laporta | GB | Hertsfordshire | 2009-10-01 / 20090241609 - SASH LOCKING SYSTEM | 1 |
Arthur La Porta | US | Silver Springs | 2016-04-28 / 20160115779 - Active Magnetic Azimuthal Toolface for Vertical Borehole Kickoff in Magnetically Perturbed Environments | 1 |
Antonio La Porta | CH | Kilchberg | 2014-01-30 / 20140029888 - ELECTRO-OPTICAL ASSEMBLY FOR SILICON PHOTONIC CHIP AND ELECTRO-OPTICAL CARRIER | 2 |
Antonio La Porta | CH | Zurich | 2013-11-28 / 20130315530 - METHOD OF MANUFACTURING A THREE DIMENSIONAL PHOTONIC DEVICE BY TWO PHOTON ABSORPTION POLYMERIZATION | 1 |
Antonio La Porta | CH | Rueschlikon | 2016-04-28 / 20160116689 - ARRANGEMENT OF PHOTONIC CHIP AND OPTICAL ADAPTOR FOR COUPLING OPTICAL SIGNALS | 5 |
Francesco La Porta | DE | Koln | 2013-03-21 / 20130068216 - EXPANSION SYSTEM IN THE HEAT-TRANSFER-MEDIUM CIRCUIT OF A SOLAR-THERMAL POWER PLANT | 1 |
Elena La Porta | IT | Parma | 2013-04-25 / 20130102576 - DERIVATIVES OF 1-PHENYL-2-PYRIDINYL ALKYL ALCOHOLS AS PHOSPHODIESTERASE INHIBITORS | 3 |
Matthew G. Laporte | US | Honeybrook | 2016-02-25 / 20160052965 - Dimeric IAP Inhibitors | 13 |
Jean-François Laporte | CA | Laval | 2013-06-13 / 20130147347 - LED-BASED LIGHTING UNITS WITH SUBSTANTIALLY SEALED LEDS | 1 |
Pierre-Andre Laporte | CA | Gatineau | 2015-04-09 / 20150098526 - MULTI-BRANCH DOWN CONVERTING FRACTIONAL RATE CHANGE FILTER | 10 |
Wesley David Laporte | US | Provo | 2014-09-18 / 20140264075 - PORTABLE ELECTRONIC DEVICE SANITIZER | 1 |
Jeff A. Laporte | CA | Vancouver | 2008-11-27 / 20080293427 - SYSTEM AND METHOD FOR MOBILE ORIGINATED OPTIMAL CALL ROUTING | 3 |
Jean-Francois Laporte | CA | Laval | 2013-06-13 / 20130147347 - LED-BASED LIGHTING UNITS WITH SUBSTANTIALLY SEALED LEDS | 2 |
Jean-Francois Laporte | CA | Boisbriand | 2010-10-28 / 20100271829 - ORIENTABLE LENS FOR A LED FIXTURE | 4 |
Jeffrey A. Laporte | CA | Vancouver | 2012-10-04 / 20120254023 - SYSTEM AND METHOD FOR PROCESSING PREFERENTIAL ADMISSION OF INDIVIDUALS AND GROUPS TO PHYSICAL VENUES | 1 |
Pierre Laporte | CA | Ottawa | 2015-12-24 / 20150372830 - METHODS AND APPARATUS FOR ROUTING TCAP MESSAGES | 3 |
Patrick Laporte | FR | Colomieu | 2012-10-04 / 20120251283 - CONSTRUCTION EQUIPMENT MACHINE WITH IMPROVED BOOM SUSPENSION | 1 |
Stephane Laporte | CA | Outremont | 2012-12-06 / 20120309690 - PROSTAGLANDIN-F2 ALPHA RECEPTOR MODULATORS AND USES THEREOF | 1 |
Sylvain Laporte | FR | Nantes | 2016-02-11 / 20160039064 - SEALING SYSTEM FOR A TOOL HOLDER | 1 |
Claire Laporte | FR | Tours | 2014-10-02 / 20140292614 - WIDE-BAND COUPLER | 6 |
Brock D. Laporte | US | San Carlos | 2016-02-11 / 20160042072 - Active and Passive Personalization Techniques | 3 |
Pierre Laporte | CA | Kanata | 2013-09-19 / 20130242739 - Method And Apparatus For Sharing TCAP Traffic Load | 1 |
Regent Laporte | US | San Diego | 2012-08-02 / 20120196808 - Peptidic Vasopressin Receptor Agonists | 5 |
Alexandre Laporte | FR | Les Adrets | 2015-03-19 / 20150077122 - SAFETY DEVICE AND METHOD FOR AN ELECTRIC INSTALLATION | 1 |
Matthew G. Laporte | US | Honey Brook | 2014-11-06 / 20140329823 - Dimeric IAP Inhibitors | 4 |
John-Paul Laporte | US | Farmington Hills | 2013-09-12 / 20130234474 - VEHICLE BODY STRUCTURE | 2 |
Laurent H. Laporte | US | Lynnwood | 2009-11-26 / 20090292505 - Machine vibration baseline synthesizer | 1 |
Matthew G. Laporte | US | Honeybrook | 2016-02-25 / 20160052965 - Dimeric IAP Inhibitors | 13 |
Brent Laporte | US | Issaquah | 2009-10-22 / 20090261141 - ERGONOMIC STAPLER AND METHOD FOR SETTING STAPLES | 1 |
Richard Laporte | US | Collierville | 2009-07-09 / 20090172922 - CABLE TIE HAVING DETACHABLE TAIL | 2 |
Pierre Laporte | FR | Laffitte Vigordane | 2008-10-16 / 20080250852 - ELECTRONIC UNIT FOR MEASURING OPERATING PARAMETERS OF A VEHICLE WHEEL | 1 |
Sébastien Laporte | FR | Massy | / - | 1 |
Florent Laporte | FR | Toulouse | 2011-05-05 / 20110101168 - Method of Controlling an Aircraft in Flight, Especially to Reduce Wake Vortices | 2 |
Steffen Laporte | DE | Rodgau | 2010-08-12 / 20100204517 - Activated Base Metal Catalysts | 3 |
David A. Laporte | US | Livonia | 2008-12-04 / 20080300898 - Document processing services | 1 |
Vincent Laporte | FR | Maulaucene | 2012-03-29 / 20120073712 - MACHINABLE COPPER-BASED ALLOY AND METHOD FOR PRODUCING THE SAME | 1 |
Tedd Laporte | US | Canton | 2008-08-28 / 20080202472 - Fuel rail support bracket | 1 |
Brock Daniel Laporte | US | San Carlos | 2015-12-31 / 20150379146 - PEER-TO-PEER ACCESS OF PERSONALIZED PROFILES USING CONTENT INTERMEDIARY | 3 |
Pierre-Andre Laporte | CA | Gatineau | 2015-04-09 / 20150098526 - MULTI-BRANCH DOWN CONVERTING FRACTIONAL RATE CHANGE FILTER | 10 |
Richard B. Laporte | US | Collierville | 2013-04-25 / 20130098007 - Combination Liquid-Cooled Exhaust Manifold Assembly and Catalytic Converter Assembly For A Marine Engine | 1 |
Arnaud Laporte | FR | Moissy-Cramayel | 2016-03-17 / 20160076401 - BALL JOINT DEVICE FOR SUSPENDING A TURBINE ENGINE ON A PYLON OR SUSPENDING EQUIPMENT ON THE BODY OF THE TURBINE ENGINE | 1 |
Guillaume Laporte | FR | Triel Sur Seine | 2013-11-21 / 20130311010 - METHOD AND SYSTEM FOR PILOTING A FLYING CRAFT WITH REAR PROPULSION UNIT | 1 |
Brock Laporte | US | San Carlos | 2014-09-11 / 20140258824 - ENHANCED FAVORITES SERVICE FOR WEB BROWSERS AND WEB APPLICATIONS | 2 |
Sébastien Laporte | FR | Massy | / - | 1 |
Robert J. Laporte | US | Tucson | 2013-07-18 / 20130181086 - MITIGATION OF DRIFT EFFECTS IN SECONDARY INERTIAL MEASUREMENTS OF AN ISOLATED DETECTOR ASSEMBLY | 1 |
Karla Laporte | US | Redmond | 2011-12-08 / 20110300080 - ACIDIFIED CHLORITE DISINFECTANT COMPOSITIONS WITH OLEFIN STABILIZERS | 1 |
Steve R. Laporte | US | San Antonio | 2014-08-07 / 20140222101 - DETERMINATION OF SLEEP QUALITY FOR NEUROLOGICAL DISORDERS | 1 |
Serge Laporte | FR | Aurade | 2013-12-05 / 20130325219 - METHOD AND DEVICE FOR AUTOMATICALLY ESTIMATING A DEGRADATION IN FUEL CONSUMPTION AND IN DRAG OF AN AIRCRAFT | 2 |
Raphaël Laporte | FR | Bois Colombes | 2013-08-15 / 20130207680 - DEVICE FOR THE ELECTROMAGNETIC TESTING OF AN OBJECT | 2 |
Raphaël Laporte | FR | Bois Colombes | 2013-08-15 / 20130207680 - DEVICE FOR THE ELECTROMAGNETIC TESTING OF AN OBJECT | 2 |
Jean-Michel Laporte | FR | Biot | 2016-02-11 / 20160040966 - SHOOTING PRACTICE METHOD | 12 |
Cécile Laporte | CH | Yverdon-Les-Bains | 2014-06-12 / 20140160904 - METHOD AND SYSTEM FOR AUTHENTICATING A TIMEPIECE | 2 |
Alexis Laporte | FR | Toulouse | 2011-08-25 / 20110204179 - ENGINE PYLON FOR AIRCRAFT | 1 |
Zachary Laporte | US | Franklin | 2015-04-23 / 20150107417 - HAMMER | 2 |
Steve R. Laporte | US | Arden Hills | 2012-01-26 / 20120022340 - DETECTING SLEEP TO EVALUATE THERAPY | 1 |
Jean-Michel Laporte | FR | Biot | 2016-02-11 / 20160040966 - SHOOTING PRACTICE METHOD | 12 |
Jeffrey Laporte | CA | Vancouver | 2012-12-13 / 20120316950 - SYSTEM AND METHOD FOR AUGMENTATION OF RETAIL POS DATA STREAMS WITH TRANSACTION INFORMATION | 2 |
Matthew G. Laporte | US | Malvern | 2013-10-17 / 20130274278 - IAP INHIBITORS | 4 |
Christopher La Porte | CA | Charlie Lake | 2016-05-19 / 20160138826 - APPARATUSES AND METHODS FOR SUPPLYING NATURAL GAS TO A FRAC WATER HEATER | 1 |
Wesley David La Porte | US | Morgan Hill | 2013-03-14 / 20130063922 - Portable Electronic Device Sanitizer | 1 |
Michael La Porte | DE | Wuppertal | 2009-07-30 / 20090188286 - Cylinder Lock With Panel Tumblers and Key for the Lock | 1 |
Sherry Lynn La Porte | US | San Francisco | 2015-03-19 / 20150079088 - MULTISPECIFIC ANTIBODIES, MULTISPECIFIC ACTIVATABLE ANTIBODIES AND METHODS OF USING THE SAME | 2 |
Laure Laporte-Duchemin | FR | Morangis | 2015-11-19 / 20150328464 - ACTIVE IMPLANTABLE MEDICAL DEVICE WITH AUTOMATIC OPTIMIZATION OF THE CONFIGURATION OF A MULTI-ELECTRODE STIMULATION LEAD | 1 |
Marieke Laporte-Richard | FR | Sassenage | 2012-03-29 / 20120075980 - HIGH-RESOLUTION READ HEAD FOR AN OPTICAL DISK | 1 |
Enric Laporte Rosello | ES | Sabadell | 2015-07-02 / 20150184839 - LAMP AND PLENUM FOR LAMINAR AIR FLOW CEILING | 2 |
Enric Laporte Rosello | ES | Barcelona | 2013-02-21 / 20130046141 - SURGICAL INSTRUMENT FOR ENDOSCOPIC SURGERY | 2 |
Enric Laporte Rosello | ES | Sabadell (barcelona) | 2010-04-29 / 20100106825 - METHOD AND SYSTEM FOR MANAGING RELATED-PATIENT PARAMETERS PROVIDED BY A MONITORING DEVICE | 1 |
Jose Alberto Laporte-Uribe | NZ | Auckland | 2015-08-20 / 20150230727 - SYSTEM AND METHOD FOR IN-RUMEN MONITORING | 1 |
Hugues Laporte-Weywada | FR | Les Loges En Josas | 2014-04-24 / 20140110530 - Aerodynamic and Spatial Composite Flight Aircraft, and Related Piloting Method | 2 |
Aaron D. Laposky | US | Gaithersburg | 2012-09-13 / 20120231022 - GLP-1 RECEPTOR AGONIST COMPOUNDS FOR SLEEP ENHANCEMENT | 1 |
Marie Laposta | US | West Chester | 2015-01-29 / 20150032115 - FIXATION ASSEMBLY | 2 |
Marie Laposta | US | Troy | 2014-05-29 / 20140144930 - Reciprocating Metering Device | 1 |
Richard Laposta | US | Ramsey | 2015-10-29 / 20150305487 - Powered Skin Care Device | 3 |
David Paul Lapotin | US | Austin | 2010-05-20 / 20100125900 - Network Intrusion Protection | 1 |
Stephane Lapouge | FR | Arveyres | 2008-10-30 / 20080263835 - Device for Fixing a Stretched Fabric | 1 |
GaËlle Lapouge | BE | Bruxelles | 2010-12-30 / 20100330044 - MESP1 AS A MASTER REGULATOR OF MULTIPOTENT CARDIOVASCULAR PROGENITOR SPECIFICATION AND USES THEREOF | 1 |
GaËlle Lapouge | BE | Bruxelles | 2010-12-30 / 20100330044 - MESP1 AS A MASTER REGULATOR OF MULTIPOTENT CARDIOVASCULAR PROGENITOR SPECIFICATION AND USES THEREOF | 1 |
Anton V. Lapounov | US | Kirkland | 2009-12-31 / 20090328001 - UNLOADABLE MANAGED CODE | 2 |
Anton V. Lapounov | US | Redmond | 2012-02-16 / 20120042234 - XSLT/XPATH Focus Inference For Optimized XSLT Implementation | 1 |
Robert Lapp | DE | Nuernberg | 2015-04-30 / 20150117738 - CT SYSTEM WITH COMPUTER UNIT AND METHOD FOR RECONSTRUCTING AND DIAGNOSING VISUAL CT RENDERINGS | 3 |
Tiffany R. Lapp | US | Snohomish | 2009-06-18 / 20090153363 - METHOD AND SYSTEM FOR CALCULATING ALTITUDE ABOVE RUNWAY FOR AN AIRCRAFT | 2 |
Anthony Joseph Lapp | US | Houston | 2015-03-26 / 20150083509 - MODULAR ROBOTIC VEHICLE | 1 |
Samuel K. Lapp | US | Peach Bottom | 2010-11-25 / 20100293915 - Articulating Hay Rake | 1 |
Josef C. Lapp | US | Corning | 2012-09-20 / 20120234050 - HIGH-STRENGTH LAMINATED SHEET FOR OPTICAL APPLICATIONS | 2 |
David Ray Lapp | US | Boulder | 2015-11-19 / 20150332733 - APPARATUS WITH SEALED CAVITY | 1 |
Andreas Lapp | DE | Tamm | 2015-01-15 / 20150019042 - Device and Method for Operating a Vehicle | 1 |
Michael T. Lapp | US | Bloomfield | 2016-05-12 / 20160131075 - WELDED PISTON ASSEMBLY | 19 |
Oliver Lapp | DE | Zweibrücken | 2016-01-28 / 20160023868 - Lattice Mast Element, Lattice Boom Comprising at Least One Lattice Mast Element of this Type and Crane Comprising at Least One Lattice Boom of this Type | 1 |
William E. Lapp | US | La Crosse | 2014-12-11 / 20140360210 - ROLLING ELEMENT BEARINGS FOR AN OIL-FREE LIQUID CHILLER | 2 |
Thomas M. Lapp | US | Indianapolis | / - | 1 |
Marcial Lapp | US | Ann Arbor | 2014-01-30 / 20140032251 - DYNAMIC COST ANALYSIS AND OVERBOOKING OPTIMIZATION METHODS AND SYSTEMS | 6 |
Uwe Lapp | DE | Butzbach | 2012-04-05 / 20120080437 - SEALING MEANS FOR SEALING A VOLUME OF A MEDICAL TREATMENT ARRANGEMENT AGAINST ANOTHER VOLUME, AS WELL A S AN ARRANGEMENT AND A METHOD | 4 |
Oliver Lapp | DE | Wuppertal | 2010-12-16 / 20100316348 - Holding Apparatus for Splice Protection Devices with Splices, Accommodated in the Splice Protection Devices, of Optical Waveguides | 3 |
Jeffrey Lapp | US | Holland | 2011-05-12 / 20110107994 - Air intake apparatus | 1 |
Patrick Lapp | DE | Berlin | 2016-05-12 / 20160131362 - SECURING A HEAT SHIELD BLOCK TO A SUPPORT STRUCTURE, AND HEAT SHIELD | 3 |
Michael T. Lapp | US | Bloomfield | 2016-05-12 / 20160131075 - WELDED PISTON ASSEMBLY | 19 |
Siegbert Lapp | DE | Stuttgart | 2010-07-08 / 20100172618 - CABLE | 6 |
Robert Lapp | DE | Nurnberg | 2010-03-04 / 20100054567 - METHOD AND APPARATUS FOR INTERACTIVE CT RECONSTRUCTION | 1 |
David R. Lapp | US | Boulder | 2008-10-30 / 20080266708 - SINGLE PIECE AIR DIVERTER FOR A DATA STORAGE DEVICE | 1 |
Carol A. Lapp | US | Augusta | 2011-05-26 / 20110124720 - COMPOSITIONS AND METHODS FOR TREATING IMMUNE DISORDERS | 2 |
Joseph T. Lapp | US | Austin | 2014-10-02 / 20140298266 - FINGER-MAPPED CHARACTER ENTRY SYSTEMS | 1 |
Douglas Edward Lapp | US | Yorba Linda | 2012-03-15 / 20120066509 - MULTI-LEVEL SECURITY SOFTWARE ARCHITECTURE | 1 |
Theodore Raymond Lapp | US | Coto De Caza | 2016-03-03 / 20160063831 - Flame Detector Using Nearband IR Temporal Signal Processing | 1 |
Miika Lappalainen | DE | Berlin | 2010-03-18 / 20100070160 - METHOD FOR DETECTING DEFECTS IN NAVIGATION DATA | 3 |
Suvi Lappalainen | FI | Tampere | 2012-04-19 / 20120093884 - NOROVIRUS CAPSID AND ROTAVIRUS VP6 PROTEIN FOR USE AS COMBINED VACCINE | 1 |
Vesa Lappalainen | FI | Vantaa | 2013-04-11 / 20130089440 - LIQUID RING PUMP AND METHOD FOR OPERATING A LIQUID RING PUMP | 1 |
Markku Lappalainen | FI | Kempele | 2011-07-21 / 20110174029 - ELECTROMECHANICAL LOCK | 1 |
Reijo Lappalainen | FI | Hiltolanlahti | 2009-07-02 / 20090166343 - Method for Producing Surfaces and Materials by Laser Ablation | 1 |
Vesa Nmi Lappalainen | FI | Vantaa | 2010-02-11 / 20100031432 - VACUUM SEWAGE SYSTEM | 1 |
Reijo Lappalainen | FI | Hiltulanlahti | 2015-08-27 / 20150238106 - ARRANGEMENT AND METHOD FOR CARRYING OUT ELECTRODE MEASUREMENTS | 18 |
Maija Lappalainen | FI | Espoo | 2008-10-02 / 20080241818 - Method and microarray for detecting herpesviruses | 1 |
Kari Lappalainen | FI | Savonlinna | 2015-09-17 / 20150258475 - PRESSURE FILTER | 1 |
Anatoli Lappalainen | FI | Lahti | 2010-08-05 / 20100192424 - Screening, Crushing or Mixing Bucket | 1 |
Timo Lappalainen | FI | Jyvaskyla | 2012-06-28 / 20120164028 - LATERAL FLOW ASSAY TEST STRIP AND METHOD OF MAKING THE SAME | 1 |
Kristian Alexander Lappalainen | FI | Oulu | 2012-07-19 / 20120185420 - Adaptive Device Behavior in Response to User Interaction | 1 |
Jani Lappalainen | FI | Turenki | 2013-12-19 / 20130334157 - ARRANGEMENT FOR DAMPING OSCILLATION OF LOADING MEMBER IN CRANE | 1 |
Kari Lappalainen | FI | Jyvaskyla | 2009-05-07 / 20090116405 - Redundant Automation Data Communications Network | 1 |
Kristian Lappalainen | FI | Espoo | 2012-09-13 / 20120229255 - IDENTIFICATION DOCUMENT AND A METHOD OF PRODUCING | 1 |
Jouni Lappalainen | FI | Jokela | 2016-03-17 / 20160075536 - DRIVE MACHINE FOR AN ELEVATOR AND AN ELEVATOR | 4 |
Seppo Lappalainen | FI | Helsinki | 2015-10-01 / 20150280583 - BIDIRECTIONAL SWITCHED MODE POWER SUPPLY | 1 |
Minna Lappalainen | FI | Vaajakoski | 2013-09-12 / 20130235205 - METHOD FOR MONITORING WOOD HARVESTING, AND A SYSTEM | 1 |
Kari Lappalainen | FI | Espoo | 2009-03-26 / 20090082597 - PROCESS FOR PREPARING A COMPOUND | 1 |
Rolf Lappan | DE | Koeln | 2015-12-31 / 20150377376 - VALVE DEVICE FOR A HYDRAULIC CIRCUIT AND OIL PUMP CONTROL APPARATUS | 10 |
Rolf Lappan | DE | Köln | 2009-10-15 / 20090257924 - Device for the reduction of nitrogen oxides in the exhaust gas of internal combustion engines | 1 |
Rolf Lappan | DE | Koeln | 2015-12-31 / 20150377376 - VALVE DEVICE FOR A HYDRAULIC CIRCUIT AND OIL PUMP CONTROL APPARATUS | 10 |
Rolf Lappan | DE | Köln | 2009-10-15 / 20090257924 - Device for the reduction of nitrogen oxides in the exhaust gas of internal combustion engines | 1 |
Teuvo Lappänen | FI | Taavetti | 2010-06-03 / 20100132902 - PAPER AND METHOD FOR MAKING PAPER | 1 |
Teuvo Lappänen | FI | Taavetti | 2010-06-03 / 20100132902 - PAPER AND METHOD FOR MAKING PAPER | 1 |
Pelopidas Lappas | GR | Marousi | 2015-02-05 / 20150039684 - METHOD FOR RETRIEVING CONTENT BY A WIRELESS COMMUNICATION DEVICE HAVING FIRST AND SECOND RADIO ACCESS INTERFACES, WIRELESS COMMUNICATION DEVICE AND COMMUNICATION SYSTEM | 3 |
Theodoros Lappas | US | Riverside | 2014-04-17 / 20140108327 - SYSTEM AND METHOD FOR MINING TAGS USING SOCIAL ENDORSEMENT NETWORKS | 2 |
Courtney M. Lappas | US | Mclean | 2008-10-16 / 20080254037 - METHOD OF TREATING ISCHEMIA REPERFUSION INJURY BY INHIBING NKT CELL ACTIVITY | 1 |
David Paul Lappas | US | Hamilton | 2010-08-19 / 20100207619 - METHOD AND SYSTEM FOR INTEGRATING EDDY CURRENT INSPECTION WITH A COORDINATE MEASURING DEVICE | 1 |
Paul Lappas | US | San Francisco | 2016-04-07 / 20160098697 - System for Defining and Tracking Transactions of Mobile Devices | 3 |
Ingo Lappas | DE | Glashuetten | 2014-02-27 / 20140056789 - DENOX OF DIESEL ENGINE EXHAUST GASES USING A TEMPERATURE-CONTROLLED PRECATALYST FOR PROVIDING NO2 IN ACCORDANCE WITH THE REQUIREMENTS | 2 |
Tilman Lappchen | NL | Eindhoven | 2015-05-28 / 20150147273 - RADIOLABELED ANALOG(S) OF COMPOUND 0118 AND USE THEREOF IN CONNECTION WITH PET AND/OR SPECT IMAGING TO DETERMINE WHETHER A PHARMACEUTICAL CONTAINING COMPOUND 0118 IS A CANDIDATE CANCER TREATMENT FOR A PATIENT | 1 |
Dirk Lappe | DE | Karlsruhe/hohenwettersbach | 2011-11-24 / 20110287295 - POUCH-CELL BATTERY ARRANGEMENT AND CORRESPONDING PRODUCTION METHOD AND USE | 1 |
Ulrich Lappe | DE | Regensburg | 2014-06-26 / 20140178519 - BLOW MOULDING MACHINE WITH CLEANING SYSTEM | 18 |
Murray I. Lappe | US | Beverly Hills | 2015-08-13 / 20150227777 - SYSTEM FOR SECURING A CHAIN OF CUSTODY OF A SPECIMEN OF A DONOR | 4 |
Kathleen Abigail Lappe | US | Bowling Green | 2012-05-10 / 20120114811 - Citrus paper application sheet for applying to freshly exposed or cut surfaces of fruit to prevent browning | 1 |
Ulrich Lappe | DE | Regensburg | 2014-06-26 / 20140178519 - BLOW MOULDING MACHINE WITH CLEANING SYSTEM | 18 |
Murray Lappe | US | Beverly Hills | 2016-03-10 / 20160070865 - ELECTRONIC CUSTODY AND CONTROL SYSTEM FOR HUMAN ASSAY TEST SAMPLES | 2 |
Wayne Lappe | US | St. Louis | 2014-03-06 / 20140068033 - SYSTEMS, METHODS, AND ARTICLES OF MANUFACTURE TO MANAGE ALARM CONFIGURATIONS OF SERVERS | 1 |
Ulrich Lappe | DE | Neutraubling | 2015-03-12 / 20150069669 - APPARATUS FOR THE AFTER-COOLING OF BASES | 5 |
Susan E. Lappe | US | Riverwoods | 2016-05-05 / 20160122439 - ANTI-DLL4/VEGF DUAL VARIABLE DOMAIN IMMUNOGLOBULIN AND USES THEREOF | 4 |
Mark Lappe | US | La Jolla | 2014-05-22 / 20140140989 - Non-Platelet Depleting and Non-Red Blood Cell Depleting CD47 Antibodies and Methods of Use Thereof | 1 |
Aurelia Lappert | BE | Woluwe Saint Pierre | 2015-10-15 / 20150290142 - Multi-Day Patch for the Transdermal Administration of Rotigotine | 1 |
Antti Lappetelainen | FI | Espoo | 2015-01-22 / 20150026263 - Content Storing Device Query | 16 |
Antti Tuomas Lappetelainen | FI | Espoo | 2015-11-19 / 20150334523 - A METHOD, AN APPARATUS AND A SYSTEM FOR ESTIMATING A NUMBER OF PEOPLE IN A LOCATION | 7 |
Antti Tuomas Lappeteläinen | FI | Espoo | 2013-02-21 / 20130044741 - Redirecting of data traffic between wan and lan | 3 |
Antti Lappeteläinen | FI | Espoo | 2011-05-12 / 20110113138 - SEMANTICALLY ENHANCED SERVICE SWITCHING | 2 |
Eija Lappi | FI | Porvoo | 2008-10-30 / 20080269435 - Polymerization Process | 1 |
Todd R. Lappi | US | Atlanta | 2009-05-07 / 20090114669 - Sheet Product Dispenser | 2 |
Larry R. Lappi | US | Stillwater | 2008-10-09 / 20080248203 - Masking Article and Method of Masking of Substrate | 1 |
Todd Richard Lappi | US | Atlanta | 2016-02-04 / 20160030620 - AIR FRESHENER DISPENSERS, CARTRIDGES THEREFOR, SYSTEMS, AND METHODS | 1 |
Cory Lappi | US | Rochester | 2016-02-25 / 20160055101 - METHOD AND APPARATUS TO GENERATE ZERO CONTENT OVER GARBAGE DATA WHEN ENCRYPTION PARAMETERS ARE CHANGED | 5 |
Janne Lappi | FI | Lievestuore | 2009-10-15 / 20090258149 - Forming Section | 1 |
Douglas A. Lappi | US | Del Mar | 2011-02-03 / 20110028402 - SUBSTANCE P-SAPORIN (SP-SAP) CONJUGATES AND METHODS OF USE | 1 |
Wayne Roy Lappi | US | San Francisco | 2013-12-05 / 20130322633 - METHODS AND SYSTEMS FOR IDENTIFYING CONTENT TYPES | 1 |
Todd Lappin | US | San Francisco | 2014-03-13 / 20140075275 - GENERATING A USER-DEFINED SECTION OF A DIGITAL MAGAZINE FROM A SEARCH REQUEST | 1 |
Kyle Edward Lappin | US | Lake Zurich | 2016-03-31 / 20160089189 - INTRAMEDULLARY FRACTURE FIXATION DEVICES AND METHODS | 1 |
Kyle Lappin | US | Lake Zurich | 2015-07-23 / 20150202413 - DUAL TIP GUIDE WIRE | 1 |
Marc Russell Lappin | US | Cincinnati | 2014-09-11 / 20140251121 - ARMORED CAB FOR VEHICLES | 3 |
Kyle E. Lappin | US | Fort Wayne | 2016-04-07 / 20160095708 - MODIFIED GLENOID COMPONENTS AND METHODS OF INSTALLING SAME | 25 |
Kyle E. Lappin | US | Lake Zurich | 2013-11-28 / 20130317313 - SPREADING RETRACTOR | 1 |
Kyle Lappin | US | Fort Wayne | 2012-09-20 / 20120239043 - Combination Driver/Anti-Rotation Handle for Shoulder Arthroplasty | 3 |
Rick A. Lappin | US | Locust | 2011-03-31 / 20110073824 - RAILING SYSTEM AND COUPLING ELEMENT AND METHODS OF ASSEMBLY | 1 |
Kyle E. Lappin | US | Ft. Wayne | 2015-08-20 / 20150230928 - SYSTEM AND METHOD FOR IMPLATING A SECONDARY GLENOID PROSTHESIS | 2 |
Peter J. Lappin | US | Beverly | 2011-03-24 / 20110069404 - DETACHABLE MAGNIFIER APPARATUS | 1 |
Graham John Lappin | GB | Harrogate | 2010-05-13 / 20100120156 - QUANTIFICATION OF ANALYTES USING ACCELERATOR MASS SPECTROMETRY | 1 |
Graham John Lappin | GB | York | 2008-08-21 / 20080199396 - Radioisotope Labelled Biological Compositions, And Their Use In Accelerator Mass Spectrometry | 1 |
Jeremy Lappin | US | New York | 2013-05-02 / 20130110735 - METHOD TO FACILITATE ENGAGEMENT AND COMMUNICATION BETWEEN A COMPANY AND A RECRUITER INCLUDING A BOUNTY | 3 |
Jeremy Lappin | US | New York City | 2010-12-23 / 20100325059 - METHOD TO FACILITATE ENGAGEMENT OF A COMPANY WITH AN AVAILABLE POSITION | 2 |
Kyle E. Lappin | US | Fort Wayne | 2016-04-07 / 20160095708 - MODIFIED GLENOID COMPONENTS AND METHODS OF INSTALLING SAME | 25 |
Rick Lappin | US | New London | 2009-12-03 / 20090293401 - Panel For Covering A Wall With Uplock Engagement | 1 |
Michael R. Lappin | US | Fort Collins | 2008-11-20 / 20080286295 - USE OF RECOMBINANT ANTIGENS TO DETERMINE THE IMMUNE STATUS OF AN ANIMAL | 1 |
Jeremy S. Lappin | IL | Tel-Aviv | 2016-02-04 / 20160036872 - SYSTEMS AND METHODS FOR ORGANIZATIONAL COLLABORATION | 1 |
Peter Lappin | US | Geneva | 2015-12-17 / 20150359267 - Compression Undergarment | 1 |
Marc R. Lappin | US | Cincinnati | 2016-05-12 / 20160131458 - ARMORED CAB FOR LIGHT TACTICAL VEHICLES | 2 |
Kyle E. Lappin | US | Warsaw | 2014-01-02 / 20140005789 - Modified Glenoid Components and Methods of Installing Same | 2 |
Kyle Lappin | US | Ft Wayne | 2014-01-16 / 20140018927 - Revision Glenoid Device and Method | 3 |
Duane Lappinga | US | Palos Verdes Estates | 2011-06-30 / 20110161124 - METHOD AND SYSTEM FOR ENTERPRISE BUILDING AUTOMATION | 1 |
Juergen Lappoehn | DE | Gammelshausen | 2015-12-03 / 20150349451 - PLUG-IN CONNECTOR ARRANGEMENT | 14 |
Nicholas D. Lappos | US | Southlake | 2016-05-12 / 20160131765 - Method of Developing Flight Infrastructure in Conjunction with a Sale of an Aircraft | 2 |
Nicholas D. Lappos | US | Stratford | 2008-12-25 / 20080315035 - VARIABLE SPEED GEARBOX WITH AN INDEPENDENTLY VARIABLE SPEED TAIL ROTOR SYSTEM FOR A ROTARY WING AIRCRAFT | 1 |
Aude Lapprand | FR | Charenton-Le-Pont | 2015-05-21 / 20150140905 - METHOD FOR CUTTING-OUT A MULTI-LAYER OPHTHALMIC LENS | 3 |
Aude Lapprand | FR | Bernay | 2009-12-10 / 20090301595 - MULTI-LAYER STRUCTURE BASED ON FLUORIDE POLYMER FUNCTIONALISED BY IRRADIATION AND PVC | 1 |
Aude Lapprand | FR | Paris | 2011-09-29 / 20110232735 - THREE-LAYER FILM FOR A PHOTOVOLTAIC CELL | 4 |
John Wasem Laprade | US | Friday Harbor | 2013-12-19 / 20130334793 - Trailer Hitch Plate Systems and Methods | 2 |
Bruce N. Laprade | US | Holland | 2010-04-15 / 20100090098 - RESISTIVE GLASS STRUCTURES USED TO SHAPE ELECTRIC FIELDS IN ANALYTICAL INSTRUMENTS | 1 |
Maria Laprade | US | Palm Bay | 2010-02-04 / 20100031122 - Serially Concatenated Convolutional Code Decoder with a Constrained Permutation Table | 4 |
Paul Laprade | CA | Delson | 2015-12-17 / 20150360748 - ELECTRIC BICYCLE AND PROPULSION SYSTEM THEREFOR | 2 |
Jean-Paul Laprade | US | N. Smithfield | 2012-12-20 / 20120321860 - Process for Printing Wax Release Layer | 2 |
Jean-Paul Laprade | US | North Smithfield | 2013-03-21 / 20130071634 - HEAT-TRANSFER LABEL ASSEMBLY AND METHOD OF USING THE SAME | 4 |
Robert Laprade | US | Chanhassen | 2015-12-24 / 20150366696 - ORTHOPEDIC DEVICE FOR DYNAMICALLY TREATING THE KNEE | 1 |
Lisa Laprade | US | Lexington | 2015-11-12 / 20150322412 - ACETYL TRANSFERASES AND THEIR USE FOR PRODUCING CAROTENOIDS | 1 |
Armand Lapre | CA | St-Hubert | 2010-09-16 / 20100234980 - Multi-level parking lot and method | 1 |
Larry A. La Pree | US | Hartland | 2010-04-15 / 20100089138 - Portable Emissions Measurement Adapter Device | 1 |
Mary T. Lapres-Bilbrey | US | Brighton | 2013-04-11 / 20130086903 - ENGINE ASSEMBLY INCLUDING FLUID CONTROL TO BOOST MECHANISM | 1 |
Emilie Laprevotte | FR | Toulouse | 2015-03-19 / 20150079023 - COMBINATION THERAPY | 2 |
Scott B. Laprise | US | Arlington | 2015-11-12 / 20150327302 - SKYPOINT FOR MOBILE HOTSPOTS | 1 |
Vincent A Laprise | US | Macomb | 2015-12-31 / 20150382517 - RF AND EMF METER SHIELD | 1 |
Daniel Laprise | CA | Montmagny | 2011-06-30 / 20110154765 - INSULATED WALL | 3 |
Denis Laprise | US | Saratoga | 2013-12-12 / 20130332476 - VECTOR ROAD NETWORK SIMPLIFICATION | 2 |
Daniel Laprise | US | Montmagny | 2012-03-15 / 20120060430 - FOLDABLE ROOF FOR FOLDABLE HABITATION AND METHOD OF HANDLING AND STACKING FOLDABLE HABITATIONS | 1 |
Larry D. Laps | US | Canton | 2008-08-28 / 20080202235 - Sensor Assembly | 1 |
Andrew P. Lapsa | US | Seattle | 2010-11-18 / 20100288379 - PASSIVE BOUNDARY LAYER CONTROL ELEMENTS | 1 |
Larissa Lapshina | US | Shirley | 2016-03-24 / 20160086606 - Automated Speech Recognition Proxy System for Natural Language Understanding | 4 |
Elena V. Lapshina | RU | Troitsk | 2010-03-18 / 20100064853 - METHODS FOR CHEMICAL RECOVERY OF NON-CARRIER-ADDED RADIOACTIVE TIN FROM IRRADIATED INTERMETALLIC Ti-Sb TARGETS | 1 |
Nicholas N. Lapshov | US | San Diego | 2013-07-25 / 20130190935 - CODE REPLACEMENT FOR IRRIGATION CONTROLLERS | 2 |
David Ee Kwung Lapsley | US | Valencia | 2014-02-27 / 20140058849 - System and Methods for Generating Dynamic Market Pricing for Use in Real-Time Auctions | 1 |
David Lapsley | US | North Andover | 2015-02-12 / 20150047036 - REAL-TIME NETWORK ATTACK DETECTION AND MITIGATION INFRASTRUCTURE | 3 |
Philip D. Lapsley | US | Oakland | 2009-08-13 / 20090205033 - BIOMETRIC FINANCIAL TRANSACTION SYSTEM AND METHOD | 2 |
David Ee Kwung Lapsley | US | North Andover | 2010-10-28 / 20100274893 - METHODS AND APPARATUS FOR DETECTING AND LIMITING FOCUSED SERVER OVERLOAD IN A NETWORK | 1 |
Philip Dean Lapsley | US | Oakland | 2012-12-27 / 20120330838 - SYSTEM AND METHOD FOR PROCESSING TOKENLESS BIOMETRIC ELECTRONIC TRANSMISSIONS USING AN ELECTRONIC RULE MODULE CLEARINGHOUSE | 1 |
Paul Lapstun | AU | Rodd Point | 2016-05-19 / 20160139402 - Shuttered Waveguide Light Field Display | 16 |
Paul Lapstun | US | 2014-04-03 / 20140094965 - Method of dispensing a product item | 2 | |
Jacqueline Anne Lapstun | AU | Balmain | 2012-12-27 / 20120328216 - Interactivity for Printed Content | 57 |
Paul Lapstun | AU | Rodd Point | 2016-05-19 / 20160139402 - Shuttered Waveguide Light Field Display | 16 |
Jacqueline Ann Lapstun | AU | Balmain | 2012-04-05 / 20120083252 - MESSAGING VIA A CODED BUSINESS CARD AND MOBILE TELEPHONE | 1 |
Jacqueline Anne Lapstun | US | 2009-04-09 / 20090090768 - METHOD FOR INITIATING PAYMENT OF BILL | 1 | |
Paul Lapstun | AU | Blamain | 2011-10-27 / 20110264742 - METHOD OF CONFERENCING USING FORMS | 1 |
Paul Lapstun | AU | Balmain | 2013-07-11 / 20130176441 - User interface system employing printed substrate and substrate sensing device | 583 |
Troy Lapsys | US | Albuquerque | 2010-11-18 / 20100291485 - NANOSCALE MOLECULE SYNTHESIS | 1 |
Valery Dmitrievich Laptev | RU | Troitsk City | 2012-06-07 / 20120138794 - DEVICE FOR DETECTION AND IDENTIFICATION OF CARBON- AND NITROGEN- CONTAINING MATERIALS | 1 |
Ivan Aleksandrovich Laptev | RU | Moscow | 2012-01-19 / 20120015415 - METHOD FOR PRODUCING SUCCINIC ACID USING A YEAST BELONGING TO THE GENUS Yarrowia | 1 |
Pavel N. Laptev | US | Ventura | 2009-10-01 / 20090246385 - Control of crystal orientation and stress in sputter deposited thin films | 3 |
Vsevolod Laptev | RU | Moscow | 2011-04-07 / 20110082676 - STREAMLINE FLOW SIMULATION OF A MODEL THAT PROVIDES A REPRESENTATION OF FRACTURE CORRIDORS | 1 |
Raisa Laptev | IL | Ariel | 2010-11-25 / 20100297762 - PHOTODYNAMIC THERAPY USING CHEMILUMINESCENCE AND A LIGAND-PHOTOSENSITISER CONJUGATE | 2 |
Vladimir Petrovich Laptev | RU | Monino | 2009-01-08 / 20090012008 - Pharmaceutical Composition for Photodynamic Therapy and a Method for Treating Oncological Diseases by Using Said Composition | 1 |
Alexey Laptev | US | Philadelphia | 2012-08-23 / 20120213752 - ISOLATED STROMAL CELLS AND METHODS OF USING THE SAME | 3 |
Natalia Lapteva | US | Houston | 2014-09-25 / 20140287490 - METHODS AND COMPOSITIONS FOR GENERATING AN IMMUNE RESPONSE BY INDUCING CD40 AND PATTERN RECOGNITION RECEPTORS AND ADAPTORS THEREOF | 5 |
Roger Lapuh | CH | Thurgau | 2009-05-07 / 20090116483 - Supporting BGP Based IP-VPN In A Routed Network | 3 |
Roger Lapuh | CH | Uesslingen | 2016-05-12 / 20160134611 - SKILL-BASED SECURE DYNAMIC CONTACT CENTER AGENT ACCESS | 18 |
Roger Lapuh | CH | Usslingen | 2014-08-07 / 20140219282 - Method and Apparatus for Simulating IP Multinetting | 1 |
Roger Lapuh | CH | Uesslingen | 2016-05-12 / 20160134611 - SKILL-BASED SECURE DYNAMIC CONTACT CENTER AGENT ACCESS | 18 |
Philippe G. Lapujade | US | Chandler | 2015-03-19 / 20150078018 - EXTERIOR AIRCRAFT LIGHT UNIT AND AIRCRAFT COMPRISING THE EXTERIOR AIRCRAFT LIGHT UNIT | 1 |
Anton S. Lapushkin | RU | Moscow | 2015-05-07 / 20150128278 - SYSTEM AND METHOD FOR CORRECTING ANTIVIRUS RECORDS USING ANTIVIRUS SERVER | 4 |
Jean Philippe Lapuyade | FR | Monein | 2016-04-28 / 20160116387 - TEST BENCH COMBINING HIGH-FREQUENCY TRIBOLOGICAL STRESS AND OLIGOCYCLIC FATIGUE | 1 |
Herve Lapuyade | FR | Pessac | 2009-12-10 / 20090302959 - SYNCHRONOUS DISTRIBUTED OSCILLATOR | 2 |
Eric Lapuyade | FR | Teyran | 2008-11-06 / 20080276254 - SYSTEM AND METHOD FOR INTERPROCESS COMMUNICATION IN ELECTRONIC DEVICES | 1 |
Harold Lapworth | AU | North Balgowlah | 2010-11-11 / 20100286662 - CATHETER AID | 1 |
Bryan L. Lapworth | GB | Duffield | 2012-12-13 / 20120316842 - AUTOMATIC EXTREMUM DETECTION ON A SURFACE MESH OF A COMPONENT | 1 |
Ekkehard Laqua | DE | Wiernsheim | 2013-06-13 / 20130145762 - COUPLING SYSTEM FOR A HYBRID ENERGY PLANT | 1 |
Daniel Laqua | DE | Ilmenau | 2012-12-20 / 20120319705 - HYBRID THREE-DIMENSIONAL SENSOR ARRAY, IN PARTICULAR FOR MEASURING ELECTROGENIC CELL ASSEMBLIES, AND THE MEASURING ASSEMBLY | 1 |
Stephan Laqua | DE | Magdala | 2015-09-17 / 20150257641 - METHOD FOR PRODUCING OCT IMAGES AND OTHER IMAGES OF AN EYE | 1 |
Matthias Laqua | DE | Bad Wimpfen | 2014-08-28 / 20140238332 - METHOD FOR PRODUCING A COOLING-DUCT PISTON AND ASSOCIATED PISTON | 2 |
Andrew G. Laquer | US | Tustin | 2014-02-20 / 20140048236 - DIRECT SEMICONDUCTOR CONTACT EBULLIENT COOLING PACKAGE | 2 |
Pierre-Francois Laquerre | US | North Brunswick | 2014-06-26 / 20140180977 - Computationally Efficient Whole Tissue Classifier for Histology Slides | 2 |
Marie Laquerre | US | Matthews | 2009-12-24 / 20090319424 - POSTAL MAIL DEPOSIT AGENCY | 1 |
Sylvie Laquerre | US | King Of Prussia | 2015-08-06 / 20150216868 - Method of Adjuvant Cancer Treatment | 9 |
Sylvie Laquerre | US | Collegeville | 2015-04-23 / 20150111904 - PHARMACEUTICAL COMBINATION OF MEK INHIBITOR AND B-RAF INHIBITORS | 8 |
Sylvie Laquerre | US | Exton | 2014-12-11 / 20140363395 - COMPOUNDS, COMPOSITIONS AND METHODS FOR TREATING OR PREVENTING PNEUMOVIRUS INFECTION AND ASSOCIATED DISEASES | 2 |
Denys Laquerre | CA | Quebec | 2009-02-19 / 20090045994 - MULTIPLE MODE DIGITIZATION SYSTEM FOR A NON-DESTRUCTIVE INSPECTION INSTRUMENT | 1 |
Sylvie Laquerre | US | Collegeville | 2015-04-23 / 20150111904 - PHARMACEUTICAL COMBINATION OF MEK INHIBITOR AND B-RAF INHIBITORS | 8 |
Sylvie Laquerre | US | Chesterbrook | 2009-08-13 / 20090203675 - Sulfonyl Semicarbazides, Semicarbazides and Ureas, Pharmaceutical Compositions Thereof, and Methods for Treating Hemorrhagic Fever Viruses, Including Infections Associated with Arena Viruses | 1 |
Sylvie Laquerre | US | King Of Prussia | 2015-08-06 / 20150216868 - Method of Adjuvant Cancer Treatment | 9 |
Marie B. Laquerre | US | Matthews | 2013-01-17 / 20130018779 - ALIAS-BASED MERCHANT TRANSACTION SYSTEM | 1 |
Sylvie G. Laquerre | US | King Of Prussia | 2013-09-05 / 20130231347 - METHOD OF TREATMENT WITH BRAF INHIBITOR | 1 |
Denys Laquerre | CA | St-Augustin De-Desmaures | 2013-08-01 / 20130197841 - METHOD AND A DEVICE OF PHASED ARRAY INSPECTION WITH PULSE RATE OPTIMIZATION | 1 |
Jean Luc Laquet | FR | Merignac | 2015-05-07 / 20150122416 - METHOD FOR THE TREATMENT OF SILICON CARBIDE FIBRES | 1 |
Miguel Lara | US | New York | 2015-07-09 / 20150193594 - SYSTEMS AND METHODS FOR IMPROVING PATIENT COMPLIANCE WITH A PRESCRIPTION DRUG REGIMEN | 1 |
Juan Galiana Lara | IE | Temple Court | 2013-01-03 / 20130007123 - REDACTING CONTENT IN ONLINE MEETINGS | 1 |
David Lara | US | Deerfield Beach | 2012-05-24 / 20120124882 - SYSTEM AND METHOD FOR CARRYING FIREARMS | 1 |
Arvin Lara | CA | Keswick | 2016-01-07 / 20160000134 - COMPOSITION FOR IMPROVING FLAVOR OF AND INHIBITING GROWTH OF PATHOGENIC BACTERIA IN MEAT AND POULTRY | 1 |
Ralph Deborah Lara | US | Hazlet | 2015-12-10 / 20150351566 - Disposable Identification Bands for Disposable and Nondisposable Drinking Cups, Glasses, Bottles and Cans | 1 |
Jorge R. Lara | US | College Station | 2012-05-17 / 20120118722 - HEAT EXCHANGER SYSTEM AND METHOD OF USE | 1 |
Ankarino Lara | US | San Francisco | 2009-04-02 / 20090089714 - THREE-DIMENSIONAL WEBSITE VISUALIZATION | 4 |
Marcus Lara | US | Houston | 2015-06-25 / 20150176744 - Gasket | 1 |
Christina Ann Lara | US | Tucson | 2010-09-30 / 20100249545 - REMOTE DELIVERY AND MONITORING OF HEALTH CARE | 2 |
Juan R. Lara | US | Wilmington | 2015-12-03 / 20150350305 - CONTEXT-SENSITIVE INFORMATION RETRIEVAL | 1 |
Rafael Arriaga Lara | MX | Mexico City | 2013-04-11 / 20130091078 - Method And Apparatus To Determine Rules Implementation Decision | 1 |
Cesar D. Lara | MX | Mexicali | 2015-12-03 / 20150346712 - SYSTEMS AND METHODS FOR CONTROLLING WAFER-BREAKER DEVICES | 1 |
Juan C. Lara | US | Holland | 2014-02-06 / 20140036337 - VEHICULAR REARVIEW MIRROR ELEMENTS AND ASSEMBLIES INCORPORATING THESE ELEMENTS | 2 |
Aurelio E. Lara | US | Albuquerque | 2009-06-11 / 20090148001 - Method for multivariate analysis of confocal temporal image sequences for velocity estimation | 1 |
Christina Lara | US | Tucson | 2012-08-09 / 20120203987 - FACILITATING DATA COMPRESSION DURING REPLICATION USING A COMPRESSIBLE CONFIGURATION BIT | 3 |
Jeffrey Payne Lara | US | Navasota | 2011-02-17 / 20110038999 - Cookware with reservoir | 2 |
Hernan Rodrigo Lara | US | Milwaukee | 2010-11-11 / 20100286512 - SYSTEMS, METHODS AND APPARATUS FOR PREPARATION, DELIVERY AND MONITORING OF RADIOPHARMACEUTICALS | 3 |
Christina A. Lara | US | Tucson | 2015-11-12 / 20150324258 - ORDERING LOGICAL UNITS IN A SUBGROUP OF A CONSISTENCY GROUP | 13 |
John Lara | US | Wichita | 2010-09-30 / 20100251204 - System and method for determining software test cycle effectiveness | 1 |
Jose Manuel Francisco Ochoa Lara | MX | Jardines Del Pedregal | 2011-07-14 / 20110171142 - Metformin glycinate salt for blood glucose control | 1 |
Rubén Lara | ES | Madrid | 2012-11-08 / 20120284080 - CUSTOMER COGNITIVE STYLE PREDICTION MODEL BASED ON MOBILE BEHAVIORAL PROFILE | 1 |
Ankarino Lara | US | Pasadena | 2015-05-21 / 20150142486 - SYSTEMS AND METHODS FOR CLOUD-BASED DIGITAL ASSET MANAGEMENT | 4 |
Christina A. Lara | US | Tucson | 2015-11-12 / 20150324258 - ORDERING LOGICAL UNITS IN A SUBGROUP OF A CONSISTENCY GROUP | 13 |
Oscar Ruiz Lara | MX | Chihuahua | 2012-12-13 / 20120313403 - PASSENGER SEAT ASSEMBLY | 1 |
Rafael Lara | ES | Vilanova I La Geltru | 2013-07-25 / 20130191049 - APPARATUS AND METHOD FOR MONITORING AN ELECTRIC POWER TRANSMISSION SYSTEM THROUGH PARTIAL DISCHARGES ANALYSIS | 3 |
Juven Lara | US | Portland | 2015-12-31 / 20150377868 - BREATH ANALYSIS SYSTEM | 4 |
Raul G. Lara | US | Indianapolis | 2009-06-11 / 20090150482 - Method of cloning a server installation to a network client | 1 |
Shelli Lara | US | Las Vegas | 2014-09-18 / 20140278500 - METHOD FOR ASSISTING PATIENTS IN NAVIGATING A HEALTHCARE NETWORK FROM PRE-PROCEDURE THROUGH POST-ADMISSION | 1 |
Marcos Lara | US | New York | 2015-07-09 / 20150193594 - SYSTEMS AND METHODS FOR IMPROVING PATIENT COMPLIANCE WITH A PRESCRIPTION DRUG REGIMEN | 4 |
Enrique Lara | ES | Madrid | 2009-02-19 / 20090047259 - Methods of Using the Calcineurin A Variant CnA-beta 1 | 1 |
Luis Manual Leon Lara | MX | Apodaca | 2016-02-11 / 20160043658 - ISOLATED TRANSFORMER-LESS CAPACITIVE POWER SUPPLY | 1 |
Ruben Lara | ES | Madrid | 2014-12-18 / 20140370844 - METHOD FOR THE AUTOMATIC DETECTION AND LABELLING OF USER POINT OF INTEREST | 1 |
Airton Moises Oliveira Lara | BR | Curitiba | 2009-07-16 / 20090181809 - Totem equipped with a philantropic donation holder/receiver in the shape of a basketball backboard, a hoop with net, plus an indoor multimedia display | 1 |
Teresa Bernal Lara | US | Lincolnshire | 2015-05-14 / 20150132515 - POLYPROPYLENE-BASED FILM WITH IMPROVED MECHANICAL AND SEALING PROPERTIES AND METHOD OF MAKING SAME | 1 |
Ankarino S. Lara | US | San Francisco | 2010-04-01 / 20100082576 - ASSOCIATING OBJECTS IN DATABASES BY RATE-BASED TAGGING | 4 |
Herb Lara | US | Newark | 2013-11-14 / 20130304053 - TISSUE TREATMENT APPARATUS WITH FUNCTIONAL MECHANICAL STIMULATION AND METHODS FOR REDUCING PAIN DURING TISSUE TREATMENTS | 2 |
Katherine Lara | US | San Mateo | 2011-09-29 / 20110237608 - Pyridopyrimidinone Inhibitors of PI3Kalpha | 6 |
Kim A. Lara | US | Kodiak | 2011-12-08 / 20110297066 - Containment Boom Mooring System | 2 |
Marcelo Andres Lara | US | Salem | 2015-11-12 / 20150326165 - AC MOTOR WITH STATOR WINDING TAP AND METHODS FOR STARTING AN AC MOTOR WITH A VARIABLE SPEED DRIVE | 4 |
Fernando Lara | MX | Naucalpan | 2016-02-18 / 20160046240 - SEAT ASSEMBLY WITH EMBEDDED AND HIDDEN STORAGE | 1 |
Carlos A. Lara | US | Avon | 2014-03-06 / 20140060857 - Combination Rasping/Filing Tool | 1 |
Miguel Lara | AT | Graz | 2010-07-22 / 20100184174 - PROCESS FOR THE OXIDATIVE CLEAVAGE OF VINYLAROMATICS USING PEROXIDASES OR LACCASES | 1 |
Antonio Chica Lara | ES | Valencia | 2014-06-12 / 20140158942 - WATER-GAS SHIFT CATALYST | 4 |
Eduardo Perdomini Lara | BR | Porto Alegre | 2014-09-18 / 20140262317 - HIGH-SPEED ROD-DRIVEN DOWNHOLE PUMP | 3 |
Pascal Lara | FR | Nice | 2010-06-03 / 20100133389 - Device for supporting a safety line | 3 |
Cecile Lara | FR | Staffelfelden | 2011-04-21 / 20110088728 - Auto-emulsifying cleaning systems and methods for use | 1 |
Marco A. Lara | US | Topsfield | 2014-02-13 / 20140046479 - METHOD AND SYSTEM FOR AUTOMATICALLY TRACKING PACKAGES IN AUTOMATED PACKAGING SYSTEM | 2 |
Alejandro Lara-Ascorra | US | Gilbert | 2015-11-19 / 20150334799 - MULTIPLE BACKLIGHT KEYBOARD | 5 |
Todd M. Larabee | US | Denver | 2016-03-03 / 20160058866 - ALTERNATIVE SOLUTIONS FOR THE ADMINISTRATION OF CANNABIS DERIVED BOTANICAL PRODUCTS | 1 |
Eric Stephen Larabee | US | Bellevue | 2014-09-11 / 20140252126 - LIQUID DISPENSING DEVICE | 2 |
Carolyn A. Larabell | US | Berkeley | 2009-05-21 / 20090129543 - Cryotomography X-Ray Microscopy State | 1 |
Joseph Leroy Larabell | JP | Tokyo | 2010-08-05 / 20100199242 - Verification Test Failure Analysis | 1 |
Carolyn A. Larabell | US | Oakland | 2008-11-13 / 20080280358 - Synthetic Peptides that Cause F-Actin Bundling and Block Actin Depolymerization | 1 |
Maxime Larabie-Bélanger | US | San Francisco | 2015-10-08 / 20150288775 - Browser Display Of Native Application Presence And Interaction Data | 6 |
Kevin Laracey | US | Natick | 2016-02-18 / 20160048830 - Transaction Token Issuing Authorities | 11 |
Mario C. Larach | US | San Diego | 2012-02-16 / 20120036767 - CONTINUOUS CULTIVATION, HARVESTING, AND EXTRACTION OF PHOTOSYNTHETIC CULTURES | 2 |
Oscar Larach | AU | Sydney | 2012-06-21 / 20120155963 - UNDERGROUND INFILTRATION TANK MODULE | 2 |
Veronica Larach-Walters | CL | Santiago | 2014-06-19 / 20140171371 - Compositions And Methods For The Diagnosis of Schizophrenia | 1 |
Angel D. Laracuente | US | Sahuarita | 2013-12-26 / 20130345973 - NON-CAUSAL ATTITUDE ESTIMATION FOR REAL-TIME MOTION COMPENSATION OF SENSED IMAGES ON A MOVING PLATFORM | 1 |
Edgar Lara-Curzio | US | Lenoir City | 2015-12-31 / 20150380158 - APPLIED MAGNETIC FIELD SYNTHESIS AND PROCESSING OF IRON NITRIDE MAGNETIC MATERIALS | 3 |
Edgar Lara-Curzio | US | Lenior City | 2009-04-30 / 20090108856 - APPARATUS, SYSTEM, AND METHOD FOR DETECTING CRACKING WITHIN AN AFTERTREATMENT DEVICE | 1 |
Marcos Lara Gonzalez | US | New York | 2016-03-03 / 20160062647 - SOFTWARE FOR KEYBOARD-LESS TYPING BASED UPON GESTURES | 3 |
Miguel Lara Gonzalez | US | New York | 2009-04-30 / 20090113008 - Systems and Methods to Exchange Patient Information and to Set Up and Trigger Healthcare Alerts | 1 |
Jose Luis Lara Gonzalez | MX | El Salto | 2014-01-23 / 20140020599 - ADDITIVE TO MODIFY THE RHEOLOGICAL PROPERTIES OF ASPHALT, TO BE USED IN WARM ASPHALT MIXTURES | 1 |
Rubén Lara Hernández | ES | Madrid | 2013-08-01 / 20130198191 - METHOD FOR DETECTING COMMUNITIES IN MASSIVE SOCIAL NETWORKS BY MEANS OF AN AGGLOMERATIVE APPROACH | 1 |
Rubén Lara Hernández | ES | Madrid | 2013-08-01 / 20130198191 - METHOD FOR DETECTING COMMUNITIES IN MASSIVE SOCIAL NETWORKS BY MEANS OF AN AGGLOMERATIVE APPROACH | 2 |
Rubén Lara Hernández | ES | Madrid | 2013-08-01 / 20130198191 - METHOD FOR DETECTING COMMUNITIES IN MASSIVE SOCIAL NETWORKS BY MEANS OF AN AGGLOMERATIVE APPROACH | 1 |
Vincent J. Laraia | US | Houlton | 2012-01-19 / 20120015589 - CATHODICALLY-PROTECTED PAD CONDITIONER AND METHOD OF USE | 1 |
Othman Laraki | US | Palo Alto | 2015-07-09 / 20150193215 - Common installer server | 2 |
James A. Laramee | US | Kansas City | 2009-06-18 / 20090155839 - METHOD FOR DETECTING BACTERIAL SPORES | 1 |
Luc Laramee | CA | St-Severin De Proulxville | 2012-11-22 / 20120294125 - ASTRONOMICAL CLOCK | 1 |
Robert Laramee | US | Fort Worth | 2011-06-09 / 20110131948 - Engine Exhaust System with Directional Nozzle | 2 |
Robert Michael Laramee | US | Fort Worth | 2015-10-22 / 20150300908 - Rotorcraft Actuator Seal Leakage Monitor | 1 |
Robert M. Laramee | US | Fort Worth | 2014-03-27 / 20140084080 - Infrared Suppressing Exhaust System | 2 |
Steven Laramie | US | Laconia | 2013-05-30 / 20130135403 - PRINTING SYSTEM STRUCTURES | 3 |
Tanya Rieger Laramie | US | San Anselmo | 2014-01-16 / 20140019861 - GRAPHICAL USER INTERFACE FOR NAVIGATING AUDIBLE CONTENT | 1 |
Steve Laramie | US | Laconia | 2010-10-21 / 20100265302 - Liquid Ink Container and Ink Delivery Station | 1 |
Michael G. Laramie | US | Tucson | 2016-03-10 / 20160072132 - PROTECTIVE LAYERS IN LITHIUM-ION ELECTROCHEMICAL CELLS AND ASSOCIATED ELECTRODES AND METHODS | 6 |
John Francis Laramie | US | New York | 2015-01-15 / 20150019353 - SYSTEM FOR MANAGING THE UTILIZATION OF A PLURALITY OF OUTDOOR ADVERTISING UNITS | 2 |
Brian Keith Laramie | US | San Anselmo | 2014-01-16 / 20140019861 - GRAPHICAL USER INTERFACE FOR NAVIGATING AUDIBLE CONTENT | 1 |
Daniel George Laramie | US | San Diego | / - | 1 |
Ruben Laramontalvo | FR | Buc | 2011-12-01 / 20110293150 - ITERATIVE VASCULAR RECONSTRUCTION BY SEED POINT SEGMENTATION | 2 |
Andre D. Laramore | US | Keller | 2013-05-30 / 20130138469 - WEB-BASED DEMAND CHAIN MANAGEMENT SYSTEM & METHOD | 1 |
Melissa Laramore | US | Xenia | 2013-08-08 / 20130202802 - METHOD FOR MANUFACTURE OF MACROBEADS | 1 |
Loren Dean Laramore | US | Arvada | 2008-10-23 / 20080261786 - Shoulder mounted walking exerciser | 1 |
Shawna Laramore | US | Lake Stevens | 2014-11-27 / 20140349069 - Thermoplastic Material Having a Surface Texture That Promotes Adherence of Inks and Other Materials, and Related Systems and Methods | 1 |
Giovanna La Rana | IT | Napoli | 2011-09-01 / 20110212904 - GALACTOSYLATED PRO-DRUGS OF NON-STEROIDAL ANTI-INFLAMMATORIES WITH IMPROVED PHARMACOKINETIC CHARACTERISTICS AND REDUCED TOXICITY OF THE STARTING DRUG | 1 |
Miguel A. Lara-Pena | US | Gilroy | 2014-03-13 / 20140073206 - Contacts for an Electrical Connector | 1 |
Miguel Alejandro Lara-Pena | US | Gilroy | 2015-03-12 / 20150070864 - Electronic Device With Printed Circuit Board Noise Reduction Using Elastomeric Damming and Damping Structures | 1 |
Kim Laraqui | SE | Solna | 2016-04-07 / 20160100350 - A NODE AND METHOD FOR HANDLING INFORMATION CENTRIC NETWORKING BASED COMMUNICATIONS | 16 |
Kim Laraqui | US | 2013-04-11 / 20130089336 - APPARATUS FOR COMMUNICATING A PLURALITY OF ANTENNA SIGNALS AT DIFFERENT OPTICAL WAVELENGTHS | 1 | |
Kim Laraqui | SE | Solna | 2016-04-07 / 20160100350 - A NODE AND METHOD FOR HANDLING INFORMATION CENTRIC NETWORKING BASED COMMUNICATIONS | 16 |
Jonathan Anthony Larard | GB | York | 2011-06-09 / 20110136857 - PYRROLE[2,3-B]PYRIDINE DERIVATIVES ACTIVE AS KINASE INHIBITORS | 2 |
Felipe De Jesús Lara Rosano | MX | Distrito Federal | 2015-10-22 / 20150300694 - Automatic System for Adjusting the Parabolic Surface of a Flat Mirror Solar Concentrator | 2 |
Felipe De Jesús Lara Rosano | MX | Mexico | 2011-09-08 / 20110215073 - Method and device for mirrors position adjustment of a solar concentrator | 1 |
Felipe De Jesús Lara Rosano | MX | Mexico | 2011-09-08 / 20110215073 - Method and device for mirrors position adjustment of a solar concentrator | 1 |
María Carmen Lara Ruiz | ES | Sevilla | 2015-10-22 / 20150297613 - USE OF AGENTS THAT ALTER THE PERITUMORAL ENVIRONMENT FOR THE TREATMENT OF CANCER | 1 |
Ervin Larashi | US | Novi | 2012-08-30 / 20120218155 - Antenna Assembly | 1 |
Muriel Larauche | US | Los Angeles | 2013-08-29 / 20130224151 - Use of FAAH Inhibitors for Treating Abdominal, Visceral and Pelvic Pain | 1 |
Oscar R. Lara-Velasco | US | Bryn Mawr | 2010-04-15 / 20100093052 - MAGNETIC CELL SEPARATION | 1 |
Stephen Andrew Laraway | US | Layton | 2009-01-01 / 20090003483 - Detector and Method for Estimating Data Probability in a Multi-Channel Receiver | 1 |
Mark B. Laraway | US | West Jordan | 2015-02-12 / 20150040498 - MOVABLE PARTITION SYSTEMS AND METHODS OF ALIGNING A LEADING END OF A MOVABLE PARTITION | 5 |
Martine Larbanoix | BE | Brussels | 2011-11-10 / 20110275693 - Pharmaceutical Compositions Comprising 2-Oxo-1-Pyrrolidine Derivatives | 1 |
Djafar Larbi | FR | La Verriere | 2012-03-29 / 20120073277 - VALVE FOR MOTOR VEHICLE EXHAUST MUFFLER | 1 |
Kingsley Kweku Larbi | CA | Etobicoke | 2012-09-13 / 20120230904 - PRODUCTION OF HIGH PURITY SILICON FROM AMORPHOUS SILICA | 2 |
Karima Larbi | SG | Singapore | / - | 1 |
Harald Larbig | DE | Rosenheim | 2008-10-09 / 20080249204 - Modified Polyolefin Waxes | 3 |
Gregor Larbig | DE | Gelnhausen | 2016-04-28 / 20160114054 - CONJUGATES FOR PROTECTION FROM NEPHROTOXIC ACTIVE SUBSTANCES | 5 |
Sonia Larbl Djaziri | TN | Tunis | 2012-08-23 / 20120213380 - Method and Device for Cancelling Acoustic Echo by Audio Watermarking | 1 |
Christel Larbouret | FR | Valflaunes | 2014-05-22 / 20140141019 - ANTIBODIES AGAINST HER3 | 1 |
Karine Larbouret | FR | Vergeze | 2010-03-25 / 20100075912 - NOVEL MORPHINE DERIVATIVES | 1 |
Christel Larbouret | FR | Montpellier | 2015-05-14 / 20150132308 - Combination Therapy Using Anti-EGFR And Anti-HER2 Antibodies | 3 |
Christel Larbouret | FR | Montpellier Cedex 5 | 2014-10-09 / 20140302041 - ANTI-AXL ANTIBODIES AND USES THEREOF | 1 |
Nicolò Larceri | IT | Milano | 2016-02-04 / 20160031129 - Method to produce a make-up cosmetic product | 2 |
Christopher Paul Larch | GB | Old Aberdeen | 2012-03-08 / 20120058995 - METHODS OF CHEMICAL SYNTHESIS OF DIAMINOPHENOTHIAZINIUM COMPOUNDS INVOLVING THE USE OF PERSULFATE OXIDANTS | 1 |
Mark Larche | CA | Hamilton | 2016-05-05 / 20160120965 - VACCINE PEPTIDE COMBINATIONS AGAINST CAT ALLERGY | 8 |
Mark Larche | CA | West Hamilton | 2015-08-06 / 20150218215 - CLADOSPORIUM PEPTIDES | 1 |
Mark Larche | US | 2011-06-16 / 20110142867 - IMMUNOTHERAPEUTIC METHODS AND SYSTEMS | 1 | |
Gregoire Larche | FR | Cholet | 2014-02-13 / 20140042050 - MEDICAL ASSEMBLY COMPRISING A MEDICAL ARTICLE AND A PACKAGE CONTAINING SAID ARTICLE | 7 |
Mark Larche | CA | Ontario | 2015-04-09 / 20150098969 - ALTERNARIA PEPTIDES | 7 |
Mark Larche | CA | Hamilton | 2016-05-05 / 20160120965 - VACCINE PEPTIDE COMBINATIONS AGAINST CAT ALLERGY | 8 |
Anthony Larcher | SG | Singapore | 2013-12-05 / 20130325473 - METHOD AND SYSTEM FOR DUAL SCORING FOR TEXT-DEPENDENT SPEAKER VERIFICATION | 1 |
Eric Larcher | FR | La Garenne-Colombes | 2016-02-18 / 20160047629 - METHOD AND DEVICE FOR IMPROVING THE INERTIAL NAVIGATION OF A PROJECTILE | 2 |
Yves Larcher | CH | Schileren | 2014-07-03 / 20140186937 - AUTOMATED TISSUE ENGINEERING SYSTEM | 1 |
Yves Larcher | CH | Schlieren | 2014-07-10 / 20140193895 - AUTOMATED TISSUE ENGINEERING SYSTEM | 2 |
Jean-Eric Larcher | FR | Delle | 2011-12-29 / 20110315875 - IONIZATION CELL FOR A MASS SPECTROMETER, AND CORRESPONDING LEAK DETECTOR | 1 |
Jean Luc Larcher | FR | Colomiers | 2010-07-01 / 20100162566 - TOOL, TOOL SET AND METHOD OF SETTING THE PITCH OF THE BLADES OF A MODEL PROPELLER | 1 |
Veronique Larcher | US | Palo Alto | 2014-11-13 / 20140334650 - METHODS AND DEVICES FOR REPRODUCING SURROUND AUDIO SIGNALS | 2 |
Olivier Larcher | FR | Perigny | 2015-07-23 / 20150202596 - COMPOSITION CONTAINING ZIRCONIUM, CERIUM AND YTTRIUM OXIDES HAVING A HIGH REDUCIBILITY, METHOD FOR PREPARING SAME AND USE THEREOF IN CATALYSIS | 13 |
Antonio Larcher | DE | Munich | 2008-12-25 / 20080313950 - Apparatus for Extracting a Fishing Hook | 1 |
Johannes Larcher | US | La Canada | 2009-10-29 / 20090270155 - System and method for creating and scoring a prediction game | 1 |
Olivier Larcher | US | Pennington | 2012-07-26 / 20120189517 - COMPOSITION COMPRISING CERIUM OXIDE AND ZIRCONIUM OXIDE HAVING A SPECIFIC POROSITY, PREPARATION METHOD THEREOF AND USE OF SAME IN CATALYSIS | 7 |
Olivier Larcher | FR | Perigny | 2015-07-23 / 20150202596 - COMPOSITION CONTAINING ZIRCONIUM, CERIUM AND YTTRIUM OXIDES HAVING A HIGH REDUCIBILITY, METHOD FOR PREPARING SAME AND USE THEREOF IN CATALYSIS | 13 |
Heike Larcher | AT | Bach | 2015-05-14 / 20150128849 - CRUCIBLE FOR THE MANUFACTURE OF OXIDE CERAMIC SINGLE CRYSTALS | 1 |
David Larcher | FR | Fontenilles | 2011-06-30 / 20110154909 - METHOD AND DEVICE FOR STEADYING AN AIRCRAFT | 4 |
Patrick Larcher | FR | Echirolles | 2015-11-12 / 20150325397 - MODULAR ELECTRICAL SWITCH DEVICE COMPRISING AT LEAST ONE UNIPOLAR CUT-OFF UNIT AND A SWITCH ASSEMBLY COMPRISING SUCH DEVICES | 4 |
Jean-Marie Larcheveque | US | Bellevue | 2010-09-09 / 20100228710 - Contextual Query Suggestion in Result Pages | 1 |
Trevor Larcheveque | US | Alfred Station | 2014-06-05 / 20140150261 - TRIP CUP FOR OVERSPEED CONTROL | 1 |
Jean-Marie H. Larcheveque | US | Bellevue | 2011-07-14 / 20110173560 - Electronic Form User Interfaces | 2 |
Remi Larcheveque | FR | Grenoble | 2010-09-02 / 20100223041 - MIXED-DOMAIN ANALOG/RF SIMULATION | 1 |
Jean-Marie Henri Daniel Larcheveque | FR | Paris | 2014-07-24 / 20140207441 - Semantic Clustering And User Interfaces | 5 |
Boris Larcheveque | FR | Bezouce | 2010-08-26 / 20100215221 - METHOD AND DEVICE FOR ANALYZING BERRIES | 1 |
Patrick J. Larch, Jr. | US | Sunset Hills | 2009-04-16 / 20090099856 - Method for Providing Enhanced Valuation Protection for Shipping of Household Goods by a Motor Carrier | 1 |
Gerry Larcina | CA | Toronto | 2009-10-22 / 20090260696 - MODULAR PRESSURE BALANCING VALVE | 1 |
Maria Cristina Larciprete | IT | Rome | 2012-06-21 / 20120158366 - METHOD AND SYSTEM FOR DETERMINING SECOND-ORDER NONLINEAR OPTICAL COEFFICIENTS | 1 |
Maria Cristina Larciprete | IT | Roma | 2012-02-09 / 20120033278 - Optical Logic Gate | 1 |
Vanessa A. Larco | US | Kirkland | 2011-02-17 / 20110041096 - MANIPULATION OF GRAPHICAL ELEMENTS VIA GESTURES | 1 |
Vanessa Adriana Larco | US | Kirkland | 2011-11-03 / 20110270824 - COLLABORATIVE SEARCH AND SHARE | 1 |
Vanessa Larco | US | Kirkland | 2013-01-31 / 20130027296 - COMPOUND GESTURE-SPEECH COMMANDS | 4 |
Ryan V. Larcom | US | Greenwood | 2012-12-20 / 20120319427 - INTEGRATED SUNSHADE DESIGN | 2 |
Larry Larcom | US | Roy | 2009-03-26 / 20090078174 - TABLE | 5 |
Ryan Larcom | US | Dublin | 2010-05-06 / 20100109366 - TRUNK LINER METHOD AND APPARATUS | 2 |
Ronald C. Larcom | US | Austin | 2011-05-19 / 20110116684 - SYSTEM AND METHOD FOR VISUALLY TRACKING WITH OCCLUSIONS | 1 |
James Larcombe | GB | London | 2009-12-10 / 20090301287 - Gallery of Ideas | 1 |
Simon Larcombe | GB | Alton | 2011-07-21 / 20110173986 - Adaptive Gas Turbine Vane Separator System and Method | 1 |
Steven Philip Larcombe | GB | Luton | 2015-12-31 / 20150382213 - MOBILE COMMUNICATION TERMINAL TEST DEVICE AND MOBILE COMMUNICATION TERMINAL TEST METHOD | 1 |
Simon Charles Larcombe | GB | Alton | 2012-01-19 / 20120011999 - METHOD AND SYSTEM FOR REMOVING PARTICULATES FROM A FLUID STREAM | 1 |
Simon Charles Larcombe | GB | Southampton | 2012-03-22 / 20120068851 - MEMBER INTEGRITY MONITORING SYSTEM AND METHOD | 2 |
Steven Larcombe | AU | Victoria Park | 2015-07-02 / 20150186254 - TESTING OF TRANSACTION TRACKING SOFTWARE | 3 |
Jared B. Lard | US | Charlotte | 2012-04-05 / 20120080255 - MOUNTING ARRANGEMENT FOR TRACTOR FRONT GRILLE TO SHROUD | 1 |
Raphaël Lardat | FR | Roquefort-Les-Pins | 2010-12-16 / 20100313398 - PROCESS FOR THE COLLECTIVE FABRICATION OF CALIBRATION-FREE SENSORS BASED ON ACOUSTIC WAVE DEVICES | 1 |
Raphaël Lardat | FR | Roquefort-Les-Pins | 2010-12-16 / 20100313398 - PROCESS FOR THE COLLECTIVE FABRICATION OF CALIBRATION-FREE SENSORS BASED ON ACOUSTIC WAVE DEVICES | 1 |
Raphael Lardat | FR | Roquefort Les Pins | 2014-02-06 / 20140036635 - ALL-OPTICAL HYDROPHONE INSENSITIVE TO TEMPERATURE AND TO STATIC PRESSURE | 3 |
Silvio Lardelli | CH | St Gallen | 2014-12-04 / 20140352802 - DRIP TRAY FOR BEVERAGES DISPENSER | 3 |
Patrick Lardenois | FR | Bourg La Reine | 2011-03-17 / 20110065745 - POLYSUBSTITUTED 2-ARYL-6-PHENYLIMIDAZO[1,2-A]PYRIDINE DERIVATIVES, AND PREPARATION AND THERAPEUTIC USE THEREOF | 4 |
Sebastien Lardenois | BE | Brussels | 2015-07-23 / 20150205062 - Optical Interposer | 1 |
Patrick Lardenois | FR | Paris | 2013-05-16 / 20130123288 - POLYSUBSTITUTED DERIVATIVES OF 2-HETEROARYL-6-PHENYLIMIDAZO[1,2-a]PYRIDINES, AND PREPARATION AND THERAPEUTIC USE THEREOF | 1 |
Patrick Lardenois | FR | Bourg-Ia-Reine | 2008-10-30 / 20080269257 - Use of Substituted 2-Pyrimidinyl-6,7,8,9-tetrahydropyrimido[1,2-A] Pyrimidin-4-one and 7-Pyrimidinyl-2,3-dihydroimidazo[1,2-A] Pyrimidin-5(1H)one Derivatives | 1 |
Sebastien Lardenois | GB | Ipswich | 2011-07-07 / 20110164849 - Hybrid Integrated Optical Elements | 1 |
Brian Larder | GB | Southampton | 2012-08-16 / 20120209880 - METHOD OF CONSTRUCTING A MIXTURE MODEL | 1 |
Brendan Larder | GB | Cambridge | 2009-06-25 / 20090162867 - MUTATIONAL PROFILES IN HIV-1 REVERSE TRANSCRIPTASE CORRELATED WITH PHENOTYPIC DRUG RESISTANCE | 2 |
Brian David Larder | GB | Southampton | 2015-03-26 / 20150084963 - METHOD OF DISPLAYING A PARAMETER | 2 |
Richard A. Larder | US | Livermore | 2009-10-22 / 20090263986 - SPRING INTERCONNECT STRUCTURES | 2 |
Brian David Larder | GB | Eastleigh | 2016-03-03 / 20160063384 - SYSTEM FOR BUILDING AND DEPLOYING INFERENCE MODEL | 1 |
Bill Lardie | US | Amarillo | 2012-09-27 / 20120241340 - Packaging for Optical Discs | 2 |
Samuel E. Lard, Ii | US | San Francisco | 2009-05-14 / 20090120968 - "Dot" Bong | 1 |
Frank A. Lardino | US | Lemont | 2009-08-27 / 20090212053 - Aerating wine glass | 1 |
Daniel M. Lardinois | US | Green Bay | 2009-07-30 / 20090188213 - BOX WRAPPING ASSEMBLY AND METHOD | 1 |
Kathryn Dennis Lardizabal | US | Woodland | 2009-06-11 / 20090151027 - DIACYLGLYCEROL ACYL TRANSFERASE PROTEINS | 1 |
Kathryn D. Lardizabal | US | Woodland | 2009-01-08 / 20090011113 - DIACYLGLYCEROL ACYLTRANSFERASE NUCLEIC ACID SEQUENCES AND ASSOCIATED PRODUCTS | 2 |
Steven Marc Lardizabal | US | Westford | 2014-12-25 / 20140375336 - LOSS-LESS FREQUENCY DEPENDENT DICKE-SWITCHED RADIOMETER | 1 |
Jose Lardizabal | US | Irvine | 2011-07-14 / 20110173355 - Method for setting and controlling hot key area of keyboard via KVM switch | 1 |
Aurore Lardjane | FR | Clermont-Ferrand Cedex 9 | 2015-01-15 / 20150013873 - TYRE WITH LIGHTENED BELT STRUCTURE | 1 |
Aurora Lardjane | FR | Clermont-Ferrand | 2014-07-17 / 20140196827 - TIRE COMPRISING A CROWN REINFORCEMENT | 1 |
Michael Lardner | IE | Galway City | 2008-10-16 / 20080253370 - MAINTENANCE OF BANDWIDTH ALLOCATION FOR TRAFFIC INCLUDING MULTICASTS | 1 |
Richard Lardner | US | Oakland | 2008-09-04 / 20080215046 - MEDICAL DEVICE CONTROL SYSTEM | 1 |
Richard Lardner | US | San Jose | 2008-10-30 / 20080269615 - TRANSDUCER WITH MULTIPLE RESONANT FREQUENCIES FOR AN IMAGING CATHETER | 1 |
Albert C. Lardo | US | Baldwin | 2014-10-02 / 20140296952 - ELECTROMAGNETIC SHIELD FOR A PASSIVE ELECTRONIC COMPONENT IN AN ACTIVE MEDICAL DEVICE IMPLANTABLE LEAD | 11 |
Albert C. Lardo | US | Baldwin | 2014-10-02 / 20140296952 - ELECTROMAGNETIC SHIELD FOR A PASSIVE ELECTRONIC COMPONENT IN AN ACTIVE MEDICAL DEVICE IMPLANTABLE LEAD | 11 |
Albert C. Lardo | US | Baltimore | 2013-09-26 / 20130253297 - SWITCHED DIVERTER CIRCUITS FOR MINIMIZING HEATING OF AN IMPLANTED LEAD AND/OR PROVIDING EMI PROTECTION IN A HIGH POWER ELECTROMAGNETIC FIELD ENVIRONMENT | 17 |
Salvatore Larducci | IT | Casandrino | 2010-05-13 / 20100116846 - DEVICE FOR THE PRODUCTION OF SOFT ICE CREAM OR SIMILAR PRODUCTS, WITH PORTIONING DEVICE AND PORTIONS COUNTING DEVICE | 1 |
Henry A. Lardy | US | Madison | 2011-02-03 / 20110028711 - Unsaturated Steroid Compounds | 4 |
Jean-Pierre Lardy | FR | Limoges | 2013-10-17 / 20130275340 - SYSTEM AND METHOD FOR AUTOMATIC DEFEASANCE OF A BASE PORTFOLIO OF CREDIT DEFAULT SWAPS | 2 |
Matthew Lardy | US | San Diego | 2015-10-01 / 20150274723 - SUBSTITUTED 6-AZA-ISOINDOLIN-1-ONE DERIVATIVES | 3 |
Pascal Lardy | US | Houston | 2015-10-29 / 20150308459 - GAS TAKEOFF ISOLATION SYSTEM | 11 |
Pascal Lardy | US | Houston | 2015-10-29 / 20150308459 - GAS TAKEOFF ISOLATION SYSTEM | 11 |
Pascal Lardy | FR | Notre Dame Du Bec. | 2014-12-11 / 20140360189 - INTEGRATED SEPARATOR TURBINE | 1 |
Claude Lardy | FR | Lyon | 2013-04-11 / 20130090385 - NOVEL SPECIFIC CASPASE-10 INHIBITORS | 2 |
Matthew A. Lardy | US | South San Francisco | 2015-11-05 / 20150315198 - PYRAZOLOPYRIDINE PYRAZOLOPYRIMIDINE AND RELATED COMPOUNDS | 1 |
Jonathan Joseph Lareau | US | Haymarket | 2011-08-18 / 20110200120 - Methods and Systems for Detecting Temporally Oscillating Sources in Video Signals Using a Recursive Infinite Impulse Response (IIR) Filter Technique | 1 |
John P. Lareau | US | Granby | 2014-09-18 / 20140260628 - ULTRASONIC EXAMINATION OF COMPONENTS WITH UNKNOWN SURFACE GEOMETRIES | 5 |
Ray Lareau | US | Westford | 2010-10-28 / 20100274201 - Antimicrobial agent delivery system | 1 |
Raymond Lareau | US | Wesford | 2016-02-11 / 20160038657 - MEDICAL DEVICES HAVING SURFACE MODIFIERS | 1 |
Raymond J. Lareau | US | Westford | 2014-10-16 / 20140309623 - SELECTIVE SURFACE MODIFICATION OF CATHETER TUBING | 7 |
David P. Lareau | US | Oakton | 2013-09-05 / 20130231957 - INTELLIGENT FILTERING OF HEALTH-RELATED INFORMATION | 1 |
Lash Laniakea Lareau | US | Mililani | 2014-05-29 / 20140144797 - Paintbrush Holder | 1 |
Raymond Lareau | US | Westford | 2015-02-05 / 20150038946 - CATHETERS WITH HIGH-PURITY FLUOROPOLYMER ADDITIVES | 20 |
Liana F. Lareau | US | San Francisco | 2015-12-17 / 20150363550 - METHODS FOR GENOME ASSEMBLY AND HAPLOTYPE PHASING | 2 |
Daniel R. Lareau | US | Las Vegas | 2015-03-12 / 20150068075 - Artistic Media Stretching Device | 1 |
David Lareau | US | Oakton | 2008-09-04 / 20080216010 - METHOD AND SYSTEM FOR DISPLAYING HIERARCHICAL INFORMATION | 2 |
John Lareau | US | Fairview | 2011-04-14 / 20110083922 - OFF ROAD VEHICLE APPARATUS AND METHOD | 1 |
Raymond Lareau | US | Westford | 2015-02-05 / 20150038946 - CATHETERS WITH HIGH-PURITY FLUOROPOLYMER ADDITIVES | 20 |
Walter R. Laredo | US | Hillsborough | 2010-07-08 / 20100171923 - LACTAM POLYMER DERIVATIVES | 2 |
Jean-Denis Laredo | FR | Paris | 2010-08-26 / 20100215236 - METHOD OF RECONSTRUCTING IN THREE DIMENSIONS A SINGULAR OBJECT ON THE BASIS OF IMAGING IN SECTION (SCANNER, MRI) | 1 |
Gustavo A. Laredo | US | Walnut Creek | 2014-08-28 / 20140244315 - SYSTEMS AND METHODS FOR MANAGING MOBILE DEVICE LIFE CYCLE | 1 |
Water R. Laredo | US | Fort Worth | 2009-04-02 / 20090088493 - OPHTHALMIC AND OTORHINOLARYNGOLOGICAL DEVICE MATERIALS CONTAINING AN ALKYLPHENOL ETHOXYLATE | 1 |
Walter R. Laredo | US | Fort Worth | 2014-01-23 / 20140024777 - Ophthalmic And Otorhinolaryngological Device Materials Containing An Alkylphenol Ethoxylate | 16 |
Walter R. Laredo | US | Fort Worth | 2014-01-23 / 20140024777 - Ophthalmic And Otorhinolaryngological Device Materials Containing An Alkylphenol Ethoxylate | 16 |
Jim A. Laredo | US | Katonah | 2016-05-05 / 20160125172 - AUTOMATIC GENERATION OF LICENSE TERMS FOR SERVICE APPLICATION MARKETPLACES | 28 |
Jim Alain Laredo | US | Katonah | 2012-06-07 / 20120143774 - Techniques for Creating Service Status Abstraction Layers Based on Client Roles in a Business Process Management Scenario | 2 |
Jim A. Laredo | US | Hawthorne | 2011-04-07 / 20110082920 - Change Management in Multi-Domain Environments | 1 |
Jim Laredo | US | Katonah | 2009-01-29 / 20090031204 - Stakeholder Matrix | 1 |
Jim A. Laredo | US | Katonah | 2016-05-05 / 20160125172 - AUTOMATIC GENERATION OF LICENSE TERMS FOR SERVICE APPLICATION MARKETPLACES | 28 |
Walter Laredo | US | Fort Worth | 2015-06-04 / 20150151022 - SOFT ACRYLIC MATERIALS WITH HIGH REFRACTIVE INDEX AND MINIMIZED GLISTENING | 1 |
Edmund Larenas | US | Moss Beach | 2015-03-19 / 20150079638 - VARIANT HUMICOLA GRISEA CBH1.1 | 8 |
Edmund Larenas | US | Moss Beach | 2015-03-19 / 20150079638 - VARIANT HUMICOLA GRISEA CBH1.1 | 8 |
Edmund A. Larenas | US | Palo Alto | 2010-12-23 / 20100323426 - Heterologous and Homologous Cellulase Expression System | 1 |
Edmund A. Larenas | US | Moss Beach | 2016-03-03 / 20160060665 - HEMICELLULASE ENRICHED COMPOSITIONS FOR ENHANCING HYDROLYSIS OF BIOMASS | 9 |
Edmund A. Larenas | US | Moss Beach | 2016-03-03 / 20160060665 - HEMICELLULASE ENRICHED COMPOSITIONS FOR ENHANCING HYDROLYSIS OF BIOMASS | 9 |
Francisco Javier Lares | US | Sunland Park | 2011-11-10 / 20110272900 - CENTRAL MULTIDIRECTIONAL DRIVE TRANSMISSION SYSTEM | 2 |
Matti Lares | FI | Helsinki | 2008-10-16 / 20080251223 - Board Product and Method of Making the Same | 1 |
Dina Laresch | US | Princeton Junction | 2015-03-26 / 20150088588 - SYSTEMS AND METHODS OF SUPPLIER QUALITY MANAGEMENT | 1 |
Eric R. Larese | US | Rochester | 2012-06-14 / 20120150898 - Dynamic Collection Of System Support Files In A Data Processing Environment | 2 |
Ander Laresgoiti Rementeria | ES | Iurreta (bizkaia) | 2011-04-14 / 20110086287 - SOLID OXIDE FUEL STACK | 2 |
Véronique Laretta-Garde | FR | L'Isle D'Adam | 2010-12-30 / 20100330157 - BIOMATERIAL FOR THE CONTROLLED DELIVERY OF INGREDIENTS | 1 |
Véronique Laretta-Garde | FR | L'Isle D'Adam | 2010-12-30 / 20100330157 - BIOMATERIAL FOR THE CONTROLLED DELIVERY OF INGREDIENTS | 1 |
Véronique Laretta-Garde | FR | L'Isle D'Adam | 2010-12-30 / 20100330157 - BIOMATERIAL FOR THE CONTROLLED DELIVERY OF INGREDIENTS | 1 |
Véronique Laretta-Garde | FR | L'Isle D'Adam | 2010-12-30 / 20100330157 - BIOMATERIAL FOR THE CONTROLLED DELIVERY OF INGREDIENTS | 1 |
Larry A. Larew | US | Zionsville | 2009-10-15 / 20090258820 - ECHINOCANDIN/CARBOHYDRATE COMPLEXES | 1 |
Jean-Jacques Lareyre | FR | Rennes Cedex | 2009-05-07 / 20090118210 - Epididymal lipocalin gene and uses thereof | 1 |
Severin Larfaillou | FR | Tours | 2015-11-12 / 20150325878 - PUTTING INTO SERVICE OF A LITHIUM ION BATTERY | 1 |
Edward W. Large | US | Boca Raton | 2011-08-18 / 20110202489 - LEARNING AND AUDITORY SCENE ANALYSIS IN GRADIENT FREQUENCY NONLINEAR OSCILLATOR NETWORKS | 3 |
Brian C. Large | US | Pueblo | 2013-03-07 / 20130056366 - APPARATUS AND METHOD FOR REMOVAL OF IONS FROM A POROUS ELECTRODE THAT IS PART OF A DEIONIZATION SYSTEM | 4 |
Robert Large | GB | Aberdeen | 2015-05-21 / 20150136409 - Well Intervention Tool and Method | 1 |
Timothy Large | US | Bellevue | 2016-04-21 / 20160109906 - Internal Display Module Support | 25 |
Charles Large | IT | Verona | 2016-03-03 / 20160058737 - IMIDAZOLIDINEDIONE DERIVATIVES | 9 |
Timothy A. Large | US | Bellevue | 2015-11-26 / 20150341593 - IMAGING THROUGH A DISPLAY SCREEN | 5 |
Bengt Large | NO | Oslo | 2009-03-26 / 20090078617 - SORTER DEVICE | 1 |
Jonathan M. Large | GB | Surrey | 2009-02-12 / 20090042884 - Pharmaceutical Compounds | 1 |
Gérard Large | FR | Oyonnax | 2010-06-17 / 20100146830 - DRIVE SYSTEM FOR ROTATING PRISM-TYPE DISPLAY BOARDS | 2 |
Jonathan M. Large | GB | Belmont | 2009-06-18 / 20090156601 - PYRIMIDINE DERIVATIVES FOR THE TREATMENT OF CANCER | 1 |
Stephen Large | GB | Cambridge | 2015-01-15 / 20150017710 - APPARATUS FOR TESTING, ASSESSMENT, AND MAINTENANCE OF HARVESTED HEARTS FOR TRANSPLANTING | 2 |
Serge Large | FR | Cenac | 2010-07-08 / 20100171350 - SEAT PROVIDED WITH A PIVOTING DISPLAY SCREEN | 1 |
Timothy Large | GB | Essex | 2012-08-16 / 20120207434 - FLAT-PANEL OPTICAL PROJECTION APPARATUS | 2 |
Jeffrey Lee Large | US | Dallas | 2009-05-07 / 20090114912 - MASK DESIGN ELEMENTS TO AID CIRCUIT EDITING AND MASK REDESIGN | 1 |
Timothy Andrew Large | GB | Essex | 2012-08-16 / 20120207432 - FLAT-PANEL OPTICAL PROJECTION APPARATUS WITH REDUCED DISTORTION | 3 |
Jonathan Martin Large | GB | Surrey | 2010-08-19 / 20100210646 - 2-MORPHOLIN-4-YL-PYRIMIDINES AS PI3K INHIBITORS | 2 |
Gérard Large | FR | Oyonnax | 2010-06-17 / 20100146830 - DRIVE SYSTEM FOR ROTATING PRISM-TYPE DISPLAY BOARDS | 1 |
Thomas J. Large | US | Oxford | 2013-02-28 / 20130054083 - CATALYST OXYGEN STORAGE CAPACITY ADJUSTMENT SYSTEMS AND METHODS | 1 |
James E. Large | US | Circleville | 2014-10-09 / 20140298764 - INDUSTRIAL COATING APPLICATION FILTER WITH PLEATED SUPPORT | 1 |
Robert Large | US | Raleigh | 2014-01-30 / 20140026593 - THAWING VESSEL FOR BIOLOGICAL PRODUCTS | 1 |
Robert Large | GB | Lowestoft | 2015-10-29 / 20150308222 - SELF-REGULATING FLOW CONTROL DEVICE | 7 |
Charles Large | IT | Verona | 2016-03-03 / 20160058737 - IMIDAZOLIDINEDIONE DERIVATIVES | 9 |
Michael David Large | GB | Wiltshire | 2011-02-24 / 20110047226 - ENHANCED MESSAGING SYSTEM | 1 |
Scott Large | US | Bethel | 2014-06-26 / 20140178662 - Multilayer Polyarylene Sulfide Composite | 1 |
Frank J. Large | US | Dallas | 2011-06-23 / 20110148125 - Lever action door latch | 1 |
Robert Large | GB | Carlton Colville | 2014-10-02 / 20140290959 - FLOW STOP VALVE | 1 |
Timothy Large | US | Seattle | 2016-01-07 / 20160005219 - AUTO-ALIGNED ILLUMINATION FOR INTERACTIVE SENSING IN RETRO-REFLECTIVE IMAGING APPLICATIONS | 1 |
Matthew J. Large | GB | Guildford | / - | 1 |
Peter Oliver Large | US | Westminster | 2014-09-11 / 20140253376 - VERIFIABLE AUTHENTICATION SERVICES BASED ON GALILEIO SIGNALS AND PERSONAL OR COMPUTER DATA | 1 |
Edward Sean Large | US | Houston | 2013-10-31 / 20130287502 - Ballast System For Floating Offshore Platforms | 6 |
Sean Large | US | Houston | 2011-05-19 / 20110114005 - BATTERED COLUMN SEMI-SUBMERSIBLE OFFSHORE PLATFORM | 2 |
Brian D. Large | US | Columbus | 2012-12-20 / 20120319427 - INTEGRATED SUNSHADE DESIGN | 1 |
Howard G. Large | US | La Porte | 2013-06-06 / 20130144024 - System and Method for Selective Trimerization | 1 |
Yvan Large | CA | Richmond Hill | 2014-05-22 / 20140139178 - WIRELESS POWER TRANSFER DEVICE FOR CHARGING MOBILE/PORTABLE DEVICES | 1 |
Frederic Large | FR | Montigny-Le-Bretonneux | 2012-03-15 / 20120062739 - Method And Device For Extending A Visibility Area | 1 |
Timothy Andrew Large | US | Bellevue | 2015-10-01 / 20150277375 - OPTICAL WAVEGUIDE INCLUDING SPATIALLY-VARYING VOLUME HOLOGRAM | 19 |
Stuart Anthony Large | GB | Weybridge Surrey | 2011-06-16 / 20110138903 - FOLDING ULTRASONIC BOREHOLE IMAGING TOOL | 1 |
Timothy Large | US | Bellevue | 2016-04-21 / 20160109906 - Internal Display Module Support | 25 |
Timothy Andrew Large | US | Bellevue | 2015-10-01 / 20150277375 - OPTICAL WAVEGUIDE INCLUDING SPATIALLY-VARYING VOLUME HOLOGRAM | 19 |
Terry Arthur Large | GB | West Sussex | 2012-09-20 / 20120235602 - Linear accelerator | 2 |
Tim Large | US | Seattle | 2015-09-03 / 20150248014 - CONTROL OF POLARIZATION AND DIFFRACTIVE ARTIFACT RESOLUTION IN RETRO-IMAGING SYSTEMS | 1 |
Peter Large | US | Westminster | 2014-07-03 / 20140184442 - GNSS RECEIVER POSITIONING SYSTEM | 2 |
Jodi M. Large | US | Warwick | 2009-07-23 / 20090187865 - SITE-WIDE NAVIGATION ELEMENT FOR USER ACTIVITY IN A SOCIAL NETWORKING SITE | 2 |
Ludovic Largeau | FR | Bretigny Sur Orge | 2010-11-18 / 20100289063 - EPITAXIAL SOLID-STATE SEMICONDUCTING HETEROSTRUCTURES AND METHOD FOR MAKING SAME | 1 |
Gil Largeaud | FR | Clamant | 2009-11-12 / 20090281654 - Device for characterizing the particle size distribution of powders and its uses | 1 |
Jana C. Largent | US | Pearland | 2009-12-24 / 20090316013 - System and method for processing medical graphics data | 1 |
Christopher P. Largent | US | Phoenix | 2016-04-07 / 20160097493 - METHOD AND APPARATUS FOR A LIGHTING ASSEMBLY WITH AN INTEGRATED AUXILIARY ELECTRONIC COMPONENT PORT | 2 |
Andrew Largent | US | Seattle | 2015-11-19 / 20150332350 - SYSTEM AND METHOD FOR MANAGING A PROMOTIONAL EVENT ON SOCIAL PLATFORMS | 2 |
Donald Jonathan Largent | US | Hampstead | 2013-12-26 / 20130340501 - Apparatus for testing a filter | 1 |
Neal T. Largent | US | Clinton | 2009-12-31 / 20090320204 - SHOWER PAN HAVING NO VISIBLE DRAINAGE MEANS | 1 |
David Largent | US | Cleveland | 2010-12-09 / 20100307834 - Vessel to Condition Dry Drill Cuttings | 1 |
Celine Largeot | FR | Toulouse | 2011-05-26 / 20110122542 - SUPERCAPACITOR COMPOSITIONS, DEVICES AND RELATED METHODS | 1 |
Judy Largesse | US | Hopkinton | 2013-12-12 / 20130331749 - Compression Garment Including Bladder Having Reduced Inflatable Volume | 1 |
Delphine Largeteau | US | Houston | 2015-10-01 / 20150275105 - PROCESS FOR THE PRODUCTION OF A GASOLINE WITH A LOW SULFUR CONTENT | 3 |
Henry P. Largey | US | Wylie | 2013-08-29 / 20130226589 - CONTROL USING TEMPORALLY AND/OR SPECTRALLY COMPACT AUDIO COMMANDS | 3 |
Henry Patrick Largey | US | Wylie | 2009-12-24 / 20090318017 - Small Foot Print Rugged Low Cost RF Connector with Improved Performance | 1 |
Joe C. Largey | US | Kersey | 2011-04-07 / 20110080274 - Integrated Vehicle Cellular Telephone Detection System | 1 |
Jérôme Larghero | FR | Boulogne-Billancourt | 2011-01-20 / 20110014691 - METHOD FOR GENERATING PRIMATE CARDIOVASCULAR PROGENITOR CELLS FOR CLINICAL USE FROM PRIMATE EMBRYONIC STEM CELLS OR EMBRYONIC-LIKE STATE CELLS, AND THEIR APPLICATIONS | 1 |
Christian Largillier | FR | Villeneuve La Guyard | 2009-06-18 / 20090155052 - MOUNTING TUBES FOR PRESSURIZING AN INTERNAL ENCLOSURE IN A TURBOMACHINE | 1 |
Guillaume Largillier | FR | Bordeaux | 2014-09-04 / 20140247236 - METHOD OF ACQUIRING DATA FROM A MATRIX TOUCH SENSOR, IN PARTICULAR FOR A TOUCH SCREEN | 15 |
Guillaume Largillier | FR | Bordeaux | 2014-09-04 / 20140247236 - METHOD OF ACQUIRING DATA FROM A MATRIX TOUCH SENSOR, IN PARTICULAR FOR A TOUCH SCREEN | 15 |
Marc D. Largo | US | Gurnee | 2010-08-12 / 20100202854 - SHOCK ABSORBING FASTENER | 1 |
Marc Largo | US | Gurnee | 2015-10-15 / 20150290786 - FASTENER-DRIVING TOOL INCLUDING A DRIVING DEVICE | 10 |
Marc David Largo | US | Gurnee | 2012-11-29 / 20120298390 - STUD MISS INDICATOR FOR FASTENER DRIVING TOOL | 1 |
Jean-Yves Larguier | CN | Xi'An | 2008-09-04 / 20080212356 - Random Access Memory Featuring Reduced Leakage Current, and Method for Writing the Same | 1 |
Noureddine Larhriq | FR | Grenoble | 2013-08-29 / 20130223138 - SECURE NON-VOLATILE MEMORY | 2 |
Nick L. Lari | US | Jacksonville | 2016-02-25 / 20160050893 - Fish Display and Water Circulation Apparatus Having Individually Removable Live Fish Containers | 2 |
David R. Lari | US | San Francisco | 2016-03-17 / 20160074220 - DEVICES AND METHODS FOR THE REMOVAL OF LENTICULAR TISSUE | 2 |
David Lari | US | San Francisco | 2016-02-11 / 20160038088 - SYSTEMS AND DEVICES FOR MEASURING, CAPTURING, AND MODIFYING PARTIAL AND FULL BODY KINEMATICS | 1 |
Mohammad Shoaib Lari | US | Palo Alto | / - | 1 |
Hassan Lari | US | Simpsonville | 2014-11-27 / 20140345449 - VARIABLE DISPLACEMENT DEVICES AND RELATED METHODS | 1 |
Nick Lari | US | Jacksonville | 2013-10-31 / 20130284107 - Aquarium System | 1 |
David Lari | US | Menlo Park | 2016-05-19 / 20160135992 - DELIVERY SYSTEM FOR OCULAR IMPLANT | 6 |
Juio Castro-Palomino Laria | ES | Premia De Mar | 2013-04-11 / 20130090386 - LYSINE SPECIFIC DEMETHYLASE-1 INHIBITORS AND THEIR USE | 1 |
Julio Castro-Palomino Laria | ES | Premia De Mar | 2016-02-25 / 20160052865 - CYCLOPROPYLAMINE DERIVATIVES USEFUL AS LSD1 INHIBITORS | 4 |
Julio Cesar Castro Palomino Laria | ES | Mataro | 2015-01-22 / 20150025006 - Pharmaceutical Combinations Including Anti-Inflammatory and Antioxidant Conjugates Useful for Treating Metabolic Disorders | 1 |
Mustapha Laribi | US | Brooklyn | 2010-05-13 / 20100121292 - POTTY TRAINING INSERT FOR DIAPER AND COMBINATION THEREOF | 1 |
Youcef Laribi | GB | Cambridge | 2013-11-07 / 20130297802 - SYSTEMS AND METHODS FOR ADAPTIVE APPLICATION PROVISIONING | 2 |
Luigi Laricchia | US | Arlington Heights | 2016-04-28 / 20160115409 - PROCESSES AND SYSTEMS FOR REFINING FUEL GAS | 20 |
Parsa Larijani | CA | Ottawa | 2013-10-24 / 20130281105 - FAST EFFICIENT RESOURCE DISTRIBUTION IN LONG TERM EVOLUTION COMMUNICATION NETWORK SCHEDULING | 1 |
Vincent C. Larik | NL | Kerkrade | 2013-02-14 / 20130041273 - Methods, Systems and Devices for Detecting Atrial Fibrillation | 1 |
Vincent Larik | NL | Kerkrade | 2012-09-06 / 20120226110 - Multiple Sensor Input for Structure Identification | 27 |
Julia Y. Larikova | US | Naperville | 2015-07-23 / 20150208146 - Methods and Apparatus for Providing Configuration Discovery Using Intra-Nodal Test Channel | 12 |
Julia Y. Larikova | US | Naperville | 2015-07-23 / 20150208146 - Methods and Apparatus for Providing Configuration Discovery Using Intra-Nodal Test Channel | 12 |
Jennifer Larimer | US | Saginaw | 2016-02-04 / 20160037586 - INDUCTION HEATING APPARATUS | 1 |
David C. Larimer | US | Peoria | 2014-09-18 / 20140277614 - PROGRAMMABLE USER INTERFACE FOR A MACHINE | 1 |
Jennifer Larimer | US | Flushing | 2014-08-07 / 20140220347 - ELECTRODE COMPOSITION COMPRISING A SILICON POWDER AND METHOD OF CONTROLLING THE CRYSTALLINITY OF A SILICON POWDER | 1 |
Jonathan Bruce Larimer | US | Roswell | 2014-04-03 / 20140096246 - PROTECTING USERS FROM UNDESIRABLE CONTENT | 1 |
Gordon L. Larimer | US | Agoura Hills | 2012-02-16 / 20120042114 - APPARATUS AND METHODS FOR MANAGING EXPANDED CAPACITY OF VIRTUAL VOLUMES IN A STORAGE SYSTEM | 1 |
Randy Larimer | US | Bozeman | 2012-03-15 / 20120065556 - DEVICE AND METHOD FOR STIMULATING THE MEIBOMIAN GLANDS OF THE EYELID | 1 |
Michael Larimer | US | Bay Village | 2012-05-17 / 20120122581 - WIRELESS ELECTRONIC GAMING UNIT | 1 |
Riki Kane Larimer | US | New York | 2014-02-20 / 20140048510 - CARAFE WITH COOLING ELEMENT | 1 |
Robert Larimer | US | New York | 2010-08-12 / 20100202492 - POURING AND TEMPERATURE DETERMINING DEVICE | 2 |
Daniel J. Larimer | US | Christiansburg | 2008-09-11 / 20080222064 - Processes and Systems for Automated Collective Intelligence | 1 |
Dan C. Larimer | US | Wickenburg | 2015-08-20 / 20150230599 - Deployable Table for a Spare Tire Assembly | 2 |
David Russ Larimore | US | Dallas | 2015-06-25 / 20150176346 - METHOD AND SYSTEM FOR WIRELINE INTERVENTION IN A SUBSEA WELL FROM A FLOATING VESSEL | 3 |
Wallace Larimore | US | Mclean | 2014-12-18 / 20140372091 - METHOD AND SYSTEM OF DYNAMIC MODEL IDENTIFICATION FOR MONITORING AND CONTROL OF DYNAMIC MACHINES WITH VARIABLE STRUCTURE OR VARIABLE OPERATION CONDITIONS | 2 |
Stefan I. Larimore | US | Redmond | 2016-03-24 / 20160085603 - METHOD OF HOSTING A FIRST APPLICATION IN A SECOND APPLICATION | 19 |
Mark Andrew Larimore | US | Montgomery | 2010-07-29 / 20100186305 - SMART PANEL | 1 |
Stefan I. Larimore | US | Redmond | 2016-03-24 / 20160085603 - METHOD OF HOSTING A FIRST APPLICATION IN A SECOND APPLICATION | 19 |
Wallace E. Larimore | US | Mclean | 2015-02-05 / 20150039280 - METHOD AND SYSTEM FOR EMPIRICAL MODELING OF TIME-VARYING, PARAMETER-VARYING, AND NONLINEAR SYSTEMS VIA ITERATIVE LINEAR SUBSPACE COMPUTATION | 2 |
Jacob Larimore | US | Ann Arbor | 2014-08-21 / 20140230780 - REAL-TIME RESIDUAL MASS ESTIMATION WITH ADAPTIVE SCALING | 3 |
David Russ Larimore | US | 4380 San Carlos St. | 2015-04-30 / 20150116123 - METHODS AND SYSTEMS FOR TRACKING A TOOLSTRING AT SUBSEA DEPTHS | 1 |
Philip H. Larimore | US | Buckley | 2013-10-10 / 20130263394 - Pressure Washer/Surface Cleaner | 1 |
David Larimore | US | Dallas | 2015-01-22 / 20150021051 - BONDED SLICKLINE AND METHODS OF USE | 1 |
Zachary J. Larimore | US | Elkton | 2014-11-20 / 20140343458 - Device and method for inducing brain injury in animal test subjects | 2 |
Kirill V. Larin | US | Friendswood | 2016-05-12 / 20160128558 - OPTICAL COHERENCE ELASTOGRAPHY TO ASSESS BIOMECHANICS AND DETECT PROGRESSION OF OCULAR AND OTHER TISSUES DEGENERATIVE DISEASES | 1 |
Emilia Larin | IL | Jerusalem | 2015-10-22 / 20150303523 - COMPOSITION FOR EXTENDING LIFE OF LEAD ACID BATTERIES | 1 |
Dominic Larin | CA | Valleyfield | 2008-10-02 / 20080235904 - Trash container bin made of polymer and having separable handles made of polymer with metal reinforcement | 1 |
Dmitriy Y. Larin | US | San Jose | 2009-03-05 / 20090064329 - Zero-hour quarantine of suspect electronic messages | 1 |
Valeriy Larin | DE | Erkrath | 2016-04-14 / 20160102375 - METHOD AND PLANT FOR PRODUCING IRON FROM ROASTED PYRITES | 2 |
Sergei Yurievich Larin | US | Durham | 2011-04-07 / 20110083001 - METHODS AND APPARATUS FOR AUTOMATED GENERATION OF ABBREVIATED INSTRUCTION SET AND CONFIGURABLE PROCESSOR ARCHITECTURE | 1 |
Sergei Larin | US | Austin | 2016-03-17 / 20160077835 - METHODS AND APPARATUS FOR STORAGE AND TRANSLATION OF ENTROPY ENCODED SOFTWARE EMBEDDED WITHIN A MEMORY HIERARCHY | 4 |
Benoit Larin | CA | Salaberry-De-Valleyfield | 2011-05-26 / 20110120996 - Waste Grease Disposal Bin | 1 |
Giovanna Larini | IT | Torino | 2010-06-10 / 20100142692 - Method and system for providing teleassistance services based on software agents executing workflows | 1 |
Steven C. Larink | US | Tucson | 2008-11-06 / 20080271567 - Metal Powders and Methods for Producing the Same | 2 |
Paula Irene Lario | CA | South Vancouver | 2015-10-29 / 20150307594 - ENGINEERED IMMUNOGLOBULIN HEAVY CHAIN-LIGHT CHAIN PAIRS AND USES THEREOF | 1 |
Paula Irene Lario | CA | Vancouver | 2015-10-08 / 20150284470 - CRYSTAL STRUCTURES OF HETERODIMERIC Fc DOMAINS | 4 |
Paula L. Lario | CA | Vancouver | 2013-01-10 / 20130013631 - DENSITY BASED CLUSTERING FOR MULTIDIMENSIONAL DATA | 1 |
Paula I. Lario | CA | Vancouver | 2013-05-02 / 20130108623 - Antibodies with Enhanced or Suppressed Effector Function | 3 |
Vladimir L. Larionov | US | Potomac | 2014-05-08 / 20140127246 - SPANX-B POLYPEPTIDES AND THEIR USE | 4 |
Andrey Larionov | US | Santa Clara | 2013-09-19 / 20130246644 - WIRELESS ENHANCED PROJECTOR | 1 |
Alexander Larionov | KR | Suwon-Si | 2015-01-15 / 20150015730 - APPARATUS AND METHOD FOR OBTAINING IMAGE | 1 |
Nikolaj Larionov | CA | Victoria | 2013-08-22 / 20130215990 - Multiple-Mode Digital Modulation Using a Single Square-Root Nyquist Pulse-Shaping Transmit Filter | 1 |
Pavel Larionov | DE | Konstanz | 2014-01-23 / 20140021939 - CURRENT-MEASURING DEVICE | 1 |
Oleg Larionov | US | Cambridge | 2010-09-16 / 20100234220 - Oxooxetanes as Fungicidal Agents | 1 |
Yevgeniya Larionova | DE | Ronnenberg | 2014-08-21 / 20140230878 - METHOD FOR ELECTRICALLY CONNECTING SEVERAL SOLAR CELLS AND PHOTOVOLTAIC MODULE | 1 |
Joulia Larionova | FR | Preades Le Rez | 2012-05-24 / 20120125856 - NANOCOMPOSITE SOLID MATERIAL BASED ON HEXA- AND OCTA- CYANOMETALLATES, METHOD FOR THE PREPARATION THEREOF AND METHOD FOR FIXING MINERAL POLLUTANTS USING SAID MATERIAL | 1 |
Joulia Larionova | FR | Prades-Le-Lez | 2015-08-20 / 20150235721 - SUPPORTED MEMBRANE FUNCTIONALIZED WITH HEXA- AND OCTACYANOMETALLATES, PROCESS FOR THE PREPARATION THEREOF AND SEPARATION PROCESS USING SAME | 1 |
Ekaterina Vladimirovna Larionova | RU | St. Petersburg | 2014-07-24 / 20140208102 - METHOD OF PROTECTING DIGITAL INFORMATION | 3 |
Nataliya V. Larionova | US | Evanston | 2014-10-09 / 20140302735 - SELF HEALING SALT WATER BARRIER | 5 |
John M.de Larios | US | Palo Alto | 2010-12-16 / 20100313918 - Apparatus for Cleaning Contaminants from Substrate | 2 |
Leonard Gabriel Larios | US | Exeter | 2009-11-19 / 20090283102 - Reconfigurable Smoking Pipe | 1 |
Maria Veronica Larios | US | East Palo Alto | 2015-03-12 / 20150073515 - Neuromodulation Catheter Devices and Systems Having Energy Delivering Thermocouple Assemblies and Associated Methods | 1 |
John De Larios | US | Palo Alto | 2013-03-14 / 20130061879 - REDUCTION OF ENTRANCE AND EXIT MARKS LEFT BY A SUBSTRATE-PROCESSING MENISCUS | 5 |
Fabricio Arteaga Larios | MX | San Luis De Potosi | 2016-01-07 / 20160000615 - MULTILAYER FILMS, AND ARTICLES MADE THEREFROM | 2 |
Casey A. Laris | US | San Diego | 2010-07-29 / 20100192084 - Automated image analysis with gui management and control of a pipeline workflow | 1 |
Michael Philip Laris | DK | Odense C | 2009-08-06 / 20090197740 - Playground equipment | 1 |
Sven Larisch | DE | Bad Oeynhausen | 2013-03-21 / 20130071049 - BAG FOR STORING AND PREPARING FOOD | 1 |
Belinda C. Larisch | CA | Vancouver | 2009-01-29 / 20090025553 - ADSORBENT COATING COMPOSITIONS, LAMINATES AND ADSORBER ELEMENTS COMPRISING SUCH COMPOSITIONS AND METHODS FOR THEIR MANUFACTURE AND USE | 1 |
Michael Wolfgang Larisch | DE | Regensburg | 2012-11-15 / 20120284977 - System and Method for Producing Devices Including a Semiconductor Part and a Non-Semiconductor Part | 2 |
Florian Larisch | DE | Zankenhausen | 2015-04-30 / 20150117847 - HEATING DEVICE FOR A VEHICLE, AND METHOD OF COOLING AN ELECTRONIC CONTROL UNIT OF THE HEATING DEVICE | 1 |
Sarit Larisch | IL | Zichron-Yaakov | 2016-02-18 / 20160047001 - Sept4/ARTS AS A TUMOR SUPPRESSOR IN THE DIAGNOSIS, PROGNOSIS AND TREATMENT OF HEPATIC DISORDERS | 3 |
Michael Larisch | DE | Regensburg | 2016-03-03 / 20160064258 - Adapter Tool and Wafer Handling System | 3 |
Markus Larisch | DE | Dorsten | 2012-12-06 / 20120304439 - METHOD AND APPARATUS FOR FITTING OF A PLUG HOUSING | 1 |
Irune Larisgoitia Astobiza | ES | Zamudio (bizkaia) | 2014-05-22 / 20140140848 - SYSTEM AND METHOD FOR REINFORCING A WEAKENED AREA OF A WIND TURBINE BLADE | 1 |
Douglas D. Larish | US | Tempe | 2011-09-15 / 20110224051 - INDOOR-OUTDOOR EXERCISE SLED APPARATUS | 2 |
Scott Larish | US | Idaho Falls | 2015-06-04 / 20150152534 - Metal Steel Production by Slab Casting | 5 |
Chad Larish | US | Minnetonka | 2015-06-25 / 20150180281 - ELECTROHYDRAULIC GENERATOR SYSTEMS AND METHODS | 2 |
Chad Anthony Larish | US | Minnetonka | 2014-06-19 / 20140166114 - CONTROL SYSTEM FOR HYDRAULIC SYSTEM AND METHOD FOR RECOVERING ENERGY AND LEVELING HYDRAULIC SYSTEM LOADS | 1 |
Scott Charles Larison | US | Martlton | 2014-12-18 / 20140372278 - METHOD AND APPARATUS FOR IMPROVED ELECTRONIC TRADING | 1 |
Scott Charles Larison | US | Marlton | 2009-04-09 / 20090094151 - Method and apparatus for improved electronic trading | 1 |
Matthew Larive | US | Bay City | 2010-09-09 / 20100227119 - PROCESS FOR PLASMA COATING A POLYPROPYLENE OBJECT | 1 |
Nathalie Agnes Larive | US | La Jolla | 2014-02-13 / 20140044237 - Micro-gripper for Automated Sample Harvesting and Analysis | 1 |
Olivier François Larive | FR | Noiseau | 2014-05-15 / 20140131126 - "HUBLESS" SELF-BALANCING HUMAN TRANSPORTER | 1 |
Jeffrey Lariviere | CA | Mountain | 2011-12-22 / 20110309864 - Apparatus and Method for Generating a Timing Signal | 1 |
Donald G. Lariviere | US | Hollywood | 2012-05-31 / 20120133616 - CREATIVE DESIGN SYSTEMS AND METHODS | 2 |
Donald Lariviere | US | Glendale | 2015-12-10 / 20150354802 - Underwater LED Lights | 2 |
Diane R. Lariviere | US | Seattle | 2009-12-31 / 20090321647 - METHOD FOR PERFORMING IR SPECTROSCOPY MEASUREMENTS TO QUANTIFY A LEVEL OF UV EFFECT | 1 |
Don Lariviere | US | Sun Valley | 2014-09-18 / 20140263755 - Nozzle Changer | 4 |
Ian P. Lariviere | US | Ludlow | 2009-07-02 / 20090167049 - Vehicle cover | 1 |
Yves Lariviere | CA | Saint-Constant | 2011-03-24 / 20110068550 - Angular Adjusting System for Torsion Suspension and Torsion Suspension so Obtained | 2 |
Robert Lariviere | US | Boulder Creak | 2009-04-09 / 20090092043 - Providing an abstraction layer in a cluster switch that includes plural switches | 1 |
Robert Lariviere | US | Boulder Creek | 2014-12-18 / 20140369346 - METHODS AND SYSTEMS FOR PROVIDING A LOGICAL NETWORK LAYER FOR DELIVERY OF INPUT/OUTPUT DATA | 3 |
Patrick Lariviere | FR | Echirolles | 2011-02-03 / 20110024744 - CONNECTION PAD STRUCTURE FOR AN ELECTRONIC COMPONENT | 1 |
F. David Lariviere | US | Monterey | 2012-03-08 / 20120056339 - Venturi Apparatus for Pouring and Aereating Beverages | 4 |
Brian W. Lariviere | US | Camarillo | 2013-05-30 / 20130133662 - NITROUS OXIDE SYSTEM FOR PRODUCING BREATHING AIR | 1 |
Jean Lariviere | FR | Marq En Baroueul | 2015-07-02 / 20150182271 - SCREW FOR OSTEOSYNTHESIS AND ARTHRODESIS | 2 |
Jan S. Lariviere | US | Chesterfield | 2013-05-23 / 20130130015 - Metallized Opaque Films with Robust Metal Layer Attachment | 1 |
Erin Lee Lariviere | US | Milford | 2014-11-27 / 20140345254 - SECONDARY NOZZLE FOR JET ENGINE | 3 |
Krista Lariviere | CA | Oro Station | 2015-09-10 / 20150254251 - METHOD AND SYSTEM OF OPTIMIZING A WEB PAGE FOR SEARCH ENGINES | 2 |
Jaakko Larjola | FI | Helsinki | 2015-10-08 / 20150285146 - COOLING ARRANGEMENT FOR A GAS TURBINE | 1 |
Juha Larjomaa | FI | Helsinki | 2009-07-23 / 20090187633 - Capability broker and messaging system | 1 |
James E. Lark | US | Lorain | 2013-12-19 / 20130339040 - Selecting Secondary Patient Care | 1 |
James D. Lark | US | West Bloomfield | 2014-07-24 / 20140207056 - Suction and Irrigation Apparatus with Anti-Clogging Capability | 3 |
Patrick William Lark | US | Pickens | 2015-03-05 / 20150062783 - Capacitor for High g-Force Applications | 1 |
Larry Mitchell Lark | US | Greenleaf | 2010-12-16 / 20100316533 - FORMULATING CHEMICAL SOLUTIONS BASED ON VOLUMETRIC AND WEIGHT BASED CONTROL MEASUREMENTS | 1 |
L. Mitch Lark | US | St. Paul | 2009-12-24 / 20090313883 - INSECT BAIT STATION AND METHOD OF USING | 1 |
Larry Mitch Lark | US | St. Paul | 2009-11-26 / 20090288333 - Insect Trap | 1 |
Craig Lark | US | San Juan Capistrano | 2009-06-04 / 20090139881 - Point of purchase advertising system & method | 1 |
Wayne Walter Lark | US | Joliet | 2009-02-05 / 20090032746 - Piezo-electric actuated valve | 2 |
David R. Lark | US | Reno | 2015-03-26 / 20150087380 - CHAIN REACTION KENO | 6 |
Tyler J. Lark | US | Shawano | 2012-06-28 / 20120164905 - Modified Polylactic Acid Fibers | 1 |
David L. Lark | US | Corcoran | 2011-10-13 / 20110248665 - Mobile docking station | 1 |
Michael W. Lark | US | Devon | 2014-04-10 / 20140099311 - Anti-IL-6 Antibodies, Compositions, Methods and Uses | 5 |
David B. Lark | US | Kingsley | 2011-03-24 / 20110067546 - Ring Engraving Fixture | 1 |
Robert K. Lark | US | Chapel Hill | 2016-03-31 / 20160089155 - Ultrasonic blade with static casing | 1 |
Tyler J. Lark | US | Madison | 2015-02-12 / 20150044929 - Modified Polylactic Acid Fibers | 1 |
Tyler J. Lark | US | Greenleaf | 2012-02-16 / 20120040582 - Modified Polylactic Acid Fibers | 1 |
Lance Larka | US | Huntsville | 2009-08-13 / 20090203897 - Method of Using Polymer Embedded Solid Supports for Small Scale Oligonucleotide Synthesis | 1 |
James Allen Larkby-Lahet | US | Pittsburgh | 2012-10-25 / 20120272010 - STABLE ADAPTIVE REPLACEMENT CACHE PROCESSING | 2 |
Andrew Lamont Larkin | US | Wake Forest | / - | 1 |
Lisa M. Larkin | US | Ann Arbor | 2014-08-28 / 20140243979 - System and Method for Forming Bone, Ligament, and Bone-Ligament Constructs | 3 |
David Larkin | US | Tulsa | 2015-02-05 / 20150034322 - STEAM GENERATION WITH CARBON DIOXIDE RECYCLE | 1 |
Kevin B. Larkin | US | 2011-05-26 / 20110125116 - Tampon Saturation Monitoring System | 2 | |
Damon Larkin | CA | Claresholm | 2014-03-06 / 20140059963 - INSULATED SHEATHING AND METHOD | 1 |
Adiran Larkin | GB | Essex | 2013-09-12 / 20130238276 - Package Management System For Tracking Shipment And Product Integrity | 1 |
Michael Larkin | US | Houston | 2012-01-19 / 20120012302 - Compact Surface Wellhead System and Method | 1 |
Eric Larkin | US | Los Gatos | 2015-09-17 / 20150261933 - SYSTEM AND METHOD FOR RECEIVING COMMUNICATIONS AND PROVIDING ALERTS | 3 |
Damon R. Larkin | US | Alpharetta | 2010-09-09 / 20100224199 - Respirator | 1 |
Ryan Larkin | US | Fargo | 2013-06-20 / 20130153722 - FURNITURE DRAWER SLIDE SPACER AND SHIPPING STRAP APPARATUS | 1 |
David Larkin | US | Menlo Park | 2014-02-20 / 20140052153 - MOVABLE SURGICAL MOUNTING PLATFORM CONTROLLED BY MANUAL MOTION OF ROBOTIC ARMS | 2 |
Christopher A. Larkin | US | Los Gatos | 2014-03-06 / 20140066201 - Mobile and Adaptable Fitness System | 1 |
Josh Larkin | US | Reno | 2010-08-26 / 20100213389 - METHOD, SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR LOCALIZING PHOTONS AND A LIGHT SOURCE EMITTING THE PHOTONS | 1 |
Andrew Lamont Larkin | US | Durham | 2009-07-02 / 20090170907 - Chemical Compounds | 4 |
David Leonard Larkin | US | Richardson | 2009-12-03 / 20090295451 - Systems and Methods of Digital Isolation with AC/DC Channel Merging | 1 |
David Quentin Larkin | US | Menlo Park | 2014-08-21 / 20140236175 - Systems and Methods For Proximal Control Of A Surgical Instrument | 2 |
Kevin P. Larkin | US | Mercer Island | 2015-05-14 / 20150134674 - AUTOMATIC SELECTION OF MEDIA REPRESENTATIONS | 3 |
Philip John Larkin | AU | Weston Act | 2011-06-23 / 20110154534 - Wheat plants with immunity to wheat streak mosaic virus (WSMV) | 1 |
Brent Larkin | US | Las Vegas | 2010-06-03 / 20100133804 - Method of replacing pipe couplings | 1 |
Bruce Larkin | US | Belleville | 2015-04-09 / 20150096624 - Systems For Automatic Control Of Pump Out Of Liquid From Sumps | 1 |
John Patrick Larkin | GB | Leicestershire | 2011-10-06 / 20110245486 - METHOD FOR THE PRODUCTION OF 1,4-BENZOTHIEPIN-1,1-DIOXIDE DERIVATIVES | 1 |
David Q. Larkin | US | Menlo Park | 2016-05-19 / 20160140875 - MULTI-USER MEDICAL ROBOTIC SYSTEM FOR COLLABORATION OR TRAINING IN MINIMALLY INVASIVE SURGICAL PROCEDURES | 67 |
Adrian F. Larkin | GB | Essex | 2015-10-29 / 20150312712 - PERSONAL ITEMS NETWORK, AND ASSOCIATED METHODS | 13 |
James Larkin | US | Granger | 2010-11-18 / 20100291539 - METHODOLOGY FOR DETECTION, ENUMERATION, PROPAGATION AND MANIPULATION OF BACTERIOPHAGES | 1 |
Jeremy Larkin | US | Concord | 2015-07-23 / 20150205500 - USER INTERFACE FOR JUST-IN-TIME IMAGE PROCESSING | 2 |
Jeffrey Michael Larkin | US | Yakima | 2016-03-17 / 20160076486 - ENGINE WITH A THRUST REVERSER LOCKOUT MECHANISM | 1 |
Brendan Larkin | US | Houston | 2016-03-17 / 20160076319 - System for Manipulating Tubulars for Subterranean Operations | 4 |
John F. Larkin | US | Monterey | 2015-06-04 / 20150153351 - CALIBRATION MATERIAL DELIVERY DEVICES AND METHODS | 3 |
Michael Larkin | US | San Jose | 2015-06-11 / 20150160877 - System Updates With Personal Virtual Disks | 8 |
Brendan Larkin | GB | West Yorkshire | 2010-06-10 / 20100143078 - Racking Module | 1 |
Brendan William Larkin | GB | Halifax | 2014-04-24 / 20140110174 - Simultaneous Tubular Handling System | 4 |
Jonathan James Larkin | GB | Essex | 2015-11-26 / 20150335128 - HAIR DRYER | 1 |
Adrian F. Larkin | GB | Essex | 2015-10-29 / 20150312712 - PERSONAL ITEMS NETWORK, AND ASSOCIATED METHODS | 13 |
Louis J. Larkin | US | Palm Beach Gardens | 2012-06-07 / 20120137950 - METHOD AND SYSTEM FOR PRESSURE HARVESTING FOR UNDERWATER UNMANNED VEHICLES | 4 |
Jonathan David Larkin | US | King Of Prussia | 2013-12-19 / 20130336989 - METHODS OF IDENTIFYING A PATIENT POPULATION | 2 |
Brendan Larkin | GB | Yorkshire | 2015-01-15 / 20150016925 - DRILLING-PIPE HANDLING APPARATUS AND METHOD | 1 |
Michael J. Larkin | US | Rocky Hill | 2014-08-07 / 20140216002 - GAS TURBINE ENGINE HAVING SLIM-LINE NACELLE | 3 |
Tara Larkin | US | Millville | 2012-07-05 / 20120168571 - ENDOTRACHEAL TUBE HOLDER | 1 |
Nicholas Stuart Larkin | US | Lancaster | 2013-10-03 / 20130256345 - CABLE TETHERING NECKPIECE | 1 |
Sean M. Larkin | US | Saint Louis | 2015-05-07 / 20150125059 - FAST ITERATIVE ALGORITHM FOR SUPERRESOLVING COMPUTED TOMOGRAPHY WITH MISSING DATA | 1 |
John T. Larkin | US | Sunnyvale | 2014-05-29 / 20140145943 - SENSOR-BASED USER INTERFACE CONTROL | 2 |
James Larkin | US | Scarsdale | 2014-02-13 / 20140041333 - DEVICE FOR SECURING ONE FACE WALL MESH TO VERTICAL REBAR AND STANDARD CONCRETE FORMS | 2 |
Carl Larkin | GB | West Sussiz | 2015-08-06 / 20150220910 - AUTHORIZING RADIO FREQUENCY TRANSACTIONS USING A VASCULAR SCAN | 1 |
Adam L. Larkin | US | Dallas | 2015-10-01 / 20150274886 - Crosslinkable Soluble Aromatic Polyester | 1 |
Colin Larkin | IE | Dundrum | 2012-02-23 / 20120047072 - MERCHANT ALERT SYSTEM AND METHOD FOR FRAUD PREVENTION | 2 |
David L. Larkin | US | Richardson | 2012-06-07 / 20120142164 - INTEGRATED HIGH VOLTAGE CAPACITOR HAVING CAPACITANCE UNIFORMITY STRUCTURES AND A METHOD OF MANUFACTURE THEREFOR | 2 |
David W. Larkin | US | Tulsa | 2014-06-19 / 20140166538 - BITUMEN BASED INDIRECT STEAM BOILER | 5 |
David William Larkin | US | Tulsa | 2014-12-11 / 20140360726 - STEAM GENERATOR AND CARBON DIOXIDE CAPTURE | 3 |
Bruce Larkin | US | Plainwell | 2015-10-01 / 20150275935 - CRIMPLESS PISTON-SLIPPER ASSEMBLY | 2 |
Adrian Larkin | GB | Essex | 2014-06-19 / 20140172358 - Package Management System For Tracking Shipment And Product Integrity | 3 |
Robert Francis Larkin | US | Pittsfield | 2010-05-06 / 20100113203 - STEERING TRANSMISSION FOR TRACKED VEHICLES | 1 |
Paul Larkin | US | Mt. Airy | 2015-09-10 / 20150253292 - DIRECT FIELD ACOUSTIC TESTING IN A SEMI-REVERBERANT ENCLOSURE | 3 |
Carl Larkin | GB | Bognore Regis | 2010-10-21 / 20100265038 - METHOD AND SYSTEM FOR HAND GEOMETRY RECOGNITION BIOMETRICS ON A FOB | 2 |
Michael I. Larkin | US | Santa Barbara | 2015-12-24 / 20150369732 - METHOD OF CHARACTERIZING INTERACTIONS AND SCREENING FOR EFFECTORS | 5 |
Carl Larkin | GB | West Sussex | 2012-04-12 / 20120089469 - SYSTEM AND METHOD FOR DYNAMIC FOB SYNCHRONIZATION AND PERSONALIZATION | 4 |
Carl Larkin | GB | West Sussix | 2013-01-24 / 20130024384 - HAND GEOMETRY BIOMETRICS ON A PAYMENT DEVICE | 2 |
Carl Larkin | GB | Barnham | 2009-05-14 / 20090125401 - BIOMETRIC AUTHORIZATION OF AN RF TRANSACTION | 2 |
Carl Larkin | GB | Barnham Bognore Regis | 2015-12-31 / 20150379509 - DNA SAMPLE DATA IN A TRANSPONDER TRANSACTION | 2 |
Bradley R. Larkin | US | Shelby Township | 2015-09-03 / 20150246611 - TRANSFER CASE WITH STATIONARY LOW RANGE PLANETARY | 8 |
Michael Larkin | US | San Jose | 2015-06-11 / 20150160877 - System Updates With Personal Virtual Disks | 8 |
John Larkin | US | Monterey | 2010-02-18 / 20100037739 - Power cutting tool with overhead sensing system | 1 |
Kevin T. Larkin | US | Menlo Park | 2009-03-05 / 20090062899 - COMPOSITE STENT WITH POLYMERIC COVERING AND BIOACTIVE COATING | 2 |
Michael A. Larkin | US | San Diego | 2010-05-13 / 20100117669 - PROBE CARD ACTUATOR | 1 |
Kevin B. Larkin | US | Pebble Beach | 2014-05-01 / 20140117976 - INSULATED TEST CLIP COVER ASSEMBLY | 7 |
Nicholas Peter Larkin | GB | Enfield | 2015-01-29 / 20150030016 - MEDIA SESSIONS | 5 |
David O. Larkin | US | Menlo Park | 2010-09-09 / 20100228264 - ADAPTABLE INTEGRATED ENERGY CONTROL SYSTEM FOR ELECTROSURGICAL TOOLS IN ROBOTIC SURGICAL SYSTEMS | 1 |
James M. Larkin | US | Oxnard | 2008-11-06 / 20080271310 - METHOD OF RETROFITTING A CIRCULAR SAW | 1 |
Kevin Larkin | US | Pebble Beach | 2008-10-09 / 20080248682 - Snagless plug and boot connection | 1 |
Adrian Larkin | US | Boulder | 2014-09-11 / 20140257539 - MOBILE IMAGE CAPTURE SYSTEM | 4 |
Andrew Lamont Larkin | US | Research Triangle Park | / - | 2 |
Daniel Larkin | US | St. Paul | 2014-11-20 / 20140343531 - INFUSION SITE RETAINER FOR MAINTAINING INFUSION TUBING | 11 |
David W. Larkin | US | Ponca City | 2009-07-02 / 20090166252 - Process for preparing lube basestocks having superior low temperature properties at high VI | 1 |
Holly Larkin | US | Plymouth | 2012-04-05 / 20120080032 - APPARATUS AND METHODS FOR PROVIDING HUMIDITY IN RESPIRATORY THERAPY | 2 |
Andrew Larkin | US | Durham | 2011-12-08 / 20110301203 - CHEMICAL COMPOUNDS | 2 |
John Anthony Larkin | DE | Frankfurt Am Main | 2015-06-11 / 20150163675 - PROVISIONING SUBSCRIPTIONS TO USER DEVICES | 1 |
Harold Larkin | US | Three Mile Bay | 2009-05-14 / 20090125055 - TELESCOPINGLY ADJUSTABLE CLAMP | 1 |
Colin Larkin | IE | Co. Dublin | 2015-02-05 / 20150038120 - MOBILE PHONE TAKEOVER PROTECTION SYSTEM AND METHOD | 2 |
Bruce D. Larkin | US | Plainwell | 2011-01-20 / 20110014070 - INTEGRATED FLUID HANDLING APPARATUS | 1 |
Stephen Larkin | AU | Brisbane | 2010-08-19 / 20100208070 - COMPUTERIZED INFORMATION COLLECTION AND TRAINING METHOD AND APPARATUS | 1 |
James Larkin | US | New York | 2014-11-13 / 20140331581 - THERMAL BREAK FOR CONCRETE SLAB EDGES AND BALCONIES | 1 |
Kieran Gerard Larkin | AU | Putney | 2016-05-12 / 20160131767 - NONLINEAR PROCESSING FOR OFF-AXIS FREQUENCY REDUCTION IN DEMODULATION OF TWO DIMENSIONAL FRINGE PATTERNS | 14 |
Kieran Gerard Larkin | AU | Putney | 2016-05-12 / 20160131767 - NONLINEAR PROCESSING FOR OFF-AXIS FREQUENCY REDUCTION IN DEMODULATION OF TWO DIMENSIONAL FRINGE PATTERNS | 14 |
Stephen Conway Larkin | AU | Queensland | 2009-10-22 / 20090262191 - Computerized information collection and training method and apparatus | 1 |
David William Larkin | US | Bartlesville | 2013-01-17 / 20130014709 - INDIRECT STEAM GENERATION SYSTEM AND PROCESS | 1 |
Gavin Larkin | AU | New South Wales | 2009-04-30 / 20090107009 - Footwear | 1 |
Philip John Larkin | AU | Weston | 2008-12-18 / 20080311580 - Novel genes encoding proteins involved in proanthocyanidin synthesis | 1 |
Anthony William Larkin | AU | Bankstown | 2011-09-15 / 20110220211 - PLUMBING FITTING | 1 |
Adrian Larkin | US | 2012-11-29 / 20120302206 - SURFACE SOFTWARE AND ASSOCIATED METHODS | 1 | |
Thomas Larkin | US | Marathon | 2011-11-03 / 20110269610 - System and Method for Assembly of Packettes Having Closures | 2 |
John Larkin | US | Moscow | 2016-05-19 / 20160135534 - PROTECTIVE HELMET AND OPENING FOR SECURING THE HELMET | 2 |
Bradley R. Larkin | US | Shelby Township | 2015-09-03 / 20150246611 - TRANSFER CASE WITH STATIONARY LOW RANGE PLANETARY | 8 |
Adam Larkin | US | Dallas | 2016-03-10 / 20160068665 - CELLULOSE ESTER PLASTICS AND METHODS AND ARTICLES RELATING THERETO | 5 |
David W. Larkin | US | Houston | 2015-10-29 / 20150308231 - LIQUID BASED BOILER | 1 |
Paul Alan Larkin | US | Mt. Alry | 2012-11-29 / 20120300580 - DIRECT FIELD ACOUSTIC TESTING SYSTEM, CONTROLS, AND METHOD | 1 |
Bradley Larkin | US | Shelby Township | 2015-05-28 / 20150144453 - TORQUE TRANSFER MECHANISM WITH SEALED BALL-RAMP CLUTCH OPERATOR UNIT | 2 |
Paul Alan Larkin | US | Mt. Airy | 2012-11-29 / 20120300579 - DIRECT FIELD ACOUSTIC TESTING SYSTEM AND METHOD | 1 |
David Larkin | US | Richardson | 2015-06-25 / 20150181706 - HIGH VOLTAGE POLYMER DIELECTRIC CAPACITOR ISOLATION DEVICE | 2 |
Brittany Charlotte Larkin | US | Clark | 2012-05-03 / 20120103810 - HIGH-SENSITIVITY PROTEOLYSIS ASSAY | 2 |
Sandra Larkin | US | Berkeley | 2014-10-16 / 20140308260 - METHODS AND COMPOSITIONS COMPRISING A NITRITE-REDUCTASE PROMOTER FOR TREATMENT OF MEDICAL DISORDERS AND PRESERVATION OF BLOOD PRODUCTS | 1 |
Stephen D. Larkin | US | Merrimack | 2010-04-01 / 20100079173 - Synthesized Local Oscillator And Method Of Operation Thereof | 1 |
Joshua David Larkin | US | Reno | 2009-05-07 / 20090116707 - Discrete event distribution sampling apparatus and methods | 1 |
Peter John Larkin | US | Trumbull | 2014-04-17 / 20140107117 - Thienyl- and Furanyl-Isoquinolinones and Methods for Using Them | 3 |
Louis Joseph Larkin | US | Palm Beach Gardens | 2010-03-18 / 20100070105 - Optimal Guidance Blender for a Hovering/Flying Vehicle | 1 |
David W. Larkin | US | Bartlesville | 2015-04-09 / 20150096754 - INDIRECT BOILING FOR WATER TREATMENT | 2 |
Andrew Larkin | US | Philadelphia | 2015-07-09 / 20150195675 - Methods And Systems For Content Consumption | 2 |
Bradley Larkin | US | Shelby Twp. | 2011-11-10 / 20110275470 - AWD VEHICLE WITH DISCONNECT SYSTEM | 2 |
Joseph Larkin | US | Gainesville | 2016-01-28 / 20160022793 - COMPOSITIONS FOR TREATMENT AND/OR PREVENTION OF AUTOIMMUNE DISORDERS | 2 |
Damon Richard Larkin | US | Alpharetta | 2013-02-21 / 20130042501 - Disposable Protective Footwear Cover | 2 |
Rikard Larking | SE | Floda | 2011-09-22 / 20110228371 - Apparatus for Reducing Fringe Interference of Light Created in an Optical System of a Laser Spectroscopy System | 3 |
James Larkins | US | Troy | 2011-11-17 / 20110283379 - PLANTS AND SEEDS OF CORN VARIETY CV385683 | 9 |
Andrew Henry,john Larkins | GB | Berkshire | / - | 1 |
Darren Larkins | CA | Victoria | 2009-02-05 / 20090033656 - DATABASE DRIVEN RELATIONAL OBJECT MODELING AND DESIGN SYSTEM, METHOD AND SOFTWARE | 1 |
Lori Ballard Larkins | US | Kingsport | 2012-07-05 / 20120167785 - APPARATUS FOR FORMING A BALE HAVING SUBSTANTIALLY FLAT UPPER AND LOWER SURFACES | 2 |
David Larkins | US | Manchester | 2010-01-21 / 20100012047 - Veterinary Surgical Drape | 1 |
Nicholas John Larkins | GB | London | 2009-09-03 / 20090220624 - COMPOSITIONS COMPRISING APOCYNIN, GINKGO AND GINGER AND USES THEREOF | 2 |
Thomas Larkins | US | Chardon | 2012-10-11 / 20120255939 - ACCESSIBLE WORK CELL | 1 |
John Larkins | US | San Ramon | 2015-08-27 / 20150245332 - SYSTEMS, METHODS, APPARATUSES, DEVICES AND ASSOCIATED COMPUTER-READABLE MEDIA FOR PROVIDING 6LOWPAN DATA ACCESS | 4 |
Neil Larkins | GB | Crouch End | 2009-10-15 / 20090259512 - MEDIA DEVICE ACCESS CONTROL MECHANISM | 1 |
James Larkins | US | Troy | 2011-11-17 / 20110283379 - PLANTS AND SEEDS OF CORN VARIETY CV385683 | 9 |
Joshua Enoch Larkins | US | Birmingham | 2014-02-06 / 20140041024 - Method and Apparatus for Baiting Phishing Websites | 2 |
Grover Larkins | US | Miami | 2016-04-28 / 20160116426 - Nanotip Sensor | 1 |
Ted Larkins | US | Costa Mesa | 2014-11-27 / 20140346735 - Spinning Ball Game Apparatus and Game Play | 1 |
Fred Larkins | US | Mill Creek | 2010-12-09 / 20100308293 - RAILING SYSTEM | 1 |
James R. Larkins | US | Troy | 2014-12-04 / 20140359894 - PLANTS AND SEEDS OF HYBRID CORN VARIETY CH857429 | 67 |
Thomas A. Larkins | US | Chardon | 2011-04-14 / 20110084052 - ADJUSTABLE SPAN FERRIS WHEEL POSITIONER | 1 |
Nicholas J. Larkins | GB | London | 2011-04-14 / 20110086119 - Preparation for the relief of disease | 1 |
Joseph D. Larkins | US | Pontiac | 2012-03-29 / 20120074696 - RIB CONSTRUCTION FOR LARGE DIAMETER PIPE FITTINGS | 1 |
Joseph Dale Larkins | US | Willmar | 2015-06-04 / 20150151463 - METHOD OF ROTATIONAL MOLDING RIB PROFILE FOR LARGE DIAMETER PIPE FITTINGS | 1 |
William T. Larkins | US | Manchester | 2013-07-11 / 20130178831 - System and Method for Drug Preparation and Delivery | 4 |
Eric Darnell Larkin, Sr. | US | San Diego | 2015-08-20 / 20150237193 - AUTOMATIC SWITCHING OF MODES AND MODE CONTROL CAPABILITIES ON A WIRELESS COMMUNICATION DEVICE | 1 |
Stephen James Larkowski | US | Elgin | 2010-01-21 / 20100011523 - SIDE BROOM HAVING MEMORY RECALL AND METHOD FOR PERFORMING THE SAME | 1 |
Steven Philip Larky | US | Del Mar | 2015-05-21 / 20150143547 - SECURE PROVISIONING OF NETWORK SERVICES | 2 |
Diane Larlus | FR | Venon | 2013-04-04 / 20130084007 - GRAPH-BASED SEGMENTATION INTEGRATING VISIBLE AND NIR INFORMATION | 1 |
Diane Larlus | FR | La Tronche | 2013-06-20 / 20130159292 - EFFICIENT DOCUMENT PROCESSING SYSTEM AND METHOD | 1 |
Diane Larlus-Larrondo | FR | Grenoble | 2014-02-06 / 20140037198 - Image Segmentation Using Hierarchical Unsupervised Segmentation and Hierarchical Classifiers | 1 |
Diane Larlus-Larrondo | FR | La Tronche | 2016-05-05 / 20160124944 - PREDICTING THE QUALITY OF AUTOMATIC TRANSLATION OF AN ENTIRE DOCUMENT | 5 |
Helmut Larm | DE | Alfeld | 2011-01-20 / 20110011133 - LIGHTING DEVICE WITH LENS, AND MANUFACTURING PROCESS FOR MAKING THE SAME | 1 |
Maria Larm | AU | Rowville | 2011-01-20 / 20110014135 - VITAMIN FORMULATION | 1 |
Jürgen Larm | US | Farmington Hills | 2012-12-27 / 20120326958 - DISPLAY AND USER INTERFACE | 1 |
Olle Larm | SE | Bromma | 2016-04-14 / 20160101229 - BLOOD FILTRATION SYSTEM CONTAINING MANNOSE COATED SUBSTRATE | 9 |
Maria Graziella Larm | AU | Rowville | 2013-04-25 / 20130101525 - VITAMIN FORMULATION | 1 |
Maria Graziella Larm | AU | Rosanna | 2015-09-17 / 20150258023 - MICROEMULSION & SUB-MICRON EMULSION PROCESS & COMPOSITIONS | 4 |
Juergen Larm | DE | Dortmund | 2014-08-21 / 20140233685 - RECEIVER COMPENSATION | 1 |
Jürgen Larm | US | Farmington Hills | 2012-12-27 / 20120326958 - DISPLAY AND USER INTERFACE | 1 |
Olle Larm | SE | Bromma | 2016-04-14 / 20160101229 - BLOOD FILTRATION SYSTEM CONTAINING MANNOSE COATED SUBSTRATE | 9 |
Charles E. Larman | US | Carmel | / - | 1 |
Cole Ryan Larman | US | Rainier | 2013-01-24 / 20130019422 - Sunroof Genie Turbo; a vehicle sunroof drain tube snake. | 1 |
Jamacca Valene Larman | US | Rainier | 2013-01-24 / 20130019422 - Sunroof Genie Turbo; a vehicle sunroof drain tube snake. | 1 |
Harry B. Larman | US | Falmouth | 2009-08-20 / 20090209436 - HYDROGEL LABELED PRIMER EXTENSION METHOD FOR MICROARRAYS | 1 |
Mark Larman | US | Coral Springs | 2014-12-25 / 20140378181 - Inline Audio Recording Device | 1 |
Harry Benjamin Larman | US | Falmouth | 2010-10-28 / 20100273679 - METHODS FOR THE PREPARATION OF DNA MICROARRAYS WITH LINEAR HIGH DENSITY PROBES | 1 |
Mark G. Larman | AU | Melbourne | 2013-12-26 / 20130344595 - CULTURE MEDIA FOR DEVELOPMENTAL CELLS CONTAINING ELEVATED CONCENTRATIONS OF LIPOIC ACID | 2 |
Carene S. Larmat | US | Los Alamos | 2014-06-12 / 20140160882 - SYSTEM AND METHOD FOR GENERATING 3D IMAGES OF NON-LINEAR PROPERTIES OF ROCK FORMATION USING SURFACE SEISMIC OR SURFACE TO BOREHOLE SEISMIC OR BOTH | 1 |
Carène S. Larmat | US | Los Alamos | 2012-05-17 / 20120123684 - SYSTEM AND METHOD FOR GENERATING MICRO-SEISMIC EVENTS AND CHARACTERIZING PROPERTIES OF A MEDIUM WITH NON-LINEAR ACOUSTIC INTERACTIONS | 7 |
Kevin Patrick Larmer | US | San Marcos | 2014-09-18 / 20140268011 - MAGNETICALLY-BIASED EYEGLASSES | 3 |
Anna Larmo | FI | Espoo | 2016-05-12 / 20160135145 - TELECOMMUNICATIONS APPARATUS AND METHOD RELATING TO A RANDOM ACCESS PROCEDURE | 36 |
David W. Larmour | US | Suwanee | 2014-12-25 / 20140376764 - AUGMENTED CUSTOM FIT HEADSET | 1 |
Iain Alexander Larmour | GB | County Down | 2011-06-16 / 20110143119 - PRODUCT WITH TAILORED WETTABILITY | 1 |
Iain Alexander Larmour | GB | Conty Down | 2010-06-10 / 20100143741 - METHOD OF COATING A METALLIC ARTICLE WITH A SURFACE OF TAILORED WETTABILITY | 1 |
Eric A. Larnard | US | Scituate | 2014-05-29 / 20140149318 - METHOD AND SYSTEM FOR PROVIDING GUIDANCE DATA | 2 |
Cole Larner | US | Portland | 2014-10-09 / 20140302739 - STABILIZED FLYING DISC TOY | 1 |
Daniel Larner | US | San Jose | 2013-02-07 / 20130032135 - Apparatuses and Methods for Determining and Changing the Orientation of Solar Energy Capture Devices | 2 |
Johnathan Larner | US | Grand Blanc | 2012-10-18 / 20120261968 - REAR VEHICLE SEAT AUTOMATIC HEAD REST SYSTEM | 1 |
Stephen Larner | US | Alachua | 2015-05-21 / 20150141528 - NEURAL SPECIFIC S100B FOR BIOMARKER ASSAYS AND DEVICES FOR DETECTION OF A NEUROLOGICAL CONDITION | 1 |
Marcus G. Larner | US | 2012-05-31 / 20120136723 - Systems and Methods for Targeted Advertising | 1 | |
Stephen Frank Larner | US | Newberry | 2015-09-24 / 20150268252 - BIOMARKER ASSAY OF NEUROLOGICAL CONDITION | 3 |
Andrew Larner | US | San Francisco | 2014-02-20 / 20140052854 - CONTENT DELIVERY WITH LIMITED FREE SERVICE BASED ON PARAMETERIZED BEHAVIORAL MODEL | 1 |
Joel B. Larner | US | Fort Collins | 2009-11-12 / 20090282190 - DATA STRUCTURE FOR CONTROL INFORMATION ON REWRITEABLE DATA STORAGE MEDIA | 1 |
Daniel Lynn Larner | US | San Jose | 2015-11-26 / 20150336524 - Devices and Methods for an Energy-Absorbing End of a Vehicle | 2 |
Craig D. Larner | US | College Station | 2011-07-21 / 20110178718 - CHARACTERIZATION OF BIOLOGICAL SAMPLES | 1 |
Marcus G. Larner | US | Seattle | 2015-11-26 / 20150339715 - SYSTEMS AND METHODS FOR TARGETED ADVERTISING | 3 |
Daniel L. Larner | US | San Jose | 2013-12-26 / 20130342619 - Object Separator for Ink Jet Printer Applications | 8 |
Daniel L. Larner | US | San Jose | 2013-12-26 / 20130342619 - Object Separator for Ink Jet Printer Applications | 8 |
Tunji S. Laro | US | Secaucus | 2012-03-15 / 20120060761 - Pet Food Server | 1 |
Dick Laro | NL | Breda | 2016-05-05 / 20160124097 - SEISMIC SHAKER | 1 |
Peter Laro | NL | Wijchen | 2011-08-04 / 20110188270 - CIRCUIT | 3 |
Dick Antonius Hendrikus Laro | NL | Breda | 2016-01-07 / 20160004170 - Lithographic Apparatus and Device Manufacturing Method | 5 |
Frank D. Larocca | US | Malboro | 2015-06-25 / 20150178061 - APPLICATION ASSISTED SOFTWARE UPDATE FOR CONNECTED DEVICES WITHOUT A DISPLAY | 1 |
Scott Larocca | US | Altadena | 2013-09-05 / 20130230300 - DOCTOR TO PATIENT MULTIMEDIA SYNTHESIS COMMUNICATION | 1 |
David Larocca | US | Alameda | 2016-04-21 / 20160108368 - EXOSOMES FROM CLONAL PROGENITOR CELLS | 2 |
Steven D. Larocca | US | Terre Haute | 2015-07-16 / 20150199212 - MAINFRAME VIRTUALIZATION | 1 |
Michael J. Larocca | US | Parsippany | 2010-07-29 / 20100186780 - DENTAL APPLICANCE CLEANING APPARATUS | 1 |
Tim Richard Larocca | US | Redondo Beach | 2008-09-25 / 20080231383 - Origami Cascaded Topology For Analog and Mixed-Signal Applications | 1 |
David Larocca | US | Encinitas | 2014-04-10 / 20140099712 - Methods and Compositions for Targeting Progenitor Cell Lines | 3 |
Tobie Larocca | US | San Jose | 2015-05-28 / 20150150058 - Method and apparatus for providing dynamic pricing services for an interactive information distribution system | 2 |
Paul Larocca | US | Westport | 2013-11-21 / 20130310131 - Method and Apparatus for Conducting a Game of Chance Including a Ticket | 10 |
Tim R. Larocca | US | Redondo Beach | 2010-05-06 / 20100109724 - SUBMILLIMETER-WAVE SIGNAL GENERATION BY LINEAR SUPERIMPOSITION OF PHASE-SHIFTED FUNDAMENTAL TONE SIGNALS | 1 |
Paul Larocca | US | Westport | 2013-11-21 / 20130310131 - Method and Apparatus for Conducting a Game of Chance Including a Ticket | 10 |
Jennifer L. Larocca | US | Rochester | 2009-07-16 / 20090182708 - DEALING WITH COMPOSITE DATA THROUGH DATA MODEL ENTITIES | 3 |
Scott Douglas Larocca | US | Altadena | 2014-04-17 / 20140108938 - Group Management and Graphical User Interface for Associated Electronic Devices | 2 |
Anthony Michael Larocca | US | San Francisco | 2015-12-03 / 20150347691 - SYSTEMS AND METHODS FOR EVENT STREAM PLATFORMS WHICH ENABLE APPLICATIONS | 2 |
David J. Larocca | US | Carlsbad | 2014-02-20 / 20140051600 - SUPERCENTENARIAN INDUCED PLURIPOTENT STEM (sciPS) CELLS AND METHODS OF MAKING AND USING THEREOF | 1 |
Joseph M. Larocca | US | Eastpointe | 2015-08-27 / 20150240814 - COMPRESSOR FOR NATURAL GAS | 1 |
Julie Larocca | US | Phoenix | 2010-03-18 / 20100069298 - Methods for Detecting Overexpression of SPARC and Therapeutic and Diagnostic Methods Relating to Same | 1 |
Paul J. La Rocca | US | Rochester | 2011-11-24 / 20110286178 - Heat Sink For Distributing A Thermal Load | 2 |
Paul Jeffrey La Rocca | US | Research Triangle Park | 2012-11-08 / 20120281373 - Adjustable Riser Cage for Varying Length Adapters | 1 |
Maurizio La Rocca | IT | Sarno | 2010-08-19 / 20100211800 - SYSTEMS AND METHODS FOR PARTIAL MATCHING SEARCHES OF ENCRYPTED RETAINED DATA | 1 |
Giovanni La Rocca | IT | Prarolo | 2014-10-16 / 20140304954 - Slide Fastener | 2 |
Melanie A. Larocco | US | Manchester | 2009-12-10 / 20090301802 - PEM fuel cell auxiliary power system and method for starting an internal combustion engine vehicle | 1 |
Sylvain Laroche | CA | Quebec | 2014-10-02 / 20140292754 - EASY SELECTION THRESHOLD | 1 |
Christophe R. Laroche | US | Lake Jackson | 2015-06-04 / 20150151240 - AQUEOUS ABSORBENT COMPOSITION FOR ENHANCED REMOVAL OF HYDROGEN SULFIDE FROM GASEOUS MIXTURES AND METHOD FOR USING THE SAME | 9 |
Stephane Laroche | CA | Montreal | 2012-12-20 / 20120322481 - BAND STEERING | 4 |
Laurent Laroche | FR | Paris | 2015-05-28 / 20150148900 - PROCESS FOR PREPARING OBJECTS MADE OF BIOCOMPATIBLE HYDROGEL FOR USES THEREOF IN THE MEDICAL FIELD, AND MORE PARTICULARLY IN OPHTHALMOLOGY | 1 |
John Laroche | US | Gardner | 2012-10-25 / 20120267938 - Device and Method for Assembly of Furniture | 1 |
David Laroche | CA | Sherbrooke | 2015-10-01 / 20150274213 - FENDER FOR A WHEELED VEHICLE | 2 |
Vincent Laroche | FR | Joue En Charnie | 2011-06-09 / 20110136382 - INSERT AND METHOD OF ASSEMBLING SUCH AN INSERT | 1 |
Guillaume Laroche | FR | Rennes | 2015-11-19 / 20150334423 - METHOD AND DEVICE FOR OPTIMIZING ENCODING/DECODING OF COMPENSATION OFFSETS FOR A SET OF RECONSTRUCTED SAMPLES OF AN IMAGE | 27 |
Russ Laroche | US | Salem | 2012-11-15 / 20120289773 - CONNECTOR FOR A LAPAROSCOPIC SURGICAL SYSTEM | 1 |
Céline Laroche | FR | Crevant-Laveine | 2011-05-26 / 20110124854 - Cosmetic composition containing one or more compounds of the Beta-(1,3)-glucuronan or Beta-(1,3)-glucoglucuronan type | 1 |
Pierre Laroche | CA | Sherbrooke | 2012-08-30 / 20120217986 - MODULE ASSEMBLY HOLDING WORKBOARD | 1 |
Mathieu Laroche | FR | Cristot | 2014-01-16 / 20140016184 - HIGH-POWER OPTICAL FIBRE LASER | 2 |
Stéphane Laroche | CA | Lacalle | 2010-11-04 / 20100281250 - AUTHENTICATION AND ENCRYPTION METHOD AND APPARATUS FOR A WIRELESS LOCAL ACCESS NETWORK | 1 |
Guillaume Laroche | FR | Melesse | 2016-04-07 / 20160100186 - Method and apparatus for vector encoding in video coding and decoding | 28 |
Christophe R. Laroche | US | Lake Jackson | 2015-06-04 / 20150151240 - AQUEOUS ABSORBENT COMPOSITION FOR ENHANCED REMOVAL OF HYDROGEN SULFIDE FROM GASEOUS MIXTURES AND METHOD FOR USING THE SAME | 9 |
Paul Laroche | US | Miami | 2015-04-23 / 20150111185 - INTERACTIVE EMOTIONAL COMMUNICATION DOLL | 1 |
Arnaud Laroche | FR | Paris | 2014-09-11 / 20140258143 - COMPUTER SYSTEM FOR SCORING PATENTS | 1 |
Cory B. Laroche | US | Wixom | 2013-05-02 / 20130109534 - CONTROLLING GEARSHIFTING TO RPEVENT POWERTRAIN HUNTING | 1 |
Richard D. Laroche | US | Thetford Center | 2013-06-20 / 20130152926 - DRY POWDER INHALERS THAT INHIBIT AGGLOMERATION, RELATED DEVICES AND METHODS | 1 |
Gaétan Laroche | CA | Quebec | 2012-07-19 / 20120183786 - ANTI-FOG COATING, SUBSTRATE HAVING SAME AND PROCESS FOR PRODUCING SAME | 1 |
Catherine Laroche | FR | Charly | 2015-03-26 / 20150087878 - PROCESS FOR SEPERATING XYLENES BY SIMULATED COUNTER-CURRENT, TREATING A FEED INCLUDING OXYGEN-CONTAINING AROMATIC IMPURITIES OF THE PHENOL TYPE | 1 |
David C. Laroche | US | North Hampton | 2013-05-09 / 20130117731 - SOFTWARE TESTING | 1 |
Celine Laroche | FR | Crevant-Laveine | 2015-11-19 / 20150328253 - SULPHATED POLYSACCHARIDE COMPOSITION | 1 |
Guillaume Laroche | FR | Saint Aubin D'Aubigne | 2015-12-03 / 20150350674 - Method and apparatus for block encoding in video coding and decoding | 1 |
Jeffrey R. Laroche | US | Lowell | 2015-03-05 / 20150059640 - METHOD FOR REDUCING GROWTH OF NON-UNIFORMITIES AND AUTODOPING DURING COLUMN III-V GROWTH INTO DIELECTRIC WINDOWS | 8 |
Jean Laroche | US | Santa Cruz | 2014-08-28 / 20140244273 - VOICE-CONTROLLED COMMUNICATION CONNECTIONS | 6 |
Daniel Laroche | CA | Kemptville | 2014-07-10 / 20140195776 - MEMORY ACCESS FOR A VECTOR PROCESSOR | 3 |
Gaetan Laroche | CA | Quebec | 2009-10-01 / 20090246513 - Process for Producing Anti-Fog Coating | 1 |
Andre Laroche | CA | Lethbridge | 2010-01-28 / 20100024078 - Diacylglycerol acyltransferases from flax | 2 |
Humberto Laroche | US | Wayside | 2010-04-01 / 20100081459 - Wireless communication system for distributing paging messages and method thereof | 1 |
Jeffrey R. Laroche | US | Tewksbury | 2010-04-15 / 20100090228 - BORON ALUMINUM NITRIDE DIAMOND HETEROSTRUCTURE | 1 |
Yves Rene Laroche | BE | Bruxelles | 2010-09-23 / 20100240584 - Nematode-extracted serine protease inhibitors and anticoagulant proteins | 1 |
Patrick Laroche | CA | Toronto | 2010-09-30 / 20100247849 - ANTISKID DUNNAGE | 1 |
Stéphane Laroche | CA | Lacalle | 2010-11-04 / 20100281250 - AUTHENTICATION AND ENCRYPTION METHOD AND APPARATUS FOR A WIRELESS LOCAL ACCESS NETWORK | 1 |
Jean-Louis Laroche | CA | Montreal | 2010-11-04 / 20100277338 - SYSTEM AND METHOD FOR POSITIONING AND TRACKING MOBILES WITHIN A STRUCTURE | 1 |
Jeffrey R. Laroche | US | Lowell | 2015-03-05 / 20150059640 - METHOD FOR REDUCING GROWTH OF NON-UNIFORMITIES AND AUTODOPING DURING COLUMN III-V GROWTH INTO DIELECTRIC WINDOWS | 8 |
Martine Laroche | FR | Paris | 2013-07-25 / 20130187049 - SPECTRAL FILTER HAVING A STRUCTURED MEMBRANE AT THE SUB-WAVELENGTH SCALE, AND METHOD FOR MANUFACTURING SUCH A FILTER | 1 |
Russell Laroche | US | Salem | 2016-02-04 / 20160030072 - GAUGELESS ACTUABLE TIP FOR ATTACHMENT TO HANDPIECE | 1 |
Genevieve Laroche | US | San Jose | 2014-01-30 / 20140032899 - Method and system for secure form delivery | 1 |
Daniel Claude Laroche | CA | Kemptville | 2016-04-14 / 20160103784 - ASYMMETRICAL PROCESSOR MEMORY ARCHITECTURE | 1 |
Stephane Laroche | US | St. Laurent | 2016-02-18 / 20160050683 - MULTIBAND OPERATION OF A SINGLE WI-FI RADIO | 1 |
Cory Laroche | US | Wixom | 2016-04-07 / 20160097448 - Control of Transmission Latch Valve | 1 |
Guillaume Laroche | FR | Suresnes | 2013-12-26 / 20130340571 - DISSOLUTION AND RECOVERY OF AT LEAST ONE ELEMENT NB OR TA AND OF AT LEAST ONE OTHER ELEMENT U OR RARE EARTH ELEMENTS FROM ORES AND CONCENTRATES | 1 |
Edouard Laroche | FR | Strasbourg | 2009-08-27 / 20090216067 - ROBOTIZED INSTALLATION FOR THE POSITIONING AND MOVEMENT OF A COMPONENT OR INSTRUMENT AND TREATMENT DEVICE THAT COMPRISES SUCH AN INSTALLATION | 1 |
Catherine Laroche | FR | Vernaison | 2016-03-10 / 20160067673 - ZEOLITE ADSORBENTS COMPRISING ZEOLITE EMT, PROCESS FOR PREPARING THE SAME AND USES THEREOF | 7 |
Guillaume Laroche | FR | Paris | 2009-05-21 / 20090129464 - Adaptive Coding and Decoding | 1 |
Vincent Laroche | FR | Saint-Marcellin | 2010-07-29 / 20100190372 - QUICK-FIT CONNECTION SOCKET FOR MULTI-CONDUCTOR CABLE | 6 |
Guillaume Laroche | FR | Rennes | 2015-11-19 / 20150334423 - METHOD AND DEVICE FOR OPTIMIZING ENCODING/DECODING OF COMPENSATION OFFSETS FOR A SET OF RECONSTRUCTED SAMPLES OF AN IMAGE | 27 |
Christophe Laroche | FR | Evreux | 2010-01-07 / 20100003420 - MEDICAMENT DISPENSER | 1 |
Guillaume Laroche | FR | Melesse | 2016-04-07 / 20160100186 - Method and apparatus for vector encoding in video coding and decoding | 28 |
Humberto J. La Roche | US | Ocean | 2015-12-17 / 20150365322 - PROVIDING VIRTUAL PRIVATE SERVICE CHAINS IN A NETWORK ENVIRONMENT | 11 |
Audrey Laroche-Clary | FR | Bordeaux | 2015-12-24 / 20150366871 - Increased Dosage of Efavirenz for the Treatment of Cancer | 1 |
William J. Larochelle | US | Madison | 2015-02-12 / 20150044212 - Antibodies Directed to GPNMB and Uses Thereof | 4 |
Catherine Larochelle | CA | Montreal | 2013-01-17 / 20130017206 - MCAM MODULATION AND USES THEREOF | 2 |
Paul Larochelle | US | Satelite Beach | 2015-09-24 / 20150265395 - Materials and Methods for Improved Bone Tendon Bone Transplantation | 2 |
Michel R. Larochelle | US | Bedford | 2016-05-12 / 20160130826 - METHOD AND APPARATUS FOR REPAIRING AND SEALING DOOR AND WINDOW JAMBS, FRAMES, THRESHOLDS, AND EXTERIOR TRIM | 2 |
Patrick G. Larochelle | US | White Lake | 2014-09-18 / 20140277980 - HILL HOLD DECAY | 1 |
Pierre Larochelle | CA | Brossard | 2012-03-15 / 20120065075 - METHOD OF DETERMINING A PREDISPOSITION TO ATRIAL FIBRILLATION (AF) IN A SUBJECT | 1 |
Dominique Larochelle | CA | St-Bruno | 2009-10-22 / 20090260251 - Clothes dryer with louvre cover | 3 |
Kevin Larochelle | US | Goffstown | 2014-03-06 / 20140061479 - APPARATUS FOR PROVIDING LASER COUNTERMEASURES TO HEAT-SEEKING MISSILES | 1 |
Philippe Larochelle | US | Mountain View | 2015-09-17 / 20150260463 - SYSTEMS AND METHODS FOR ENERGY STORAGE AND RETRIEVAL | 1 |
Marc Larochelle | US | Bedford | 2015-11-12 / 20150320916 - CHEST DRAINAGE SYSTEMS AND METHODS | 2 |
David Larochelle | CA | Quebec | 2009-02-19 / 20090045994 - MULTIPLE MODE DIGITIZATION SYSTEM FOR A NON-DESTRUCTIVE INSPECTION INSTRUMENT | 1 |
Sophie Larochelle | CA | Quebec | 2012-01-26 / 20120020670 - METHOD AND SYSTEM FOR HIGH PRECISION GPS APPLICATIONS | 2 |
Janikim Larochelle | CA | Beloeil | 2013-03-14 / 20130065240 - CULTURE MEDIUM, METHOD FOR CULTURING SALMONELLA AND E. COLI AND METHOD FOR DETECTING SALMONELLA AND E. COLI | 1 |
Luc Larochelle | CA | Fleurimont | 2010-11-25 / 20100294193 - TUBE HAVING AN ACCESS DOOR FOR A RIGID INFLATABLE BOAT | 2 |
Serge Larochelle | CA | Windsor | 2010-11-25 / 20100294193 - TUBE HAVING AN ACCESS DOOR FOR A RIGID INFLATABLE BOAT | 1 |
Jonathan R. Larochelle | US | New Haven | 2016-03-10 / 20160068574 - Modified Proteins and Methods of Use Thereof | 1 |
Pierre Marc Larochelle | US | West Melbourne | 2012-12-13 / 20120312606 - Robotic Walking Apparatus | 1 |
David M. Larochelle | US | Hollis Center | 2009-11-19 / 20090286003 - METHOD OF COATING A TURBINE ENGINE COMPONENT USING A LIGHT CURABLE MASK | 1 |
William Larochelle | US | Madison | 2013-09-19 / 20130244255 - ANTIBODIES DIRECTED TO GPNMB AND USES THEREOF | 3 |
David Larochelle | CA | St-Marc-De-Figuery | 2016-04-21 / 20160109427 - STIFFNESS MEASURING MECHANISM, ROTARY TIMBER CHARGER WITH STIFFNESS MEASURING MECHANISM AND METHOD OF OPERATION FOR SAME | 1 |
Pierre Larochelle | US | West Melbourne | 2015-11-05 / 20150316129 - Reconfigurable Motion Generator | 1 |
Philippe Larochelle | CA | Drummondville | 2009-12-24 / 20090314869 - DRUM FOR COUNTERBALANCING SYSTEM OF A CABLE-OPERATED DOOR | 1 |
Cyril Laroche-Py | US | Houston | 2014-07-24 / 20140204121 - AUGMENTED REALITY FOR OILFIELD | 5 |
Paul George Larock | US | Abrams | 2013-09-05 / 20130228729 - LIFTING DEVICE FOR VEHICLE WHEELS | 1 |
Leslie M. Larock | US | Davidson | 2015-03-05 / 20150066750 - Payments Perfection and Processing System | 4 |
Leonardo A. Laroco, Jr. | US | Philadelphia | 2014-12-25 / 20140379743 - FINDING AND DISAMBIGUATING REFERENCES TO ENTITIES ON WEB PAGES | 4 |
Robert J. Larocque | US | Bedford | 2010-07-29 / 20100190130 - DENTAL CURING TOOL WITH AUTOMATICALLY ROTATING LIGHT GUIDE | 1 |
Daniel Larocque | CA | Quebec | 2014-08-28 / 20140242112 - NOVEL VACCINE | 2 |
Ryan K. Larocque | US | Pepperell | 2015-08-13 / 20150224419 - Water Vapor Distillation Apparatus, Method and System | 15 |
John Larocque | US | Fort Myers | 2014-04-17 / 20140102573 - Air ventilated garment | 1 |
Audry Larocque | CA | Mont-Royal | 2014-04-17 / 20140108601 - SYSTEM AND METHOD FOR CONTENT PERSONALIZATION USING FEEDBACK DATA | 3 |
Daniel Larocque | CA | Laval | 2014-10-02 / 20140294849 - COMPOSITIONS AND USES | 6 |
Louise Larocque | CA | Orleans | 2012-01-26 / 20120021403 - Human Endogenous Retrovirus with Foamy-Like Properties and Uses Thereof | 1 |
Marcel Larocque | US | Jacksonville | 2016-04-28 / 20160118082 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR MULTIPLE ASPECT RATIO AUTOMATED SIMULCAST PRODUCTION | 3 |
Ryan K. Larocque | US | Pepperell | 2015-08-13 / 20150224419 - Water Vapor Distillation Apparatus, Method and System | 15 |
Ryan Keith Larocque | US | Pepperell | 2012-09-13 / 20120227403 - COOLANT PENETRATING COLD-END PRESSURE VESSEL | 1 |
Kyle C. Larocque | US | Ortonville | 2011-11-03 / 20110268904 - ARTICLE FOR PREPPING/FLATTENING A FLOOR | 2 |
Jean-Renè Larocque | CA | Peterborough | 2016-05-19 / 20160139264 - Method for Determining a Distance Between an FMCW Ranging Device and a Target | 3 |
Richard Ryan Larocque | US | Seattle | 2014-01-09 / 20140012981 - APPARATUS AND METHODS FOR OPTIMIZING NETWORK DATA TRANSMISSION | 1 |
Tim Larocque | US | Oak Forest | 2014-05-01 / 20140119679 - Thermoplastic Multi-Ply Film with Metallic Appearance | 1 |
Colleen P. Larocque | US | Bedford | 2010-09-16 / 20100229356 - JEWELRY CLASP ANCHORING DEVICE | 1 |
Audry Larocque | CA | Montreal | 2013-04-25 / 20130103557 - METHOD AND SYSTEM FOR OPERATING A VIRTUAL ENERGY NETWORK | 2 |
Ryan K. Larocque | US | Pepperrell | 2014-12-18 / 20140370766 - Swimming Propulsion Device | 1 |
Ryan Larocque | US | Pepperell | 2012-03-29 / 20120073950 - Water Vapor Distillation Apparatus, Method and System | 3 |
Patrick J. Larocque | US | Macedon | 2008-10-16 / 20080256344 - Scan Configuration of Field Programmable Gate Arrays | 1 |
Audry Larocque | CA | Ville Mont-Royal | 2015-12-03 / 20150348071 - SERVER AND METHOD FOR GENERATING PREDICTIVE PATTERNS FOR WEBSITE ANALYSIS | 2 |
Liane Marie Larocque | US | Los Angeles | 2012-03-08 / 20120056363 - LEAF SPRING | 2 |
Louise Larocque | CA | Manitoba | 2009-01-15 / 20090017447 - Human Endogenous Retrovirus with Foamy-Like Properties and Uses Thereof | 1 |
James M. Larocque | US | Hopkins | 2012-03-22 / 20120067072 - METHODS, SYSTEMS, AND DEVICES FOR CONTROLLING ANTI-SWEAT HEATERS | 1 |
Pierre-Andre Larocque | CA | Sainte-Adele | 2011-01-27 / 20110021051 - ADAPTER | 1 |
Ryan K. Larocque | US | Manchester | 2016-01-28 / 20160025036 - Coolant Penetrating Cold-End Pressure Vessel | 2 |
Audry Larocque | CA | Quebec | 2010-11-04 / 20100278068 - Method and System for Filtering IP Traffic in Mobile IP Networks | 1 |
Robert Ralph Larocque | CA | Redwood Meadows | 2009-04-23 / 20090102267 - LaceUp | 1 |
Jessica G. Larocque | US | Bedford | 2013-07-11 / 20130179185 - SYSTEM AND METHOD FOR TACTICAL MEDICAL TRIAGE DATA CAPTURE AND TRANSMISSION | 1 |
Jean-Renè Larocque | CA | Peterborough | 2011-09-01 / 20110211644 - Method for Detecting an Object with a Frequency Modulated Continuous Wave (FMCW) Ranging System | 2 |
Ryan K. Larocque, Jr. | US | Pepperell | 2013-05-23 / 20130126430 - Systems, Apparatus, and Methods for a Water Purification System | 1 |
Basil La Roda, Ii | US | Omaha | 2014-10-09 / 20140304133 - TICKER TILES | 1 |
Rajiv Laroia | US | Far Hill | 2013-11-28 / 20130315100 - CODING METHODS OF COMMUNICATING IDENTIFIERS IN PEER DISCOVERY IN A PEER-TO-PEER NETWORK | 1 |
Rajiv Laroia | US | Bridgewater | 2012-04-12 / 20120088450 - METHODS AND APPARATUS FOR SUPPORTING COMMUNICATION OVER DIFFERENT RANGES IN A WIRELESS NETWORK | 3 |
Rajiv Laroia | US | San Diego | 2015-02-12 / 20150043374 - METHODS AND APPARATUS FOR BROADCASTING LOADING INFORMATION CORRESPONDING TO NEIGHBORING BASE STATIONS | 4 |
Rajiv Laroia | US | Basking Ridge | 2014-07-10 / 20140192253 - METHODS AND APPARATUS FOR CAPTURING AND/OR PROCESSING IMAGES | 10 |
Rajiv Laroia | US | Basking Ridge | 2014-07-10 / 20140192253 - METHODS AND APPARATUS FOR CAPTURING AND/OR PROCESSING IMAGES | 10 |
Serena Laroia | US | Dublin | 2010-01-07 / 20100003386 - SYSTEM, METHOD AND COMPOSITIONS FOR DISPENSING A LIQUID BEVERAGE CONCENTRATE | 1 |
Rajiv Laroia | US | Far Hills | 2016-05-19 / 20160139375 - CAMERA METHODS AND APPARATUS USING OPTICAL CHAIN MODULES WHICH ALTER THE DIRECTION OF RECEIVED LIGHT | 167 |
Deepika Laroia | US | Far Hills | 2014-03-13 / 20140074737 - SCREENING AND MONITORING DATA TO ENSURE THAT A SUBJECT ENTITY COMPLIES WITH LAWS AND REGULATIONS | 1 |
Julia Y. Larokova | US | Naperville | 2009-01-08 / 20090010647 - METHOD AND APPARATUS FOR ROUTING COMMUNICATIONS IN A MESH NETWORK | 1 |
Rajiv Larola | US | Far Hills | 2009-01-15 / 20090017851 - Synchronization Of A Peer-To-Peer Communication Network | 1 |
Shirley Larom | IL | Zichron Ya'Akov | 2014-08-07 / 20140223610 - BIO-ENGINEERED PHOTOSYSTEMS | 2 |
Nevo Laron | US | Walnut Creek | 2011-10-13 / 20110249111 - PROCESS CONTROL AND MANUFACTURING METHOD FOR FAN OUT WAFERS | 1 |
Zvi Laron | IL | Tel Aviv | 2014-12-18 / 20140370054 - METHODS FOR INHIBITION OF TYPE 1 DIABETES | 1 |
Etamar Laron | IL | Maggal | 2014-09-11 / 20140258351 - REVISION CONTROL SYSTEM AND METHOD | 2 |
Zvi Laron | IL | Ramat Efal | 2015-05-14 / 20150133380 - METHODS FOR TREATING DISEASES WITH PEPTIDES THAT INHIBIT IGF-1 | 4 |
Bradley D. Laronde | US | Pennellville | 2013-07-04 / 20130173243 - Virtual Mass Emulator | 1 |
Sarah Laronde | CA | Hamilton | 2015-02-05 / 20150038371 - TRANSFORMED HUMAN PLURIPOTENT STEM CELLS AND ASSOCIATED METHODS | 2 |
Mark Laronde | CA | Breslau | 2014-03-20 / 20140075863 - ADJUSTABLE POCKET DOOR FRAME | 1 |
Frank Laronde | CA | Toronto | 2010-03-11 / 20100062974 - COMBINATION THERAPY FOR THE TREATMENT OF BACTERIAL INFECTIONS | 3 |
Yvan Larondelle | BE | Bruxelles | 2009-07-30 / 20090191272 - Use of vegetable fine grain sized fibres for preparing a nutritional composition for reducing mycotoxin bioavailability | 1 |
Yvan Larondelley | BE | Beuxelles | 2011-03-24 / 20110070328 - Use Of Vegetable Fine Grain Sized Fibers For Preparing A Nutritional Composition For Reducing Mycotoxin Bioavailability | 1 |
Aurelie Laronze | FR | Grenoble | 2013-01-17 / 20130018178 - METHOD FOR THE PURIFICATION OF HBHAAANM Laronze; AurelieAACI GrenobleAACO FRAAGP Laronze; Aurelie Grenoble FRAANM Mouz; NicolasAACI Saint Paul De VarcesAACO FRAAGP Mouz; Nicolas Saint Paul De Varces FRAANM Pech; JoanaAACI Saint EgreveAACO FRAAGP Pech; Joana Saint Egreve FR | 1 |
Ivo Laros | NL | Renkum | 2011-05-05 / 20110105338 - EXPRESSION-LINKED GENE DISCOVERY | 2 |
Timothy John Laros | US | Park City | 2013-10-03 / 20130256243 - OPEN-CHANNEL FEED DILUTION SYSTEM FOR A THICKENER OR SETTLING TANK | 1 |
Mark P. Larosa | US | North Andover | 2014-11-27 / 20140351076 - Creating Images for Displaying or Printing on Low-Contrast Background | 1 |
Anthony Larosa | US | Woodland Hills | 2014-06-19 / 20140166024 - MOUTHGUARD FOR THE DELIVERY OF ACTIVE INGREDIENTS | 1 |
Thomas J. Larosa | US | Fenton | 2015-05-21 / 20150143581 - Nucleic acid molecules and other molecules associated with plants and uses thereof | 10 |
Gregory J. Larosa | US | West Roxbury | 2015-03-26 / 20150086546 - HUMANIZED ANTI-CCR2 ANTIBODIES AND METHODS OF USE THEREFOR | 2 |
Vincent J. Larosa | US | Frenchtown | 2016-04-14 / 20160105408 - SECURING APPLICATION PROGRAMMING INTERFACES (APIS) THROUGH INFRASTRUCTURE VIRTUALIZATION | 1 |
Gregory J. Larosa | US | Newton | 2014-09-11 / 20140256782 - Opsin-Binding Ligands, Compositions and Methods of Use | 8 |
Joseph J. Larosa | US | Warren | 2013-09-12 / 20130239178 - SYSTEM AND METHOD FOR EXPANDING, AMALGAMATING, SELECTIVELY UTILIZING AND TRANSFORMING ACCESS TO NETWORKING WEBSITES AND USER INFORMATION THEREIN | 1 |
Christopher Larosa | US | San Francisco | 2012-07-19 / 20120185902 - Programmable, Interactive Content Viewing on a Mobile Video Application | 2 |
Jeanette Larosa | US | Kingston | 2010-04-08 / 20100085888 - METHOD AND APPARATUS FOR ANALYZING SOURCE INTERNET PROTOCOL ACTIVITY IN A NETWORK | 1 |
Francesco Alfredo Larosa | US | Neptune | 2013-02-14 / 20130041409 - APPARATUS FOR STABILIZING VERTEBRAL BODIES | 2 |
Giuseppe Larosa | IT | Castellamonte (torino) | 2009-07-30 / 20090190583 - Method for Determining Prospective Peering Partners for an Internet Service Provider | 1 |
Manuela Larosa | IT | Giarre (ct) | 2013-10-17 / 20130269443 - SOLID STATE PRESSURE SENSOR | 2 |
Craig Larosa | US | Natick | 2011-05-26 / 20110120352 - TABLE | 1 |
Christopher P. Larosa | US | Crystal Lake | 2014-07-17 / 20140198757 - MINIMALISTIC LTE MAINTENANCE TIMING ADVANCE METHOD | 2 |
Roberto Larosa | IT | Catania | 2015-08-13 / 20150229222 - POWER SUPPLY APPARATUS FOR AN ELECTRICAL APPLIANCE | 4 |
Christopher Russell Larosa | US | San Francisco | 2016-02-11 / 20160041807 - SYSTEM AND METHOD FOR SELECTIVE REMOVAL OF AUDIO CONTENT FROM A MIXED AUDIO RECORDING | 2 |
Francesco Larosa | FR | Rousset | 2013-08-01 / 20130193437 - DEVICE FOR PROTECTING AN INTEGRATED CIRCUIT AGAINST BACK SIDE ATTACKS | 1 |
Francesco A. Larosa | US | Neptune | 2015-05-14 / 20150134006 - Lockable Pedicle Fastener | 3 |
Thomas J. Larosa | US | Fenton | 2015-05-21 / 20150143581 - Nucleic acid molecules and other molecules associated with plants and uses thereof | 10 |
Theresa Larosa | US | Noblesville | 2014-07-24 / 20140207673 - AUTOMATED TELLER MACHINE TRANSACTION BLOCKING | 1 |
Francesco Larosa | US | Neptune | 2015-07-09 / 20150190186 - PEDICLE SCREW WITH REVERSE SPIRAL CUT AND METHODS THEREOF | 5 |
Anthony J. Larosa | US | Rockaway | 2014-03-27 / 20140084761 - MULTI-JOINT FIXTURE SYSTEM | 1 |
Mark P. Larosa | US | Melrose | 2009-07-30 / 20090189913 - CREATING IMAGES FOR DISPLALYING OR PRINTING ON LOW-CONTRAST BACKGROUND | 1 |
Gregory J. Larosa | US | Newton | 2014-09-11 / 20140256782 - Opsin-Binding Ligands, Compositions and Methods of Use | 8 |
Frank Larosa | US | Neptune | 2014-04-24 / 20140114139 - RETRACTOR DEVICE AND METHOD | 1 |
Dean V. Larosa | US | Bohemia | 2013-04-04 / 20130082933 - MOBILE COMPUTER WITH KEYPAD-EMBEDDED RFID ANTENNA | 1 |
Tom F. Larosa | US | Voorheesville | 2010-08-05 / 20100194556 - ELECTRONIC LIGHTBAR WARNING DEVICE AND METHOD OF USE THEREOF | 1 |
Tony Larosa | US | Oxnard | 2016-02-25 / 20160051510 - ORAL DISSOLVABLE FILM THAT INCLUDES PLANT EXTRACT | 1 |
Francis Ross Larosa | US | Moorestown | 2015-04-16 / 20150102122 - APPARATUS AND METHOD FOR DISPENSING AN ANIMAL ATTRACTANT | 1 |
Robert Larosa | US | Ronkonkoma | 2015-01-22 / 20150021106 - COOLER CART | 1 |
Thomas Allen Larosa | US | Tyngsboro | 2013-09-05 / 20130232264 - NETWORK FAULT MANAGER | 3 |
Manuela La Rosa | IT | Giarre Ct | 2012-05-31 / 20120132711 - LARGE AREA MONITORING DEVICE | 1 |
Roberto La Rosa | IT | Catania | 2013-10-17 / 20130272037 - POWER SUPPLY CIRCUIT FOR REMOTELY TURNING-ON ELECTRICAL APPLIANCES | 3 |
Francesco La Rosa | IT | Rousset | 2015-02-12 / 20150043269 - ELECTRIC CHARGE FLOW CIRCUIT FOR A TIME MEASUREMENT | 1 |
Manuel La Rosa | DE | Cologne | 2011-07-14 / 20110172350 - SILANE-GRAFTED-a-OLEFIN-VINYL ACETATE COPOLYMER CONTAINING CROSSLINKABLE SILYL GROUPS, PROCESS FOR THE PREPARATION THEREOF AND USE FOR THE PREPARATION OF INSULATION OR SHEATH MATERIALS FOR CABLES OR LINES | 1 |
Antonio Luigi La Rosa | IT | Pavia | 2015-11-05 / 20150314114 - COLLAGEN DEVICE | 1 |
Daniela La Rosa | IT | Messina | 2009-03-19 / 20090075138 - Electrochemical Device And Process For Manufacturing An Electrochemical Device | 1 |
Giuseppe La Rosa | US | Fishkill | 2009-07-02 / 20090167336 - METHOD AND APPARATUS FOR DYNAMIC CHARACTERIZATION OF RELIABILITY WEAROUT MECHANISMS | 1 |
Manuela La Rosa | IT | Gravina Di Catania | 2014-10-02 / 20140295585 - TAILORABLE FLEXIBLE SHEET OF MONOLITHICALLY FABRICATED ARRAY OF SEPARABLE CELLS EACH COMPRISING A WHOLLY ORGANIC, INTEGRATED CIRCUIT ADAPTED TO PERFORM A SPECIFIC FUNCTION | 1 |
Didier Garcia La Rosa | US | Miami | 2009-04-02 / 20090089411 - METHOD AND APPARATUS FOR DISTRIBUTING MEDIA CONTENT | 1 |
Roberto La Rosa | IT | Agrate Brianza (mi) | 2011-09-29 / 20110234105 - AUTOMATIC METHOD TO DETECT SHORT AND OPEN CONDITIONS ON THE OUTPUTS OF A LED DRIVER DEVICE | 1 |
Thomas J. La Rosa | US | Fenton | 2015-07-16 / 20150197763 - SOY NUCLEIC ACID MOLECULES AND OTHER MOLECULES ASSOCIATED WITH PLANTS AND USES THEREOF FOR PLANT IMPROVEMENT | 19 |
Francesco La Rosa | FR | Rousset | 2016-03-10 / 20160071598 - METHOD FOR BIASING AN EMBEDDED SOURCE PLANE OF A NON-VOLATILE MEMORY HAVING VERTICAL SELECT GATES | 36 |
Anthony J. La Rosa | US | Wharton | 2014-09-04 / 20140249535 - ACETABULAR CUP POSITIONING DEVICE | 1 |
Thomas J. La Rosa | US | Maryland Heights | 2010-07-22 / 20100186127 - NUCLEIC ACID MOLECULES AND OTHER MOLECULES ASSOCIATED WITH PLANTS | 7 |
Manuel La Rosa | DE | Koln | 2013-05-23 / 20130131238 - FLAME-PROOFED POLYMER COMPOSITIONS | 4 |
Manuela La Rosa | IT | Giarre | 2011-09-01 / 20110211316 - TAILORABLE FLEXIBLE SHEET OF MONOLITHICALLY FABRICATED ARRAY OF SEPARABLE CELLS EACH COMPRISING A WHOLLY ORGANIC, INTEGRATED CIRCUIT ADAPTED TO PERFORM A SPECIFIC FUNCTION | 2 |
Thomas La Rosa | US | Fenton | 2011-06-02 / 20110131679 - Rice Nucleic Acid Molecules and Other Molecules Associated with Plants and Uses Thereof for Plant Improvement | 6 |
Mario La Rosa | IT | Roma | 2015-05-07 / 20150123642 - CURRENT GENERATOR DEVICE AND METHOD OF GENERATING A CURRENT WAVE | 1 |
José La Rosa Ducato | DE | Erding | 2010-11-25 / 20100296112 - METHOD, COMPUTER PROGRAM PRODUCT, AND DEVICE FOR THE CREATION AND PROCESSING OF DOCUMENT DATA COMPRISING INDEXED COLOR MANAGEMENT RESOURCES | 2 |
Joshua Larose | US | Albany | 2015-12-03 / 20150348746 - MULTI-STEP LOCATION SPECIFIC PROCESS FOR SUBSTRATE EDGE PROFILE CORRECTION FOR GCIB SYSTEM | 3 |
Alphonse S. Larose | US | Tampa | 2012-03-29 / 20120073677 - Water Actuated Pressurized Gas Release Device | 1 |
Brian Larose | US | San Ramon | 2015-03-05 / 20150066139 - HEART ANCHOR POSITIONING DEVICES, METHODS, AND SYSTEMS FOR TREATMENT OF CONGESTIVE HEART FAILURE AND OTHER CONDITIONS | 1 |
Cyril Larose | FR | Rennes | 2014-03-27 / 20140090052 - METHOD FOR INPUTTING A CODE USING A PORTABLE DEVICE, AND ASSOCIATED PORTABLE DEVICE | 1 |
Leo D. Larose | US | Novi | 2014-09-18 / 20140268793 - REFRIGERATED DISPLAY MERCHANDISER WITH LIGHT FILTER | 1 |
Michael P. Larose | US | Rochester Hills | 2015-09-17 / 20150259017 - Flexible Conveyance System | 2 |
Robert Larose | CA | Laval | 2011-10-06 / 20110243159 - METHOD AND SYSTEM FOR TUNABLE PULSED LASER SOURCE | 2 |
Joel Larose | CA | Longueuil | 2014-01-16 / 20140017477 - Thermal Barrier Coating with Lower Thermal Conductivity | 3 |
Claire Larose | FR | Serent | 2010-01-21 / 20100012045 - Appentence Measurement System | 1 |
Danielle Larose | CA | Lachine | 2008-12-04 / 20080295464 - HEAD GEAR FOR A HORSE | 1 |
Bruce Larose | US | Loganville | 2013-06-27 / 20130163757 - METHOD AND APPARATUS FOR CONNECTION TO VIRTUAL PRIVATE NETWORKS FOR SECURE TRANSACTIONS | 1 |
Nicolas Larose | US | 2015-05-21 / 20150136181 - RACK FOR RECEIVING DISHES, TO BE INSERTED INTO A DISHWASHER | 1 | |
Jacques A. Larose | US | Round Lake | 2014-02-06 / 20140039402 - SECUREMENT DEVICE FOR MEDICAL FIXTURES | 2 |
Pierre Larose | CA | St-Basile-Le_grand | 2016-04-28 / 20160119215 - MONITORING NETWORK PERFORMANCE OF ENCRYPTED COMMUNICATIONS | 1 |
Albert Larose | US | Dracut | 2015-12-31 / 20150379857 - Monitoring Apparatus and Related Methods | 5 |
Pascal Larose | CA | Sherbrooke | 2016-03-24 / 20160087491 - CONSTRUCTION OF MOTORIZED WHEEL FOR VEHICLE MOTORIZATION | 2 |
Jeffrey A. Larose | US | Sunrise | 2016-05-19 / 20160138597 - ROTARY PUMP WITH THRUST BEARINGS | 9 |
Nicolas Larose | FR | Nogent-Sur-Marne | 2015-05-21 / 20150136181 - RACK FOR RECEIVING DISHES, TO BE INSERTED INTO A DISHWASHER | 1 |
Jeffrey Larose | US | Parkland | 2014-02-13 / 20140046118 - ROTARY PUMP WITH THRUST BEARINGS | 3 |
Thomas William Larose | US | Greensboro | 2012-05-31 / 20120134143 - SOLAR-POWERED JAR LID | 1 |
Ray Larose | US | Williston | 2012-07-05 / 20120173257 - SYSTEMS AND METHODS FOR APPLYING GEOLOCATION TO WORKFLOWS USING MOBILE MEDICAL CLIENTS | 1 |
Gary L. Larose | US | Orlando | 2009-11-26 / 20090288419 - Tractor trailer power system | 1 |
Jeffrey A. Larose | US | Parkland | 2015-01-29 / 20150031936 - AXIAL FLOW PUMP WITH MULTI-GROOVED ROTOR | 19 |
David Larose | US | Pittsburgh | 2010-04-29 / 20100106743 - Method for Predicting Treatment Classes Using Behavior Informatics | 2 |
David Arthur Larose | US | Croton On Hudson | 2009-02-19 / 20090048611 - SYSTEM AND METHOD FOR AUGMENTATION OF ENDOSCOPIC SURGERY | 1 |
Derek Victor Larose | US | Ypsilanti | 2012-01-26 / 20120018994 - LOTTERY TICKET WITH HOLOGRAPHIC APPEARANCE | 1 |
David Arthur Larose | US | Pittsburgh | 2013-01-31 / 20130028487 - COMPUTER VISION AND MACHINE LEARNING SOFTWARE FOR GRADING AND SORTING PLANTS | 1 |
Jacques Andre Larose | US | Round Lake | 2012-06-21 / 20120153201 - LUER ACCESS VALVE | 1 |
Robert Larose | US | Barkhamsted | 2013-06-20 / 20130156907 - PROCESS FOR SANITIZING OBJECTS | 1 |
Robert Larose | US | East Hartford | 2014-05-08 / 20140127318 - BACTERIAL AND FUNGICIDAL COMPOSITION FOR PLANTS AND CROPS | 2 |
Jeffrey A. Larose | US | Sunrise | 2016-05-19 / 20160138597 - ROTARY PUMP WITH THRUST BEARINGS | 9 |
Pierre Larose | CA | St-Basile-Le-Grand | 2015-12-24 / 20150372821 - MONITORING SIGNED RESOURCES TRANSFERRED OVER A NETWORK | 4 |
Pierre Larose | US | 2012-02-16 / 20120042164 - MONITORING BASED ON CLIENT PERSPECTIVE | 2 | |
Jeffrey A. Larose | US | Parkland | 2015-01-29 / 20150031936 - AXIAL FLOW PUMP WITH MULTI-GROOVED ROTOR | 19 |
Jean-François Larose | CA | St. Amable | 2016-05-05 / 20160121379 - MOBILE SOIL TREATMENT UNIT | 1 |
Martin Larose | CA | Pointe-Claire | 2014-09-04 / 20140246886 - AIRCRAFT DIVAN CONVERTIBLE TO A BUNK BED | 1 |
Derek La Rose | US | Belleville | 2009-12-03 / 20090298567 - LOTTERY TICKET WITH A REGISTERED HOLOGRAPHIC LAYER | 1 |
Marc E. Larosee | US | Wilmington | 2011-11-10 / 20110275981 - ELECTRODE ASSEMBLY FOR DELIVERING A THERAPEUTIC AGENT INTO OCULAR TISSUE | 1 |
Thomas Larose, Jr. | US | Redford | 2012-09-27 / 20120240553 - METHOD OF DETERMINING IF AN OXIDATION CATALYST IS QUENCHED OR IS NOT QUENCHED | 15 |
Thomas Larose, Jr. | US | Howell | 2015-08-20 / 20150233277 - METHOD AND APPARATUS TO CONTROL REGENERATION OF A PARTICULATE FILTER | 16 |
Louis M. Larosiliere | US | Quechee | 2011-07-21 / 20110173975 - Turbocharger | 1 |
Donato Larossa | US | Radnor | 2009-02-12 / 20090039220 - Supporting Mount Removably Fixable to a Generally Vertical Columnar Structure | 1 |
Robert A. Larossa | US | Chadds Ford | 2014-01-02 / 20140004526 - Genetic Switches for Butanol Production | 9 |
Robert A. Larossa | US | Chadds Ford | 2014-01-02 / 20140004526 - Genetic Switches for Butanol Production | 9 |
Donato Larossa | US | Malvern | 2009-03-19 / 20090072104 - Supporting Mount Removably Fixable to a Generally Vertical Columnar Structure | 1 |
Carlos M. La Rota | US | Johnston | 2011-06-30 / 20110159486 - CELL CYCLE SWITCH 52(CCS52) AND METHODS FOR INCREASING YIELD | 1 |
Michael La Rotonda | US | Oakland | 2014-03-06 / 20140067980 - CONTROL FOR INVITING AN UNAYTHENTICATED USER TO GAIN ACCESS TO DISPLAY OF CONTENT THAT IS OTHERWISE ACCESSIBLE WITH AN AUTHENTICATION MECHANISM | 1 |
Maria Immacolata La Rotonda | IT | Naples | 2013-10-31 / 20130287819 - BIOADHESIVE POLYMER-BASED CONTROLLED-RELEASE SYSTEMS, PRODUCTION PROCESS AND CLINICAL USES THEREOF | 1 |
Maria Immacolata La Rotonda | IT | Napoli | 2014-03-27 / 20140086979 - Self-Assembling Nanoparticles for the Release of Bisphosphonates in the Treatment of Human Cancers | 1 |
Albert M. Larou | US | Naperville | 2010-04-15 / 20100088920 - Harvest drying method and apparatus | 1 |
Frédéric Larouche | CA | L'Ile-Bizard | 2011-01-20 / 20110011775 - METHODS AND APPARATUSES FOR PURIFYING CARBON FILAMENTARY STRUCTURES | 1 |
Annie Larouche | CA | Mascouche | 2013-05-02 / 20130104491 - ACCESS DOORS | 2 |
Olivier Larouche | CA | Boucherville | 2015-09-24 / 20150268937 - APPLICATION FOR BUILDER FOR INDUSTRIAL AUTOMATION | 10 |
Joey Larouche | CA | Mont-Saint-Hilaire | 2011-07-28 / 20110181019 - WATERCRAFT TRAILER | 2 |
Alexandre Larouche | CA | Quebec | 2016-03-17 / 20160077603 - METHOD AND SYSTEM FOR UNIFIED INPUT IN CROSS-PLATFORM STREAMING APPLICATIONS IN CLOUD COMPUTING ENVIRONMENTS | 1 |
Richard Larouche | CA | Levis | 2011-03-10 / 20110056587 - Set of cutters for a canter head | 1 |
Justin Larouche | CA | Mont-St-Hilaire | 2011-12-01 / 20110289862 - CONSTRUCTION SYSTEM AND METHOD FOR MULTI-FLOOR BUILDINGS | 1 |
Frédéric Larouche | CA | L'Ile-Bizard | 2011-01-20 / 20110011775 - METHODS AND APPARATUSES FOR PURIFYING CARBON FILAMENTARY STRUCTURES | 1 |
Laurier Larouche | CA | Montreal | 2012-03-22 / 20120066878 - Pin extraction tool | 1 |
Guillaume Larouche | CA | St-Jean Sur Richelieu | 2012-07-26 / 20120190701 - RENIN INHIBITORS | 1 |
Joey Larouche | CA | Mont-St-Hilaire | 2011-12-01 / 20110289862 - CONSTRUCTION SYSTEM AND METHOD FOR MULTI-FLOOR BUILDINGS | 1 |
Gilles Larouche | CA | Mont-St-Hilaire | 2011-12-01 / 20110289862 - CONSTRUCTION SYSTEM AND METHOD FOR MULTI-FLOOR BUILDINGS | 1 |
Jean-Pierre Larouche | CA | Quebec | 2014-01-16 / 20140013657 - SYSTEM AND PROCESS FOR THERMOCHEMICAL TREATMENT OF MATTER CONTAINING ORGANIC COMPOUNDS | 1 |
Jean-Paul Larouche | CA | Mirabel | 2013-05-23 / 20130126046 - Tree pruning method and tree pruning head for performing same. | 1 |
Jean-Guy Larouche | CA | Normandin | 2008-10-02 / 20080236945 - ADJUSTABLE-HEIGHT SAWHORSE | 1 |
Frédéric Larouche | CA | Montreal | 2010-12-02 / 20100304011 - METHODS AND APPARATUSES FOR DEPOSITING NANOMETRIC FILAMENTARY STRUCTURES | 1 |
Steve Larouche | CA | Jonquiere | 2009-12-03 / 20090294111 - HEAT EXCHANGER | 1 |
Olivier Larouche | CA | Boucherville | 2015-09-24 / 20150268937 - APPLICATION FOR BUILDER FOR INDUSTRIAL AUTOMATION | 10 |
Gilles Larouche | CA | St-Honore | 2015-07-23 / 20150202551 - Filtration candle to facilitate inspection | 3 |
Stephane Larouche | CA | Rimouski | 2014-09-18 / 20140260876 - TOOTH FOR CIRCULAR SAW OR MOWER DRUM | 1 |
Rene Larouche | CA | Laval | 2013-04-11 / 20130086736 - TOILET VENTILATION DEVICE | 1 |
Philippe Larouche | CA | Quebec | 2012-01-26 / 20120022893 - SYSTEM AND METHOD FOR PROVIDING A MULTI-DIMENSIONAL CONTEXTUAL PLATFORM FOR MANAGING A MEDICAL PRACTICE | 2 |
André Larouche | CA | Saguenay | 2015-06-11 / 20150158084 - METAL TRANSFER TROUGH | 1 |
Frédéric Larouche | CA | Montreal | 2010-12-02 / 20100304011 - METHODS AND APPARATUSES FOR DEPOSITING NANOMETRIC FILAMENTARY STRUCTURES | 1 |
Alain Larouche | CA | Jonquiere | 2013-08-15 / 20130206707 - APPARATUS AND METHOD FOR REFINING A PROCESS LIQUOR BY GRAVITY SETTLING | 1 |
Hamed Laroui | US | Decatur | 2015-05-28 / 20150147270 - CONSTRUCTS FOR DIAGNOSING AND TREATING INFLAMMATORY BOWEL DISEASES AND COLON CANCER | 1 |
Mounir Laroussi | US | Virginia Beach | 2009-05-14 / 20090121637 - Plasma Generator | 1 |
Thomas Anthony La Rovere | US | Santa Ynez | 2015-11-05 / 20150314847 - PADDLEBOARD WITH RECIPROCATING DIRECT DRIVE AND RUDDER CONTROLS | 1 |
Stefano La Rovere | BE | Auderghem | 2012-08-16 / 20120207574 - Gripper Assembly for Moving Device | 2 |
Thomas A. La Rovere | US | Santa Ynez | 2011-02-17 / 20110036570 - Method and apparatus for well casing shoe seal | 1 |
Charles B. Larow | US | Burlington | 2012-07-26 / 20120187974 - Dual Stage Voltage Ramp Stress Test for Gate Dielectrics | 1 |
Gavin Larowe | US | Bloomington | 2009-08-27 / 20090217149 - User Extensible Form-Based Data Association Apparatus | 1 |
Gil Laroya | US | Santa Clara | 2015-08-06 / 20150216535 - STENT GRAFT DELIVERY SYSTEM | 3 |
Gil Laroya | US | San Diego | 2014-09-18 / 20140276687 - ASSESSMENT OF VARICOSE VEIN ABLATION VIA IMAGING OR FUNCTIONAL MEASUREMENT ANALYSIS | 2 |
Gilbert S. Laroya | US | Menlo Park | 2012-01-05 / 20120004589 - DELIVERING A CONDUIT INTO A HEART WALL TO PLACE A CORONARY VESSEL IN COMMUNICATION WITH A HEART CHAMBER AND REMOVING TISSUE FROM THE VESSEL OR HEART WALL TO FACILITATE SUCH COMMUNICATION | 1 |
Gilbert Laroya | US | San Diego | 2016-01-28 / 20160022291 - RETRIEVAL AND CENTERING DEVICE AND METHOD WITH PRESSURE AND ULTRASOUND FEATURES | 3 |
Gilbert S. Laroya | US | Santa Clara | 2011-09-29 / 20110238088 - DEVICES, SYSTEMS, AND METHODS FOR SUPPORTING TISSUE AND/OR STRUCTURES WITHIN A HOLLOW BODY ORGAN | 2 |
Gilbert Laroya | US | Santa Clara | 2014-11-27 / 20140350593 - RETRIEVAL SNARE DEVICE AND METHOD | 5 |
Sylvie Cecile Laroze | BE | Pepinster | 2014-04-03 / 20140093442 - Dual Function Catalytic Filter | 1 |
Alain Laroze | FR | Les Ulis | 2013-10-24 / 20130281499 - COMPOUNDS | 4 |
Gerard Laroze | FR | Mions | 2013-02-21 / 20130042930 - Hydraulic Pilot Control Unit | 1 |
Gérard Laroze | FR | Mions | 2011-09-08 / 20110214751 - PRESSURE REGULATOR DEVICE, ESPECIALLY OF THE HYDRAULIC REMOTE-CONTROL TYPE | 1 |
Sylvie Cécile Laroze | GB | Brightwell-Cum-Sotwell | 2012-05-17 / 20120121486 - FILTER COMPRISING COMBINED SOOT OXIDATION AND NH3-SCR CATALYST | 2 |
Sylvie Céclle Laroze | BE | Pepinster | 2011-07-21 / 20110173959 - EXHAUST SYSTEM FOR LEAN-BURN INTERNAL COMBUSTION ENGINE COMPRISING PD-AU-ALLOY CATALYST | 1 |
Sylvie Cecile Laroze | GB | Brightwell-Cum-Sotwell | 2012-06-07 / 20120141347 - NON-ZEOLITE BASE METAL SCR CATALYST | 2 |
Stéphanie Larpent | DE | Stuttgart | 2013-10-10 / 20130264038 - PLATE-SHAPED HEAT EXCHANGER FOR A COOLING DEVICE COMPRISING AT LEAST ONE HEAT EXCHANGER PACKAGE | 2 |
Stéphanie Larpent | DE | Stuttgart | 2013-10-10 / 20130264038 - PLATE-SHAPED HEAT EXCHANGER FOR A COOLING DEVICE COMPRISING AT LEAST ONE HEAT EXCHANGER PACKAGE | 2 |
Loys Larpin | US | Monrovia | 2011-12-15 / 20110303678 - Parfait Cup | 1 |
Joshua Larrabee | US | Stacy | 2012-03-29 / 20120073704 - LOG SPLITTER | 1 |
Kelly Larrabee | US | San Diego | 2016-03-31 / 20160089303 - MANAGING MEDICATIONS AT THE BEDSIDE | 1 |
Robert Larrabee | US | Derwood | 2009-04-30 / 20090109446 - Zeroeth Order Imaging | 1 |
Daniel Larrabee | US | Centennial | 2012-12-27 / 20120329593 - HYBRID TRANSMISSION USING PLANETARY GEARSET FOR MULTIPLE SOURCES OF TORQUE FOR VEHICLES | 3 |
Eduardo Andres Larrain Sanchez | US | 2015-08-20 / 20150234105 - SYSTEM THAT CAN BE USED TO POLARISE GLASS, ACRYLIC AND SIMILAR AUTOMATICALLY AS REQUIRED BY THE USER | 1 | |
Eduardo Andres Larrain Sanchez | CL | Santiago | 2015-08-20 / 20150234105 - SYSTEM THAT CAN BE USED TO POLARISE GLASS, ACRYLIC AND SIMILAR AUTOMATICALLY AS REQUIRED BY THE USER | 1 |
Javier Ignacio Larranaga | US | Bristol | 2012-04-26 / 20120099279 - HEAT TRANSFER APPARATUS FOR USE WITH ELECTRICAL DEVICES | 1 |
Jone Larrañaga Mendibaso | ES | Onati | 2012-04-05 / 20120080858 - Pallet Truck for Transporting Goods | 1 |
Mikel Larrasoana Alconero | ES | Zamudio | 2012-03-15 / 20120065918 - SYSTEMS AND PROCEDURES FOR WIND TURBINE SELF-DIAGNOSIS | 1 |
Colette Larre | FR | Nantes | 2016-04-21 / 20160108107 - DEAMIDATED ANTI-GLUTEN ANTIBODY AND USES THEREOF | 1 |
John Charles Larre | US | New York | 2013-09-26 / 20130249218 - Animal Collision Avoidance System | 1 |
Maria Esther Larrea Leoz | ES | Pamplona-Navarra | 2012-12-06 / 20120308517 - COMPOSITIONS FOR THE TREATMENT OF INFECTIOUS AND TUMOURAL DISEASES | 2 |
Esther Larrea Leoz | ES | Pamplona(navarra) | 2009-05-21 / 20090130055 - Use of a Cytokine From the Interleukin-6 Family In the Preparation of a Composition For Combined Administration With Interferon-Alpha | 1 |
Esther Larrea Leoz | ES | Pamplona (navarra) | 2011-02-03 / 20110027224 - USE OF A CYTOKINE FROM THE INTERLEUKIN-6 FAMILY IN THE PREPARATION OF A COMPOSITION FOR COMBINED ADMINISTRATION WITH INTERFERON-ALPHA | 1 |
Amaud Larregain | FR | Thiers | 2011-10-13 / 20110247237 - Shoe with Anti-Skid Sole | 1 |
Jori Larres | FI | Helsinki | 2012-10-25 / 20120272180 - METHOD AND APPARATUS FOR PROVIDING CONTENT FLIPPING BASED ON A SCROLLING OPERATION | 1 |
Veronique Larreta-Garde | FR | L'Isle Adam | 2009-01-29 / 20090029411 - Biomaterial Capable Of Successively Performing A Solution/Gel Transition And Then A Gel/Solution Transition | 1 |
Jason Paul Larrew | US | Helotes | 2014-09-18 / 20140280389 - DEVICE AGNOSTIC ACTIVE/ACTIVE DATA CENTER AFFINITY | 1 |
Vincent Larrey | FR | La Murette | 2014-10-02 / 20140295606 - METHOD FOR PRODUCING A DEVICE COMPRISING CAVITIES FORMED BETWEEN A SUSPENDED ELEMENT RESTING ON INSULATING PADS SEMI-BURIED IN A SUBSTRATE AND THIS SUBSTRATE | 9 |
Josep Lluis Larriba Pey | ES | Barcelona | 2011-08-04 / 20110191359 - PROCESS FOR TRANSFORMING AND CONSULTING DIRECTED AND ATTRIBUTED MULTIGRAPHS BASED ON THE USE OF MAPS AND BITMAPS | 1 |
James Larrick | US | Sunnyvale | 2014-06-05 / 20140155285 - NOVEL CELL LINE SCREENING METHOD | 4 |
James Larrick | US | Woodside | 2015-01-22 / 20150024500 - METHODS AND COMPOSITIONS FOR PRODUCING DOUBLE ALLELE KNOCK OUTS | 1 |
James Larrick | US | Mountain View | 2012-03-29 / 20120076786 - BISPECIFIC ANTIBODIES | 4 |
Elizabeth Jane Larrick | US | Chicago | 2015-09-10 / 20150254707 - GIFT CARD EXCHANGE KIOSKS AND ASSOCIATED METHODS OF USE | 1 |
Raymond Larrick | US | Streetsboro | 2015-11-26 / 20150338532 - HE-3 DETECTOR GUARD BAND | 2 |
James W. Larrick | US | Sunnyvale | 2016-02-11 / 20160038462 - USE OF NK-1 RECEPTOR ANTAGONISTS IN PRURITUS | 4 |
Ronald J. Larrick | US | Beaverton | 2009-08-20 / 20090209222 - Conversion Architecture For Residual Spur Avoidance | 2 |
James William Larrick | US | Woodside | 2008-09-11 / 20080219999 - Immunoadhesin for the prevention of rhinovirus infection | 1 |
Elizabeth J. Larrick | US | Chicago | 2012-05-03 / 20120109787 - GIFT CARD EXCHANGE KIOSKS AND ASSOCIATED METHODS OF USE | 1 |
James W. Larrick | US | Mountain View | 2015-04-16 / 20150104478 - COMPOSITIONS AND METHODS FOR TISSUE REPAIR | 4 |
Adriana Ines Larriera Moreno | US | Malta | 2015-07-16 / 20150198580 - COVERSLIP AND METHODS FOR REMOVING | 2 |
Adriana I. Larriera Moreno | US | Niskayuna | 2015-03-05 / 20150065371 - IMMUNOFLUORESCENCE AND FLUORESCENT-BASED NUCLEIC ACID ANALYSIS ON A SIMGLE SAMPLE | 1 |
Dariana I Larriera Moreno | US | Niskayuna | 2015-02-19 / 20150050650 - METHODS FOR GENERATING AN IMAGE OF A BIOLOGICAL SAMPLE | 1 |
Adriana Ines Larriera Moreno | US | Albany | 2014-09-04 / 20140248618 - MICROFLUIDIC FLOW CELL ASSEMBLIES AND METHOD OF USE | 2 |
Jean-Michel Larrieu | FR | Le Haillan | 2015-10-01 / 20150276362 - COMBINED STEERING AND DRAG-REDUCTION DEVICE | 1 |
Nicolas Larrieu | FR | L'Isle Jourdain | 2010-12-09 / 20100308179 - ELECTRIC POWER ACTUATOR AND CONTROL METHOD FOR SUCH AN ACTUATOR | 1 |
Jérôme Larrieu | FR | Hasparren | 2010-02-04 / 20100027623 - METHOD AND DEVICE FOR OPTIMIZING THE COMPRESSION OF A VIDEO STREAM | 1 |
Pierre Larrieu | BE | Brussels | 2011-05-12 / 20110112282 - IDO INHIBITORS AND THERAPEUTIC USES THEREOF | 1 |
Jean-Michel Larrieu | FR | Macau | 2016-01-07 / 20160003195 - NOZZLE HAVING A VARIABLE NECK SECTION FOR A SPACECRAFT THRUSTER PROVIDED WITH A MOBILE NEEDLE | 2 |
Guilhem Larrieu | FR | Villeneuve D'Ascq | 2011-05-26 / 20110121400 - METHOD FOR MAKING COMPLEMENTARY P AND N MOSFET TRANSISTORS, ELECTRONIC DEVICE INCLUDING SUCH TRANSISTORS, AND PROCESSOR INCLUDING AT LEAST ONE SUCH DEVICE | 1 |
Guilhem Larrieu | FR | Baziege | 2013-09-19 / 20130240983 - PROCESS FOR FABRICATING A FIELD-EFFECT TRANSISTOR DEVICE IMPLEMENTED ON A NETWORK OF VERTICAL NANOWIRES, THE RESULTING TRANSISTOR DEVICE, AN ELECTRONIC DEVICE COMPRISING SUCH TRANSISTOR DEVICES AND A PROCESSOR COMPRISING AT LEAST ONE SUCH DEVICE | 1 |
Jérôme Larrieu | FR | Hasparren | 2014-07-24 / 20140204181 - METHOD FOR CALIBRATING A STEREOSCOPIC PHOTOGRAPHY DEVICE | 5 |
Matthias Larrieu | FR | Ledeuix | 2015-08-06 / 20150222984 - BASS-REFLEX SPEAKER CABINET HAVING A RECESSED PORT | 1 |
Katherine E. Larrimore | US | Tempe | 2014-09-04 / 20140248684 - COMPOSITIONS AND METHODS FOR THE PRODUCTION AND USE OF HUMAN CHOLINESTERASES | 1 |
Robert John Larrinaga | US | Pueblo West | 2015-05-07 / 20150122455 - HEAT EXCHANGER WITH ALUMINUM TUBES ROLLED INTO AN ALUMINUM TUBE SUPPORT | 1 |
Javier L. Larrinaga | US | Redmond | 2009-09-03 / 20090222787 - REPOSITORIES AND RELATED SERVICES FOR MANAGING LOCALIZATION OF RESOURCES | 2 |
Juan M. Larrinaga | AR | Buenos Aires | 2015-09-17 / 20150259095 - ECOLOGICAL PALLET | 1 |
Steven E. Larrinaga | US | Alta Loma | 2016-04-14 / 20160104214 - Vehicle Appraisal System | 2 |
Ignacio M. Larrinua | US | Indianapolis | 2014-10-02 / 20140298536 - NUCLEIC ACID MOLECULES THAT CONFER RESISTANCE TO COLEOPTERAN PESTS | 11 |
Ignacio M. Larrinua | US | Indianapolis | 2014-10-02 / 20140298536 - NUCLEIC ACID MOLECULES THAT CONFER RESISTANCE TO COLEOPTERAN PESTS | 11 |
Ignacio Larrinua | US | Indianapolis | 2015-08-20 / 20150232859 - NUCLEIC ACID MOLECULES THAT TARGET THE VACUOLAR ATPASE C SUBUNIT AND CONFER RESISTANCE TO COLEOPTERAN PESTS | 6 |
Ignacio Mario Larrinua | US | Indianapolis | 2016-05-05 / 20160122399 - DIG-303 INSECTICIDAL CRY TOXINS | 3 |
Cheryl Larrivee-Elkins | US | Framingham | 2015-12-31 / 20150374645 - DEVICES AND METHODS FOR SUSTAINED TREATMENT OF BLADDER PAIN AND IRRITATIVE VOIDING | 13 |
Cheryl Larrivee-Elkins | US | Framingham | 2015-12-31 / 20150374645 - DEVICES AND METHODS FOR SUSTAINED TREATMENT OF BLADDER PAIN AND IRRITATIVE VOIDING | 13 |
Pablo Alberto Larriviere | US | Los Angeles | 2016-02-18 / 20160050303 - DEVICE COVER HAVING EMBEDDED FEATURES AND METHOD THEREOF | 1 |
Brigitte Larroche | FR | Paris | 2010-09-02 / 20100218460 - PROCESS AND INSTALLATION FOR THE CONTINUOUS VACUUM PREPACKING OF FOOD PRODUCTS | 1 |
Elaine Larronde | US | Houston | 2013-02-21 / 20130043076 - Rotary Steerable Assembly Inhibiting Counterclockwise Whirl During Directional Drilling | 1 |
Michael Louis Larronde | US | Houston | 2012-02-16 / 20120039151 - MUD PULSE TELEMETRY SYNCHRONOUS TIME AVERAGING SYSTEM | 6 |
Christian Larroque | FR | Montferrier Sur Lez | 2013-05-23 / 20130131314 - Peptide Derivatives for Biofunctionalization of Silicon Substrates and Their Applications | 1 |
Christian Larroque | FR | Montpellier Cedex 5 | 2014-10-09 / 20140302041 - ANTI-AXL ANTIBODIES AND USES THEREOF | 1 |
Marie-Madeleine Larroque | FR | Antibes | 2015-01-15 / 20150018251 - PROTEIN CHIPS, PREPARATION AND USE THEREOF | 1 |
Sylvain Larroque | FR | Saint Julien En Genevois | 2012-06-14 / 20120149644 - COMPOSITIONS AND METHODS FOR TREATING GROWTH HORMONE DEFICIENCY | 1 |
Christian Larroque | FR | Pannes | 2011-12-15 / 20110303318 - Pipe for the Air Intake Circuit of a Motor Vehicle Engine, and Circuit Incorporating the Same | 1 |
Guillaume Larroque | FR | Monbrun | 2009-07-02 / 20090167076 - ELECTRICITY DISTRIBUTION SYSTEM AND METHOD INSIDE AN AIRCRAFT | 1 |
Gilles Larroque-Lahitette | FR | Lagor | 2016-02-11 / 20160038194 - BONE FIXATION TENSIONING TOOL AND METHOD | 15 |
Gilles Larroque-Lahitette | FR | Baron | 2015-04-23 / 20150112391 - ANCHOR FOR ATTACHMENT TO A BONY STRUCTURE | 2 |
Gilles Larroque-Lahitette | FR | Lagor | 2016-02-11 / 20160038194 - BONE FIXATION TENSIONING TOOL AND METHOD | 15 |
Gilles Larroque-Lahitette | FR | Largo | 2012-11-29 / 20120303121 - DEVICE AND METHOD FOR SECURING A LIGATURE TO AN OSSEOUS STRUCTURE | 1 |
Jose Daniel Larrosa Pomi | UY | Canelones | / - | 1 |
Earl W. Larrow | US | Erie | 2014-10-30 / 20140319134 - Filler Neck for an Automotive Fluid Container | 1 |
Lynn D. Larrow | US | Lafayette | 2011-03-03 / 20110055291 - Database Integration Tool | 1 |
Jay Larrow | US | Wakefield | 2011-06-30 / 20110160463 - PROCESS FOR MAKING SUBSTITUTED 2-AMINO-THIAZOLONES | 3 |
Jay Larrow | US | Cambridge | 2013-10-31 / 20130289058 - CYCLIC BRIDGEHEAD ETHER DGAT1 INHIBITORS | 1 |
Charlotta Larrson | CZ | Prague | 2011-06-02 / 20110127271 - Packaging | 2 |
Juan Ignacio Larruquert Goicoechea | ES | Madrid | 2010-10-28 / 20100271693 - NARROWBAND FILTERS FOR THE EXTREME ULTRAVIOLET | 1 |
Letitia Larry | US | Centreville | 2008-10-30 / 20080271018 - System and Method for Managing an Assurance System | 1 |
Eli Larry | IL | Haifa | 2012-06-21 / 20120154535 - CAPTURING GATED AND UNGATED LIGHT IN THE SAME FRAME ON THE SAME PHOTOSURFACE | 1 |
Eli Larry | IL | Beit She'An | 2014-09-18 / 20140267613 - PHOTOSENSOR HAVING ENHANCED SENSITIVITY | 7 |
Slomowitz Larry | CA | Vancouver | 2013-03-07 / 20130059822 - Arylfluorophosphate Inhibitors of Intestinal Apical Membrane Sodium/Phosphate Co-Transport | 1 |
Sönsteröd Lars | SE | Sandared | 2012-07-26 / 20120186924 - PRESSURE REGULATOR COMPRISING AN ACTUATOR | 1 |
Dalsgaard Lars | FI | Oulu | 2015-05-14 / 20150133121 - METHOD, APPARATUS AND COMPUTER PROGRAM PRODUCT FOR AUTONOMOUS CELL CHANGE BY UE IN NETWORK | 1 |
Gruner-Nielsen Lars | DK | Copenhagen | 2014-03-06 / 20140064686 - MULTIPLE LP MODE FIBER DESIGNS FOR MODE DIVISION MULTIPLEXING | 1 |
Cieslak Lars | DE | Ulm | 2014-12-25 / 20140375310 - APPARATUS AND A METHOD FOR METAL DETECTION INVOLVING A MOBILE TERMINAL WITH A DISPLAY | 1 |
Andersson Lars | CH | Kicrchdorf | 2013-05-02 / 20130110425 - System, Method and Computer Program Product for Determining Load Profiles | 1 |
Bradley R. Larschan | US | Germantown | 2016-02-04 / 20160035153 - METHOD FOR DATA COMMUNICATION BETWEEN VEHICLE AND FUEL PUMP | 7 |
Andreas Larscheid | DE | Sulzbach | 2014-10-23 / 20140310963 - Trimmer Comb, Hair Trimmer Comprising A Trimmer Comb And Method Of Manufacturing A Trimmer Comb | 6 |
Andreas Larscheid | DE | Bad Soden | 2015-11-26 / 20150336311 - METHOD AND APPARATUS FOR OVERMOLDING FRAGILE HOLLOW ARTICLE | 3 |
Andreas Larscheid | DE | Kelkheim | 2011-06-02 / 20110126412 - Hair Removal Apparatus | 6 |
Christopher Myles Larsen | US | Farmington Hills | 2015-07-23 / 20150203238 - PLASTIC CONTAINER WITH FLUTED INNER WALL | 1 |
Russell G. Larsen | US | San Jose | 2014-09-18 / 20140273664 - ELECTRICAL CONNECTOR | 2 |
Christopher C. Larsen | US | Vista | 2009-11-19 / 20090284974 - SPA SPEAKER LIGHT | 3 |
René Larsen | DK | Sandved | 2010-11-25 / 20100298761 - ELECTROPORATION DEVICE WITH IMPROVED TIP AND ELECTRODE SUPPORT | 1 |
John F. Larsen | CA | Campbellcroft | 2015-07-23 / 20150206359 - LIQUEFIED PETROLEUM GAS TANK LEAK DETECTION SYSTEMS AND METHODS | 7 |
Christopher Larsen | CA | Mississauga | 2009-09-17 / 20090229961 - ELECTRICAL SWITCH ASSEMBLY | 1 |
Elise Larsen | US | Alpine | 2011-09-15 / 20110223667 - METABOLIC ENGINEERING OF LIPID METABOLISM BY IMPROVING FATTY ACID BINDING AND TRANSPORT | 2 |
Christopher L. Larsen | CA | Toronto | 2009-11-26 / 20090288506 - POWER ACTUATOR | 1 |
Kim W.d Larsen | US | Alexandria | 2013-11-14 / 20130300586 - INFRARED LASER LANDING MARKER | 1 |
Christopher Larsen | CA | Toronto | 2011-12-15 / 20110303516 - Electrical Switch Assembly with Pivoting Actuator | 3 |
Liza S.z. Larsen | US | Irvine | 2009-12-10 / 20090305359 - METHOD FOR PRODUCING CIRCULAR DUPLEX POLYNUCLEOTIDES FROM LINEAR DUPLEX POLYNUCLEOTIDES AND APPLICATIONS THEREOF | 1 |
Rasmus M. Larsen | US | San Francisco | 2010-01-21 / 20100013920 - SURVEILLANCE IMAGING WITH UPSAMPLING | 1 |
Case Larsen | US | Union City | 2011-09-29 / 20110238738 - Rules-Based Transactions Prefetching Using Connection End-Point Proxies | 2 |
Christian Larsen | US | Irvine | 2014-06-26 / 20140176223 - LOW POWER LEVEL SHIFTER WITH OUTPUT SWING CONTROL | 21 |
John Alexander Larsen | CA | Toronto | 2012-07-12 / 20120178537 - SYNCHRONIZING SYSTEM FOR GAMEPLAYING | 9 |
Lance Larsen | CA | Calgary | 2011-04-07 / 20110078943 - BATTERY POWERED ILLUMINATING INSECT-CATCHING DEVICE | 2 |
Eric Larsen | US | Pacifica | 2012-06-21 / 20120155703 - MICROPHONE ARRAY STEERING WITH IMAGE-BASED SOURCE LOCATION | 2 |
René Rye Larsen | DK | Bagsvaerd | 2011-02-10 / 20110033071 - HEARING AID WIRELESS NETWORK | 1 |
Willa M. Larsen | US | Reseda | 2010-06-24 / 20100155253 - Microprobe Tips and Methods for Making | 3 |
Kevin J. Larsen | US | Northville | 2014-04-24 / 20140109668 - Apparatus for a portable clamp-on no spill gauge | 1 |
René Larsen | DK | Sandved | 2010-11-25 / 20100298761 - ELECTROPORATION DEVICE WITH IMPROVED TIP AND ELECTRODE SUPPORT | 1 |
Allan Godsk Larsen | DK | Valby | 2014-04-10 / 20140098466 - Electrolyte | 1 |
Scott D. Larsen | US | South Lyon | 2015-10-22 / 20150297577 - METHODS AND COMPOSITIONS FOR TARGETING CANCER STEM CELLS | 13 |
Tonni Sandager Larsen | US | Escondido | 2014-06-19 / 20140173459 - SYSTEM AND METHOD FOR SHARING EVENT INFORMATION USING ICONS | 5 |
Kyle Allen Larsen | US | Bonita Springs | 2012-12-06 / 20120311570 - DISTRIBUTED COMPUTING FRAMEWORK | 1 |
Signe Eskildsen Larsen | US | 2012-12-06 / 20120308543 - Lipase Variants for Pharmaceutical Use | 1 | |
Craig M. Larsen | US | San Jose | 2013-05-30 / 20130139117 - Model-Based Fill | 3 |
Peter L. Larsen | US | San Marcos | 2016-02-18 / 20160045794 - GOLF CLUB | 28 |
Andre Larsen | DK | Dragor | 2015-01-22 / 20150025469 - Revolving Needle Magazine | 13 |
Martin Alan Larsen | US | Magalla | 2012-12-06 / 20120305571 - Portable beverage can cooler | 1 |
Michael S. Larsen | US | Chatsworth | 2010-08-05 / 20100194506 - Magnetic Solenoid for Generating a Substantially Uniform Magnetic Field | 1 |
Signe Eskildsen Larsen | DK | Kgs. Lyngby | 2014-05-22 / 20140141489 - ALPHA-AMYLASE VARIANTS | 3 |
Steven R. Larsen | US | Lino Lakes | 2015-12-24 / 20150366608 - MEDICAL DEVICE FOR SYMPATHETIC NERVE ABLATION WITH PRINTED COMPONENTS | 9 |
Vanessa Larsen | AU | Manly | 2010-03-11 / 20100060448 - BABY MONITORING APPARATUS | 1 |
Samuel Larsen | US | San Carlos | 2014-01-16 / 20140019962 - SCAN SYSTEMS AND METHODS OF SCANNING VIRTUAL MACHINES | 2 |
Niels B. Larsen | US | Escondido | 2013-11-21 / 20130307736 - Antenna | 1 |
Steve W. Larsen | US | Payson | 2015-04-09 / 20150097020 - MATERIAL SURFACE MODIFICATION USING FRICTION STIR WELDING HYBRID PROCESS | 4 |
Renaud Larsen | BE | Uccle | 2012-11-29 / 20120303736 - Method And Apparatus For Achieving Data Security In A Distributed Cloud Computing Environment | 1 |
Eric Larsen | US | Foster City | 2013-12-05 / 20130324244 - MANAGING CONTROLLER PAIRING IN A MULTIPLAYER GAME | 7 |
Michael S. Larsen | US | Woodland Hills | 2015-12-03 / 20150346293 - OPTICAL PROBE BEAM STABILIZATION IN AN ATOMIC SENSOR SYSTEM | 11 |
Stuart Larsen | US | Ventura | 2011-02-03 / 20110027007 - Retrofittable cable mechanical fuse | 2 |
Peter L. Larsen | US | San Marcos | 2016-02-18 / 20160045794 - GOLF CLUB | 28 |
Case Thomas Larsen | US | Union City | 2010-11-25 / 20100299525 - METHOD AND APPARATUS FOR SPLIT-TERMINATING A SECURE NETWORK CONNECTION, WITH CLIENT AUTHENTICATION | 2 |
Brent R. Larsen | US | Foster City | 2010-10-14 / 20100260759 - IMMUNOSUPPRESSIVE POLYPEPTIDES AND NUCLEIC ACIDS | 2 |
Christopher Larsen | US | Oakland | 2013-10-03 / 20130261388 - METHODS AND APPARATUS FOR TREATING DISORDERS OF THE EAR NOSE AND THROAT | 2 |
Finn Larsen | GB | Edinburgh | 2009-12-10 / 20090305300 - METHODS AND KITS TO DIAGNOSE GROWTH HORMONE DEFICIENCY | 1 |
Scott D. Larsen | US | South Lyon | 2015-10-22 / 20150297577 - METHODS AND COMPOSITIONS FOR TARGETING CANCER STEM CELLS | 13 |
Kristopher A. Larsen | US | Loveland | 2014-08-28 / 20140240240 - Method for Selecting Waveforms on Electronic Test Equipment | 1 |
Jan Ove Larsen | NO | Lillestrom | 2014-06-12 / 20140160235 - SYSTEM AND METHOD FOR MONITORING AT LEAST ONE OBSERVATION AREA | 2 |
Diane Marie Larsen | US | Carlsbad | 2016-02-11 / 20160038400 - COMPOSITIONS AND METHODS FOR UV-CURABLE COSMETIC NAIL COATINGS | 3 |
Eric J. Larsen | US | Foster City | 2014-11-27 / 20140347272 - AUDIO, VIDEO, SIMULATION, AND USER INTERFACE PARADIGMS | 2 |
Niels Agersnap Larsen | DK | Kgs. Lyngby | 2014-04-03 / 20140092345 - RADIATION EMITTING ELEMENT AND A METHOD OF PROVIDING IT | 1 |
Henrik Neuschäfer Larsen | DK | Soborg | 2013-11-28 / 20130312372 - BREAKABLE VESSEL FOR SAMPLE STORAGE | 1 |
Sean Larsen | US | Manhattan Beach | 2009-09-24 / 20090235927 - Pacifier for intubated infants | 1 |
Arne Gunnar Larsen | NO | Sandnes | 2015-10-29 / 20150308219 - Apparatus and Method for Positioning of a Fluidized Plugging Material in an Oil Well or Gas Well | 7 |
Steve Larsen | US | Crestline | / - | 1 |
Frank M. Larsen | US | Gilroy | 2010-10-07 / 20100252085 - PORTABLE DIRECT SOLAR THERMOELECTRIC GENERATOR | 1 |
David Samuel Larsen | NZ | Dunedin | 2016-05-19 / 20160136267 - SPHINGOGLYCOLIPID ANALOGUES | 3 |
Martin Hedegaard Larsen | DK | Herning | 2009-04-02 / 20090087127 - Pitch bearing for wind turbine rotor blades | 1 |
Charles Larsen | US | Huntington Beach | 2014-07-24 / 20140203233 - FENCE APPARATUS AND RELATED METHODS | 2 |
Robert Larsen | US | Newbury Park | 2012-05-31 / 20120136163 - Method for the Preparation of 1-Acetyl-6-Amino-3,3-Dimethyl-2,3-Dihydroindole | 3 |
Donald Larsen | US | Brooklyn Park | 2014-08-28 / 20140237959 - AIR FILTER ARRANGEMENTS; ASSEMBLIES; AND, METHODS | 1 |
Jeremy K. Larsen | US | Farmington | 2015-11-19 / 20150330593 - MULTIFUNCTION LIGHTGUIDE TAILIGHT ARTICLE | 3 |
Case Thomas Larsen | US | San Francisco | 2009-03-26 / 20090083537 - SERVER CONFIGURATION SELECTION FOR SSL INTERCEPTION | 1 |
Thomas J. Larsen | US | Waukesha | 2016-04-07 / 20160096754 - Wastewater Treatment System | 2 |
Christian Larsen | US | San Francisco | 2009-03-19 / 20090076972 - AUTOMATED LENDING SYSTEM WITH AUTOMATIC DIVERSIFICATION AND CONTRACT EXECUTION AND SPONSORSHIPS | 2 |
Tonni Sandager Larsen | US | Descanso | 2008-12-04 / 20080297517 - Transitioning Between Two High Resolution Images in a Slideshow | 1 |
John Larsen | US | Waunakee | 2015-04-30 / 20150114093 - MICROFLUIDIC SYSTEM AND METHOD WITH FOCUSED ENERGY APPARATUS | 1 |
Lars Finn Sloth Larsen | DK | Sydals | 2015-12-24 / 20150369214 - MODEL BASED CONTROLLER FOR A WIND TURBINE GENERATOR | 11 |
Andre Larsen | DK | Dragor | 2015-01-22 / 20150025469 - Revolving Needle Magazine | 13 |
Jan T. L. Larsen | DK | Smorum | 2011-08-25 / 20110206225 - Hearing aid and handling tool | 1 |
Birger Brodin Larsen | DK | Kobenhavn V | 2009-06-04 / 20090143335 - MODIFIED ABSORPTION FORMULATION OF GABOXADOL | 1 |
Bjarne Due Larsen | DK | Vanlose | 2008-11-20 / 20080287478 - Nociceptin Analogues and Uses Thereof | 1 |
Bjorn Erik Haabegaard Larsen | DK | Stroby | 2009-01-01 / 20090000512 - Process for preparing a printing ink | 1 |
Alan R. Larsen | US | Layton | 2015-10-29 / 20150307058 - SURFACE MOUNT INITIATORS | 3 |
Bjørn Gullak Larsen | DK | Birkerod | 2012-09-06 / 20120226235 - METHOD AND ARRANGEMENT FOR REDUCING AIR BUBBLES IN FLUIDIC SYSTEM | 3 |
Christopher Larsen | US | Rockford | 2014-12-04 / 20140357970 - OXIMETRY WITH REMOTE DISPLAY | 4 |
Signe Larsen | DK | Lyngby | 2014-08-07 / 20140220635 - Alpha-Amylase Variants and Polynucleotides Encoding Same | 1 |
Jeremy K. Larsen | US | Providence | 2015-09-17 / 20150259082 - FLUID MANIFOLD SYSTEMS | 10 |
Brian Larsen | DK | Kastrup | 2011-01-27 / 20110018153 - SYSTEM AND METHOD FOR PRODUCING BEADS | 1 |
Kal A. Larsen | US | Springville | 2015-05-21 / 20150143458 - TECHNIQUES FOR IDENTITY AND POLICY BASED ROUTING | 28 |
Gerner Larsen | DK | Hinnerup | 2015-11-05 / 20150316024 - METHOD FOR MOVING WIND TURBINE COMPONENTS AND A TRANSPORT SYSTEM FOR MOVING WIND TURBINE COMPONENTS | 14 |
Halge Larsen | DK | Kokkedal | 2009-11-19 / 20090284934 - DATA ACQUISITION MODULE AND SYSTEM | 1 |
Hans Larsen | DK | Horsholm | 2008-10-16 / 20080252897 - Method and a System for the Assessment of Samples | 1 |
Henning Lund Larsen | DK | Sydals | 2010-06-17 / 20100150761 - HYDRAULIC MACHINE | 1 |
Lesley Larsen | NZ | Dunedin | 2013-09-19 / 20130245128 - COMPOUNDS, COMPOSITIONS AND METHODS FOR THE TREATMENT OF AMYLOID DISEASES AND SYNUCLEINOPATHIES SUCH AS ALZHEIMER'S DISEASE, TYPE 2 DIABETES, AND PARKINSON'S DISEASE | 10 |
Robert Thomas Larsen | US | Midland | 2015-05-21 / 20150141688 - Purification Of Chlorosilanes Using Chromatography | 6 |
Roy H. Larsen | NO | Bekkestua | 2015-09-17 / 20150258223 - RECEPTOR BINDING CONJUGATES | 2 |
Ingrid Kjoller Larsen | DK | Charlottenlund | 2010-01-28 / 20100022756 - Trimerising Module | 2 |
Jacob Mollenbach Larsen | DK | Copenhagen | 2011-05-05 / 20110104730 - MESOSCALE BIOREACTOR PLATFORM FOR PERFUSION | 1 |
James Layne Larsen | US | Spring | 2016-02-04 / 20160032710 - TOOL FOR MEASURING WELLBORE GEOMETRY | 4 |
Lars R. Larsen | US | Oid Lyme | / - | 1 |
Jan Larsen | DK | Tommerup | 2015-10-22 / 20150299734 - Non-Sterile Fermentation of Bioethanol | 12 |
Søren Damgaard Larsen | DK | Glostrup | 2015-03-19 / 20150079592 - APPARATUS AND METHOD FOR PROCESSING BIOLOGICAL SAMPLES | 2 |
Jan Larsen | DK | Smorum | 2016-01-28 / 20160029184 - CABLE CHIP SYSTEM | 3 |
Thomas Larsen | DK | Holte | 2012-02-09 / 20120035200 - GRISEOFULVIN ANALOGUES FOR THE TREATMENT OF CANCER BY INHIBITION OF CENTROSOMAL CLUSTERING | 1 |
Knud-Erik Larsen | DK | Nexoe | 2014-03-13 / 20140071430 - METHOD FOR ESTIMATING VOLUME | 1 |
Jan Lindberg Larsen | DK | Lunderskov | 2010-10-07 / 20100252757 - MAGNETIC ACTUATOR AND A VALVE COMPRISING SUCH AN ACTUATOR | 1 |
Janus Beierholm Larsen | DK | Copenhagen | 2009-09-24 / 20090238816 - METHOD FOR IMMUNIZING AN AVIAN SPECIES | 1 |
Janus Beierholm Larsen | DK | Svendborg | 2010-06-10 / 20100143329 - REIMMUNIZATION AND ANTIBODY DESIGN | 1 |
Dan C. Larsen | US | Sandy | 2014-07-31 / 20140214068 - CATHETER BALLOON AND FORMATION THEREOF | 1 |
Ryan Larsen | US | Yorba Linda | 2014-06-05 / 20140155671 - SYSTEM AND METHOD FOR CATALYST REGENERATION | 1 |
Robert Larsen | US | Los Angeles | 2014-07-24 / 20140205631 - Stimulation of vaccination by angiotensin peptides | 1 |
Niels E. Larsen | US | Roseville | 2014-10-30 / 20140325275 - USING CUSTOMER COMPUTING SYSTEM TO TEST OTHER CUSTOMER COMPUTING SYSTEMS | 2 |
Trenton Von Larsen | US | Hyrum | 2014-12-18 / 20140371035 - Folding Rear Drive Elliptical | 3 |
Jennifer Larsen | DK | Roskilde | 2012-08-02 / 20120196889 - CATECHOLAMINE DERIVATIVES AND PRODRUGS THEREOF | 5 |
Jens Larsen | DK | Fredensborg | 2014-07-24 / 20140206615 - GLP-1 AGONIST AND CARDIOVASCULAR COMPLICATIONS | 5 |
Glenn R. Larsen | US | Sudbury | 2015-10-22 / 20150299225 - COMPOUNDS FOR MODULATING TRPV3 FUNCTION | 14 |
Jens William Larsen | DK | Dronninglund | 2011-09-29 / 20110232744 - PHOTO ELECTRIC TRANSDUCER | 2 |
Niels Bonne Larsen | US | Encinitas | 2015-05-28 / 20150147980 - MULTIBAND ANTENNA ARRANGEMENT | 3 |
Jes Kjaer Larsen | DK | Beder | 2016-02-25 / 20160052228 - ABSORBING AIRLAID PRODUCT | 2 |
Sanne Hartelius Larsen | DK | Alleroed | 2012-05-17 / 20120123442 - ADHESIVE PATCH WITH ENZYME | 1 |
Jesper Oluf Larsen | DK | Vallensbaek Strand | 2014-06-05 / 20140151018 - Tractor Communication/Control and Select Fire Perforating Switch Simulations | 3 |
Halvor Peter Larsen | DK | Sonderborg | 2014-01-09 / 20140010953 - SINTERING ADDITIVES FOR CERAMIC DEVICES OBTAINABLE IN A LOW pO2 ATMOSPHERE | 1 |
Aage Gellein Larsen | NO | Oslo | 2012-05-17 / 20120123042 - POLYMER COMPOSITION | 1 |
Ola Pramm Larsen | NO | Oslo | 2015-05-07 / 20150122574 - AIRGUN OPERATING CHAMBER LINERS | 1 |
Jorgen Gutzon Larsen | DK | Bagsvaerd | 2010-09-16 / 20100233567 - FUEL CELL STACK | 3 |
Carsten Gerner Larsen | DK | Kgs. Lyngby | 2012-11-01 / 20120277724 - Disposable Double Point Injection Needle and an Insulin Injection System Comprising a Disposable Double Point Injection Needle | 1 |
Bjørn Gullak Larsen | DK | Bagsvaerd | 2012-11-01 / 20120277724 - Disposable Double Point Injection Needle and an Insulin Injection System Comprising a Disposable Double Point Injection Needle | 1 |
Eric Larsen | US | Clackamas | 2012-01-12 / 20120011441 - VISUALLY ENCODING NODES REPRESENTING STAGES IN A MULTI-STAGE VIDEO COMPOSITING OPERATION | 2 |
Arthur E. Larsen | US | Lincoln | 2010-05-27 / 20100130117 - METHOD AND APPARATUS FOR DATA CENTER AIR CONDITIONING | 2 |
Lance Larsen | US | Cincinnati | 2014-06-19 / 20140172598 - PORTABLE HANDHELD DEVICE FOR WIRELESS ORDER ENTRY AND REAL TIME PAYMENT AUTHORIZATION AND RELATED METHODS | 5 |
Christopher A. Larsen | US | Cleveland | 2010-08-19 / 20100206289 - DOOR LOCKING MECHANISM FOR AN OVEN HAVING FRENCH-STYLE DOORS | 2 |
Adrian Larsen | US | Meridian | 2010-09-02 / 20100222697 - Electroacupuncture System and Method for Determining Meridian Energy Balance Number | 2 |
Pamela S. Larsen | US | North Brunswick | 2009-05-21 / 20090130058 - BIOLOGICALLY ACTIVE COMPOSITION COMPRISING ETHYLCELLULOSE | 1 |
Micheal S. Larsen | US | Woodland Hills | 2013-12-12 / 20130327145 - ATOMIC FAR-OFF RESONANCE TRAP (FORT) ACCELEROMETER SYSTEM | 1 |
Ty A. Larsen | US | Everett | 2016-03-24 / 20160085134 - OPTICAL SENSOR AND METHOD OF MANUFACTURE | 13 |
Ty Aaby Larsen | US | Everett | 2015-01-15 / 20150013954 - SYSTEMS AND METHODS FOR HEAT BALANCE AND TRANSPORT FOR AIRCRAFT HYDRAULIC SYSTEMS | 8 |
Matt E. Larsen | US | Duvall | 2010-09-16 / 20100232295 - AIRCRAFT DATA LINK NETWORK ROUTING | 2 |
Gustavo Larsen | US | Denton | 2013-08-29 / 20130224774 - METHODS AND MATERIALS FOR AMPLIFICATION OF A SIGNAL IN AN IMMUNOASSAY | 3 |
James David Larsen | US | Woodinville | 2014-08-28 / 20140241189 - POSITION DETERMINATION OF MOBILE STATIONS IN A WIRELESS NETWORK | 7 |
Brian Larsen | US | Bothell | 2016-05-05 / 20160127423 - DIRECT CONNECTION WITH SIDE CHANNEL CONTROL | 8 |
Scott Larsen | US | West Chester | 2012-06-07 / 20120143215 - INSERTION INSTRUMENT FOR ANCHOR ASSEMBLY | 4 |
Niels B. Larsen | DK | Kgs Lyngby | 2012-07-19 / 20120182198 - FM Transmission Using A RFID/NFC Coil Antenna | 2 |
Fred Larsen | US | Tinton Falls | 2011-02-24 / 20110044415 - ADAPTIVE INTEGRATED HYBRID WITH COMPLEX ADAPTATION FOR DIGITAL SUBSCRIBER LINE SYSTEMS | 1 |
Peter Halvor Larsen | DK | Roskilde | 2015-02-26 / 20150056535 - MULTI-LAYER COATING | 13 |
Stephen M. Larsen | US | Inver Grove Heights | 2016-02-11 / 20160038865 - LIQUID FILTRATION MEDIA, FILTER ELEMENTS AND METHODS | 2 |
Jeannie Larsen | US | Aurora | 2013-07-11 / 20130179317 - NEGOTIABLE INSTRUMENT ELECTRONIC CLEARANCE MONITORING SYSTEMS AND METHODS | 4 |
Walter G. Larsen | US | Portland | 2014-07-17 / 20140200637 - PERSONAL THERMAL REGULATING DEVICE | 1 |
Glen Larsen | US | Bisaqua | 2008-10-09 / 20080246727 - Stand Alone Module Mouse with Replaceable Cover | 1 |
Robert Larsen | US | Midland | 2015-07-09 / 20150191832 - Electrolytic Process To Silicides | 9 |
Mark Sievert Larsen | US | Redmond | 2013-03-14 / 20130067346 - Content User Experience | 3 |
Loren Douglas Larsen | US | Spokane Valley | 2010-04-22 / 20100098086 - Preventing Forwarding of a Packet to a Control Plane | 1 |
Peter S. Larsen | US | Duvall | 2008-12-18 / 20080313536 - Situation Sharing and Viewing | 1 |
Larry D. Larsen | US | Raleigh | 2014-08-21 / 20140237215 - Methods and Apparatus for Scalable Array Processor Interrupt Detection and Response | 4 |
Christine A. Larsen | US | Corvallis | 2011-05-05 / 20110104678 - POLYMERASE INHIBITOR AND METHOD OF USING SAME | 1 |
James Wagner Larsen | US | Suwanee | 2008-12-18 / 20080309344 - Systems and methods useful for detecting presence and / or location of various materials | 1 |
Loren Larsen | US | Lindon | 2015-12-24 / 20150371191 - MODEL-DRIVEN EVALUATOR BIAS DETECTION | 5 |
David Larsen | US | Mesa | 2010-03-25 / 20100071123 - AUTOMATIC WATER LEVELER | 1 |
Steen K. Larsen | US | Hillsboro | 2015-06-11 / 20150163143 - Reducing Network Latency During Low Power Operation | 5 |
Per Harold Larsen | US | Boulder | 2012-03-29 / 20120075320 - DEFECT MAPPING FOR A DIGITAL DISPLAY | 7 |
William A. Larsen | US | Southington | 2010-10-21 / 20100264077 - GRADED PARTICLE-SIZE RETENTION FILTER MEDIUM FOR CELL-TYPE FILTER UNIT | 1 |
James W. Larsen | US | Suwanee | 2010-11-25 / 20100298702 - NEEDLE INSERTION SYSTEMS AND METHODS | 1 |
Jørgen Gutzon Larsen | DK | Bagsvaerd | 2014-01-30 / 20140030632 - PROCESS FOR SURFACE CONDITIONING OF A PLATE OR SHEET OF STAINLESS STEEL AND APPLICATION OF A LAYER ONTO THE SURFACE, INTERCONNECT PLATE MADE BY THE PROCESS AND USE OF THE INTERCONNECT PLATE IN FUEL CELL STACKS | 3 |
Chris Larsen | US | Manassas | 2014-02-06 / 20140035021 - Memory Devices Comprising Word Line Structures, At Least One Select Gate Structure, and a Plurality Of Doped Regions | 5 |
Scott Larsen | US | Newtown | 2008-09-04 / 20080210271 - METHOD AND APPARATUS FOR CLEANING THE CUTTING SYSTEM OF AN ELECTRIC SHAVER | 1 |
Erik Larsen | US | Blacksburg | 2008-10-09 / 20080250314 - VISUAL COMMAND HISTORY | 1 |
Jorgen N. Larsen | DK | Graested | 2009-02-26 / 20090054625 - RECOMBINANT PROTEIN VARIANTS | 1 |
Craig Larsen | US | Charlotte | 2008-10-30 / 20080265725 - CART AND METHOD FOR FURNITURE MANUFACTURE | 1 |
Loren Douglas Larsen | US | Beaverton | 2009-02-19 / 20090046600 - PORTABLE NETWORKING INTERFACE METHOD AND APPARATUS FOR DISTRIBUTED SWITCHING SYSTEM | 1 |
Larry D. Larsen | US | Vilas | 2009-05-07 / 20090119489 - Methods and Apparatus for Transforming, Loading, and Executing Super-Set Instructions | 2 |
Scott Larsen | US | Twinsburg | 2009-05-14 / 20090121545 - Auxiliary Component Control for Use with a Lawn and Garden Tractor | 1 |
James Rex Larsen | US | Preston | 2009-05-21 / 20090126675 - APPARATUS AND METHOD FOR ENGINE HEAD | 1 |
Grant J. Larsen | US | Littleton | 2011-09-08 / 20110219311 - METHOD AND SYSTEM FOR PARTITIONING ASSET MANAGEMENT PLUGINS | 2 |
Jørgen Reinholdt Larsen | DK | Skævinge | 2012-01-05 / 20120000540 - TEMPERATURE CALIBRATION DEVICE, A CALIBRATOR BLOCK, AND A METHOD FOR CALIBRATING A TEMPERATURE PROBE | 2 |
Jacob J. Larsen | US | Shakopee | 2012-09-13 / 20120227424 - Converging/Diverging Front Intake | 1 |
Scott H. Larsen | US | Newburgh | 2009-05-28 / 20090134232 - SYSTEM FOR PROVIDING HUMIDIFICATION AND DEHUMIDIFICATION TO INDOOR ENVIRONMENT | 1 |
Theodore E. Larsen | US | Golden Valley | / - | 1 |
David Larsen | US | Boulder | 2009-06-04 / 20090144675 - TRANSACTION BASED VERIFICATION OF A SYSTEM ON CHIP ON SYSTEM LEVEL BY TRANSLATING TRANSACTIONS INTO MACHINE CODE | 2 |
Scott Larsen | US | Devon | 2015-04-16 / 20150105831 - TIGHTENING DEVICE FOR SPINE SURGERY | 3 |
Martin Larsen | DK | Smorum | 2014-07-24 / 20140205121 - HEARING DEVICE WITH TWO OR MORE MICROPHONES AND TWO OR MORE RESONATORS HAVING DIFFERENT LENGTHS AND THE SAME RESONANT FREQUENCY | 2 |
Jennifer Larsen | US | Omaha | 2009-07-16 / 20090179744 - RADIO-FREQUENCY-DEVICE PERSONALIZATION | 1 |
Christian P. Larsen | US | Atlanta | 2014-12-18 / 20140370059 - USE OF mTOR INHIBITORS TO ENHANCE T CELL IMMUNE RESPONSES | 4 |
Gustavo Larsen | US | Lincoln | 2012-07-05 / 20120171355 - Method of Manufacturing Fibrous Hemostatic Bandages | 4 |
Torben Larsen | DK | Viborg | 2008-10-16 / 20080255763 - SYSTEM AND A METHOD FOR OBSERVING AND PREDICTING A PHYSIOLOGICAL STATE OF AN ANIMAL | 1 |
David W. Larsen | US | Dardenne Prairie | 2010-01-07 / 20100002229 - Light Scattering Detector | 1 |
N. Tim Larsen | US | New Port Richey | 2010-01-21 / 20100015461 - Method and Apparatus for Anticorrosive Coating | 1 |
David Larsen | CA | Hines Creek | 2011-07-07 / 20110162270 - SEED AND PLANT GROWTH MEDIUM MODULE | 1 |
Palle Jul Larsen | DK | Skaevinge | 2012-04-26 / 20120099925 - Internal Rotatable Lock Cylinder | 1 |
Sarah Larsen | US | Iowa City | 2012-02-02 / 20120027673 - SYNTHESIS OF HIERARCHICAL NANOCRYSTALLINE ZEOLITES WITH CONTROLLED PARTICLE SIZE AND MESOPOROSITY | 2 |
Bradley J. Larsen | US | Woodland Park | 2012-09-13 / 20120228513 - NEUTRON DETECTOR CELL EFFICIENCY | 2 |
Bruce Larsen | US | Glendale | 2010-02-11 / 20100036579 - LOW MINIMUM IMPULSE BIT PROPELLANT GAS THRUSTER | 1 |
Peter E. Larsen | US | Powell | 2014-02-27 / 20140056949 - Controlled Release Mucoadhesive Systems | 2 |
Allan Godsk Larsen | AU | New South Wales | 2011-07-07 / 20110164347 - Package for an Electrical Device | 1 |
Philip J. Larsen | DK | Virum | 2009-02-26 / 20090054526 - INHIBITORS OF ANOREXIC LIPID HYDROLYSIS FOR THE TREATMENT OF EATING DISORDERS | 1 |
Tonni Larsen | US | Escondido | 2014-06-19 / 20140173458 - SYSTEM AND METHOD FOR SHARING EVENT INFORMATION USING ICONS | 4 |
Steen Larsen | US | Hillsboro | 2013-08-01 / 20130198311 - Techniques for Use of Vendor Defined Messages to Execute a Command to Access a Storage Device | 2 |
Kasper E. Larsen | DK | Copenhagen N | 2008-09-11 / 20080221227 - Process and Catalyst For Hydrogenation of Carbon Oxides | 1 |
Vibeke Stendal Larsen | DK | Allerod | 2011-03-17 / 20110061568 - ALKYL SILICATE PAINT COMPOSITIONS WITH IMPROVED CRACKING RESISTANCE | 1 |
Ulrik Darling Larsen | DK | Kgs. Lyngby | 2011-02-03 / 20110027825 - HIGH RESOLUTION CLASSIFICATION | 1 |
Lawrence J. Larsen | US | Pasco | 2012-10-11 / 20120258223 - Pitting of Organic Pieces by Improved Pitting Needle | 1 |
Ulf Larsen | DK | Soborg | 2010-07-15 / 20100176106 - Carriage for Automating Welding, Brazing, Cutting and Surface Treatment Processes | 1 |
Tina Ahlberg Larsen | DK | Birkerod | 2012-10-11 / 20120257774 - EAR PLUG FOR A HEARING AID AND A HEARING AID | 5 |
Theis Leth Larsen | DK | Soborg | 2010-05-06 / 20100107968 - METHOD AND APPARATUS FOR PRODUCING A SINGLE CRYSTAL | 1 |
Jelenal H. Larsen | US | Los Gatos | 2014-11-06 / 20140327126 - COOLING INTEGRATED CIRCUIT PACKAGES FROM BELOW | 1 |
Soren M. Larsen | DK | Copenhagen | 2008-11-20 / 20080285768 - Method and System for Modifying and Audio Signal, and Filter System for Modifying an Electrical Signal | 1 |
Kasper Juul Larsen | DK | Valby | 2014-06-05 / 20140151018 - Tractor Communication/Control and Select Fire Perforating Switch Simulations | 2 |
Kim B. Larsen | DK | Aalborg Ost | 2010-10-21 / 20100264666 - WIND PARK, METHOD OF CORRECTING VOLTAGE IMBALANCES, AND WIND TURBINE | 1 |
Christopher S. Larsen | US | Plymouth | 2015-05-21 / 20150139051 - CLOUD-ENABLED LOW POWER WI-FI SENSOR | 1 |
Christian Westlye Larsen | NO | Sandnes | 2013-09-12 / 20130235696 - INTERPRETATION AND FEATURE DETECTION IN A SEISMIC VOLUME USING BUMP MAPPING AND LIGHTING | 2 |
Scott Larsen | US | Ann Arbor | 2016-03-03 / 20160060271 - DEUTERATED AMLEXANOX | 2 |
Kim Dorrell Larsen | US | Potomac | 2012-10-04 / 20120251665 - SYSTEM AND METHOD FOR PREPARING A SHELF-STABLE BOTANICAL EXTRACT | 1 |
Soren J. Larsen | DK | Roskilde | 2009-02-26 / 20090049631 - | 1 |
Steve Larsen | US | Lino Lakes | 2012-04-19 / 20120095396 - Drug Eluting Medical Device Utilizing Bioadhesives | 1 |
Silke MØller Larsen | DK | Charlottenlund | 2010-09-16 / 20100234299 - STABLE FORMULATION OF MODIFIED GLP-1 | 2 |
Silke Moller Larsen | DK | Charlottenlund | 2009-04-30 / 20090111752 - Stable Formulation of Modified GLP-1 | 1 |
Rune Hartelius Larsen | DK | Allerod | 2008-11-06 / 20080276296 - MANAGEMENT OF USER AUTHORIZATIONS | 1 |
Kim B. Larsen | DK | Hadsund | 2014-09-11 / 20140252768 - METHOD OF CONTROLLING A WIND TURBINE GENERATOR AND APPARATUS FOR CONTROLLING ELECTRIC POWER GENERATED BY A WIND TURBINE GENERATOR | 7 |
André Liavåg Larsen | NO | Alesund | 2015-05-21 / 20150136007 - Rope Stopper System | 1 |
René Rye Larsen | DK | Bagsvaerd | 2016-04-07 / 20160100257 - Signal Processing Platform In An Acoustic Capture Device | 2 |
Philip Just Larsen | DK | Virum | 2008-11-13 / 20080280815 - Lowering Serum Lipids | 1 |
Christian G. Larsen | US | League City | 2012-04-19 / 20120090414 - In-Line Piggable Wye Fitting, Apparatus and Method | 1 |
Peter Randlev Larsen | DK | Nordborg | 2010-06-17 / 20100150761 - HYDRAULIC MACHINE | 1 |
Peter Mose Larsen | DK | Odense | 2011-02-24 / 20110045108 - ANTI-DIABETIC EXTRACT OF HONEYBUSH | 2 |
Stephen Ray Larsen | US | Rockford | 2015-05-21 / 20150138729 - Solar Inverter | 1 |
Peter Mose Larsen | DK | Odense S | 2012-10-04 / 20120251643 - ANTI-DIABETIC EXTRACT OF HONEYBUSH | 3 |
Eric J. Larsen | US | Pacifica | 2015-03-26 / 20150085251 - GAZE TRACKING VARIATIONS USING VISIBLE LIGHTS OR DOTS | 8 |
Niels Erik Larsen | CN | Shanghai | 2012-09-13 / 20120231116 - METHOD | 1 |
Peter Gorm Larsen | DK | Holmegard | 2009-10-22 / 20090260959 - ELECTRO-MECHANICAL PULSE GENERATOR | 1 |
Andre Larsen | DK | Dragoer | 2012-10-25 / 20120271249 - METHOD FOR CHEMICAL ETCHING OF A NEEDLE CANNULA | 2 |
Peter Fromholt Larsen | DK | Hojbjerg | 2015-12-31 / 20150376301 - METHOD OF PREPARING FIBRE-CONTAINING PECTIN PRODUCT AND PECTIN PRODUCTS HEREOF | 2 |
Christian Klein Larsen | NO | Leirsund | 2011-08-11 / 20110195101 - Polysaccharide Capsules and Methods of Preparation | 1 |
Per Larsen | DK | Holte | 2010-08-12 / 20100203646 - Process for Re-Coating of a Silica Gel Material | 2 |
Peter L. Larsen | US | Carlsbad | 2012-04-05 / 20120083361 - GOLF CLUB-HEADS HAVING A PARTICULAR RELATIONSHIP OF FACE AREA TO FACE MASS | 1 |
David M. Larsen | US | Albertville | 2015-12-24 / 20150367362 - AIRFLOW CONTROL FOR AN INTEGRATED HANDHELD TEXTURE SPRAYER | 2 |
Thomas Ostenfeld Larsen | DK | Holte | 2014-10-09 / 20140303070 - PROCESS FOR THE MANUFACTURE OF AJOENE DERIVATIVES | 2 |
Jan Larsen | DK | Tommerup | 2015-10-22 / 20150299734 - Non-Sterile Fermentation of Bioethanol | 12 |
Svend Larsen | TW | Hsinchu City | 2014-05-22 / 20140142411 - Portable Electrocardiography Device | 1 |
Christopher George Larsen | US | Cincinnati | 2016-04-28 / 20160116319 - VIBRATORY FLOWMETER AND METHOD FOR METER VERIFICATION | 4 |
Gregory Neil Larsen | US | Beaverton | 2012-09-27 / 20120242082 - Fine Thread to Standard Garden Hose Thread Adapter | 1 |
Shana Ann Larsen | US | Beaverton | 2012-09-27 / 20120242082 - Fine Thread to Standard Garden Hose Thread Adapter | 1 |
Palle D. Larsen | DK | Lynge | 2009-12-31 / 20090328017 - RAPID APPLICATION DEVELOPMENT | 1 |
Jan T.l. Larsen | DK | Smorum | 2012-11-08 / 20120281864 - BEHIND THE EAR HEARING AID WITH RECEIVER IN THE EAR | 3 |
Niels Bent Larsen | DK | Roskilde | 2009-09-10 / 20090224431 - TRANSFERRING MATERIALS TO POLYMER SURFACES | 1 |
Morten Kongensbjerg Larsen | DK | Viborg | 2009-12-03 / 20090299493 - SYSTEM FOR OPERATING A PLANT | 1 |
Morten Larsen | DK | Greve | 2010-09-02 / 20100219276 - ROLLER MILL | 1 |
Martin Rossel Larsen | DK | Odense | 2009-01-29 / 20090029343 - Methods for isolation and analysis of sialylated and phosphorylated peptides | 1 |
Martin Larsen | DK | Odense | 2010-08-19 / 20100207051 - PARTICLES AND THEIR USE IN A METHOD FOR ISOLATING NUCLEIC ACID OR A METHOD FOR ISOLATING PHOSPHOPROTEINS | 1 |
Leif Steen Larsen | DK | Hedehusene | 2010-06-24 / 20100154910 - DAMPENER APPARATUS AND METHOD | 1 |
Leif Kongskov Larsen | DK | Rodovre | 2009-08-27 / 20090214514 - GUS3 NEUROPEPTIDES FOR REGULATING HYPOTHALAMIC FUNCTION | 1 |
Tom Eklundh Larsen | DK | Ulstrup | 2014-12-25 / 20140377105 - PUMP UNIT | 3 |
Kristine Larsen | DE | Munich | 2016-02-04 / 20160029941 - Device for Measuring Pressure in a Fluid | 2 |
Kurt Larsen | US | Reno | 2013-05-23 / 20130130774 - REALISTIC VIDEO REELS | 2 |
Annette Larsen | FR | Paris | 2012-06-21 / 20120157472 - METHOD FOR TREATING COLORECTAL CANCER | 1 |
Hans Larsen | DK | Hoersholm | 2016-03-24 / 20160081360 - MEAT PROCESSING DEVICE INCORPORATING AN X-RAY ANALYZER | 2 |
Melvin J. Larsen | US | Chandler | 2012-09-20 / 20120234297 - TORQUE MULTIPLIER ENGINES | 3 |
Einar Vaughn Larsen | US | Ballston Lake | 2015-09-10 / 20150256094 - HYBRID HIGH VOLTAGE DIRECT CURRENT CONVERTER SYSTEM AND METHOD OF OPERATING THE SAME | 13 |
Nancy E. Larsen | US | Highland Mills | 2015-05-14 / 20150132238 - COMPOSITION USING CROSS-LINKED HYALURONIC ACID FOR TOPICAL COSMETIC AND THERAPEUTIC APPLICATIONS | 5 |
Melinda Larsen | US | Albany | 2013-08-15 / 20130210049 - Polymeric Support With Nanofeatures for Cell Culture | 1 |
Dane M. Larsen | US | Plattsburgh | 2010-12-09 / 20100312053 - RESECTOSCOPIC DEVICE AND METHOD | 1 |
Einar Vaughn Larsen | US | Charlton | 2016-03-10 / 20160069325 - SYSTEM AND METHOD FOR IMPROVING REACTIVE CURRENT RESPONSE TIME IN A WIND TURBINE | 15 |
Einar V. Larsen | US | Ballston Lake | 2010-06-03 / 20100134076 - REACTIVE POWER REGULATION AND VOLTAGE SUPPORT FOR RENEWABLE ENERGY PLANTS | 1 |
Arthur Larsen | US | Lancaster | 2011-12-22 / 20110310544 - Coupling Systems for Removably Coupling a Support Beam to an Electronic Device and Methods of Making and Using the Same | 1 |
Gary R. Larsen | US | Webster | 2009-04-30 / 20090108121 - Method and apparatus for forming a sheeted roll of material | 1 |
Einar V. Larsen | US | Charlton | 2012-01-12 / 20120010756 - VOLTAGE CONTROL AT WINDFARMS | 4 |
Cory Larsen | US | Zionsville | 2015-09-03 / 20150247155 - ROOT SPECIFIC EXPRESSION CONFERRED BY CHIMERIC GENE REGULATORY ELEMENTS | 2 |
Bradley B. Larsen | US | Seattle | 2011-12-22 / 20110310605 - SOLID STATE LIGHTING DEVICE AND METHOD EMPLOYING HEAT EXCHANGER THERMALLY COUPLED CIRCUIT BOARD | 1 |
Robert Larsen | US | Hawthorn Woods | 2011-08-11 / 20110196957 - Real-Time Policy Visualization by Configuration Item to Demonstrate Real-Time and Historical Interaction of Policies | 2 |
Kevin J. Larsen | US | South Elgin | 2009-01-22 / 20090023380 - APPARATUS AND METHOD FOR AIR CIRCULATION | 2 |
Tormod Larsen | US | Geneva | 2013-07-25 / 20130189941 - Local Management And Control Of Remotely Subscribed Wireless Communication Devices | 6 |
Robert A. Larsen | US | Hawthorn Woods | 2009-04-09 / 20090094695 - ACCOUNT ASSOCIATION GENERATION | 1 |
Lewis G. Larsen | US | Chicago | 2008-12-04 / 20080296519 - Apparatus and Method for Absorption of Incident Gamma Radiation and its Conversion to Outgoing Radiation at Less Penetrating, Lower Energies and Frequencies | 2 |
John H. Larsen | US | Woodridge | 2008-10-02 / 20080241389 - Emulsion Composition and Vehicle and Ink Compositions and Printing Process and Method Thereof | 1 |
Priscilla Larsen | HK | New Territories | 2010-03-11 / 20100060448 - BABY MONITORING APPARATUS | 1 |
Jamie H. Larsen | US | 2013-07-04 / 20130171303 - Food and Beverage Container having dual chamber | 1 | |
Grant G. Larsen | US | 2013-07-04 / 20130171303 - Food and Beverage Container having dual chamber | 1 | |
Christopher S. Larsen | US | Rockford | 2014-04-10 / 20140097939 - EMERGENCY BROADCASTING SYSTEMS AND METHODS | 1 |
Stephen H. Larsen | US | Woodbury | 2013-05-16 / 20130118773 - Z-AXIS CONDUCTIVE ARTICLE AND METHOD OF MAKING THE SAME | 1 |
Jeremy K. Larsen | UT | Providence | 2015-07-09 / 20150190764 - GAS SPARGERS AND RELATED CONTAINER SYSTEMS | 2 |
Ty A. Larsen | US | Everett | 2016-03-24 / 20160085134 - OPTICAL SENSOR AND METHOD OF MANUFACTURE | 13 |
Michael Larsen | US | Seattle | 2015-12-24 / 20150368403 - THERMALLY-ACTIVATED SELF-IMMOLATIVE MATERIALS | 1 |
Eric S. Larsen | US | Cortland | 2015-09-03 / 20150248628 - FROZEN PRECIPITATION TREATMENT ANALYSIS SYSTEM | 2 |
Signe Eskildsen Larsen | DK | Lyngby | 2016-05-05 / 20160122442 - Process for Hydrolysis of Starch | 8 |
Annette Larsen | NO | Tolvsrod | 2011-12-22 / 20110312922 - EDTA Resistant S100A12 Complexes (ERAC) | 1 |
Eric Larsen | US | Cortland | 2012-06-28 / 20120160929 - ELECTRIC INTERLOCK FOR SPREADER | 1 |
Christopher Scott Larsen | US | Rockford | 2014-10-23 / 20140311211 - GAS SENSING DRIFT COMPENSATION USING GAS SELF-REFERENCING FOR END OF SERVICE LIFE INDICATION FOR RESPIRATORS | 8 |
Troy D. Larsen | US | Meridian | 2015-11-12 / 20150324252 - CODEWORDS THAT SPAN PAGES OF MEMORY | 12 |
Lance E. Larsen | US | Grand Rapids | 2011-05-12 / 20110109117 - PIVOTED VISOR ASSEMBLY | 1 |
Paul S. Larsen | US | Goodrich | 2011-02-10 / 20110030325 - AIR FILTER | 1 |
Scott Larsen | US | South Lyon | 2014-11-06 / 20140329848 - DEUTERATED AMLEXANOX | 6 |
Niels Holm Larsen | CH | Allschwill | 2012-11-29 / 20120298886 - FOR RAPID PROTOTYPING APPARATUS | 2 |
Christopher M. Larsen | US | Farmington Hills | 2014-08-28 / 20140240139 - PORTABLE MESSAGE DEVICE | 5 |
Herb Larsen | US | Clarkston | 2010-03-04 / 20100051365 - WHEEL ASSEMBLY WITH INTEGRAL ELECTRIC MOTOR | 2 |
Martha J. Larsen | US | Kalamazoo | 2008-10-02 / 20080241961 - DROSOPHILA G PROTEIN COUPLED RECEPTORS, NUCLEIC ACIDS, AND MEHTODS RELATED TO THE SAME | 1 |
Danny B. Larsen | US | Holland | 2015-12-17 / 20150360623 - VEHICLE INTERIOR ZERO GAP SYSTEM AND METHOD | 2 |
Paul D. Larsen | US | Fenton | 2010-02-18 / 20100040485 - High Pressure Dual-Action Hydraulic Pump | 5 |
Hugh Larsen | US | Milford | 2009-12-31 / 20090320614 - MOTOR PUMP TORQUE TRANSDUCER | 1 |
Hugh W. Larsen | US | Milford | 2009-12-10 / 20090304481 - STEEL BRIDGE PLATE | 1 |
Lance Larsen | US | Grand Rapids | 2009-09-03 / 20090218869 - Collapsible Seat and System | 1 |
Rasmus Larsen | DK | Gentofte | 2015-12-17 / 20150359464 - APPARATUS AND METHOD FOR MOTION TRACKING IN BRAIN IMAGING | 1 |
Consuelo Larsen | US | Orem | 2015-12-17 / 20150361602 - Piercing Crochet Hook | 2 |
Mark S. Larsen | US | Redmond | 2016-02-04 / 20160034459 - CURATING MEDIA FROM SOCIAL CONNECTIONS | 1 |
David Larsen | US | Orem | 2015-12-17 / 20150364146 - Method for Providing Visual Feedback for Vowel Quality | 3 |
Mie Larsen | DK | Hvidovre | 2009-06-04 / 20090143335 - MODIFIED ABSORPTION FORMULATION OF GABOXADOL | 1 |
Jeffrey Evan Larsen | US | West Jordan | 2013-08-29 / 20130219784 - LANDSCAPE EDGING ASSEMBLY | 1 |
Einar Vaughn Larsen | US | Ballston Lake | 2015-09-10 / 20150256094 - HYBRID HIGH VOLTAGE DIRECT CURRENT CONVERTER SYSTEM AND METHOD OF OPERATING THE SAME | 13 |
Keith Robert Larsen | US | Mequon | 2008-12-04 / 20080301001 - BULK ACTIVATION OF PORTABLE CONSUMER PAYMENT DEVICES | 1 |
Jan Pt Larsen | US | Hudson | 2011-09-22 / 20110229144 - WIRELESS REMOTE | 3 |
James Louis Larsen | US | Kenosha | 2010-03-04 / 20100051115 - CLEANING SYSTEM FOR CLEANING A VALVE SEAT | 1 |
Robert T. Larsen | US | Midland | 2014-10-02 / 20140291567 - INDUSTRIAL COMPONENT COMPRISING A SILICON EUTECTIC ALLOY AND METHOD OF MAKING THE COMPONENT | 1 |
Steven Larsen | US | Cross Plains | 2010-11-04 / 20100280844 - Secure Identification of Dependants | 2 |
Jeremy K. Larsen | US | New Richmond | 2010-11-11 / 20100285231 - APPARATUS AND METHOD FOR PRODUCING TWO-SIDED PATTERNED WEB IN REGISTRATION | 1 |
Michael T. Larsen | US | Brookfield | 2014-02-27 / 20140058281 - Inline Water Trap | 3 |
Steven J. Larsen | US | Cross Plains | 2016-01-28 / 20160027138 - Automated Patient Flow Management Systems | 7 |
David Samuel Larsen | NZ | Port Chalmers,dunedin | 2015-12-10 / 20150352219 - CONJUGATE COMPOUNDS | 1 |
Brian Larsen | US | Denver | 2011-11-24 / 20110286938 - NON-INVASIVE DETECTION OF COMPLEMENT-MEDIATED INFLAMMATION USING CR2-TARGETED NANOPARTICLES | 1 |
Frank Larsen | NO | Oslo | 2014-10-02 / 20140291576 - ISOLATION OF NUCLEIC ACID | 8 |
Fredrik Larsen | NO | Trondheim | 2016-02-11 / 20160042203 - CHARGE MEASUREMENT | 9 |
Ryan Larsen | US | San Francisco | 2015-10-15 / 20150295442 - SERIES-CONNECTED STORAGE INTERFACE CONVERTER | 4 |
Peter L. Larsen | US | San Marco | 2013-09-05 / 20130231202 - GOLF CLUB HEAD | 1 |
Diane Marie Larsen | US | Vista | 2013-10-03 / 20130255100 - NAIL LAMP | 1 |
Brian Larsen | US | Bothell | 2016-05-05 / 20160127423 - DIRECT CONNECTION WITH SIDE CHANNEL CONTROL | 8 |
Diane M. Larsen | US | Vista | 2015-02-12 / 20150044151 - REMOVABLE COLOR LAYER FOR ARTIFICIAL NAIL COATINGS AND METHODS THEREFORE | 2 |
David Larsen | US | Woodinville | 2015-12-03 / 20150349713 - MULTI-STEP DRIVE SIGNAL FOR PIN DIODE BASED RF AMPLITUDE MODULATORS | 1 |
René Larsen | DK | Sandved | 2010-11-25 / 20100298761 - ELECTROPORATION DEVICE WITH IMPROVED TIP AND ELECTRODE SUPPORT | 1 |
Tommy Larsen | DK | Slagelse | 2014-06-12 / 20140161682 - METHODS AND APPARATUS FOR CONVERTING ORGANIC MATERIAL | 7 |
Joshua D. Larsen | US | Spanish Fork | 2015-12-03 / 20150342576 - URINE HAT FLUSH WATER CAPTURE DEVICE | 3 |
Tommy Larsen | DK | Juelsminde | 2011-03-03 / 20110049898 - DEVICE FOR CONVERTING WAVE ENERGY INTO MECHANICAL ENERGY | 2 |
Tormod Larsen | US | Lisle | 2015-07-02 / 20150189508 - Local Management and Control of Remotely Subscribed Wireless Communication Devices | 2 |
Niels Adelholm Larsen | DK | Frederiksberg | 2014-12-18 / 20140366468 - WINDOW SYSTEM HAVING FLEXIBLE MEANS FOR MOUNTING | 5 |
Mogens Larsen | DK | Smorum | 2012-08-02 / 20120196889 - CATECHOLAMINE DERIVATIVES AND PRODRUGS THEREOF | 3 |
Mogens Larsen | DK | Smoren | 2009-10-01 / 20090247593 - N-THIAZOL-2-YL-BENZAMIDE DERIVATIVES | 1 |
Leif Johan Larsen | NO | Alversund | 2016-02-25 / 20160056645 - SIMULTANEOUS CHARGING OF A PLURALITY OF AUTONOMOUS SEISMIC NODES | 7 |
Sigurd Larsen | DE | Flensburg | 2016-03-03 / 20160061498 - AXIAL VALVE WITH STATIONARY ELEMENT | 2 |
Jonathan Christian Larsen | HK | The Peak | 2013-05-16 / 20130124410 - SYSTEM AND METHOD FOR CONDUCTING A TRANSACTION AT A FINANCIAL TRANSACTION TERMINAL USING A MOBILE DEVICE | 1 |
Shaye Larsen | US | Pleasant View | 2013-05-16 / 20130123571 - Systems and Methods for Streaming Psychoacoustic Therapies | 1 |
Coby C. Larsen | US | Flagstaff | 2014-12-25 / 20140379020 - LEFT ATRIAL APPENDAGE OCCLUSIVE DEVICES | 7 |
Klaus Larsen | DK | Hoersholm | 2012-07-05 / 20120173198 - Automatic Parking Disc | 1 |
Atle Larsen | US | Henrico | 2016-02-11 / 20160037962 - Scoop Counting Kitchen Appliance and Related Method | 1 |
Daniel Larsen | US | Kirkland | 2015-11-12 / 20150327015 - LOCATION TRACKING BASED ON OVERLAPPING GEO-FENCES | 1 |
Diane Marie Larsen | US | Carisbad | 2011-11-10 / 20110274633 - COMPOSITIONS AND METHODS FOR UV-CURABLE COSMETIC NAIL COATINGS | 1 |
Travis H. Larsen | US | Houston | 2011-12-29 / 20110315916 - CURABLE COMPOSITION | 1 |
Kristian Larsen | DK | Vaerloese | 2009-03-19 / 20090074843 - Use of epi-hne 1-4 | 1 |
Amanda Larsen | US | Sandy | 2013-05-09 / 20130116654 - Aortic Occlusion Catheter | 1 |
Kristian Larsen | DK | Vaerlose | 2015-02-05 / 20150037314 - Dry Haemostatic Composition | 4 |
Niels B. Larsen | US | Encinitas | 2015-01-29 / 20150029061 - Antenna | 1 |
Robert Larsen | CA | Wetaskiwin | 2014-08-28 / 20140238437 - METHOD AND SYSTEM FOR THE IN-SITU REMOVAL OF CARBONACEOUS DEPOSITS FROM HEAT EXCHANGER TUBE BUNDLES | 2 |
Ty Aaby Larsen | US | Evertt | 2015-11-05 / 20150316427 - OPTICAL FIBER COUPLED PHOTONIC CRYSTAL SLAB STRAIN SENSOR, SYSTEM AND METHOD OF FABRICATION AND USE | 1 |
Kim Brondum Larsen | DK | Hadsund | 2010-03-25 / 20100072751 - Variable Speed Wind Turbine, A Resonant Control System, A Method Of Operating A Variable Speed Wind Turbine, Use Of A Resonant Control System And Use Of A Method In A Variable Speed Wind Turbine | 2 |
Bradley Burton Larsen | US | Seattle | 2015-03-19 / 20150078005 - SOLID-STATE LIGHTING DEVICES AND SYSTEMS | 1 |
Gary Larsen | US | Webster | 2013-04-25 / 20130102444 - System for Oscillating a Roller | 1 |
Steven Larsen | US | North Logan | 2013-04-25 / 20130101956 - Endodontic Instrument | 1 |
Michael Larsen | US | Clifton Park | 2011-11-03 / 20110268989 - COBALT-NICKEL SUPERALLOYS, AND RELATED ARTICLES | 1 |
Charlotte Larsen | DK | Vipperod | 2014-05-08 / 20140124079 - UNBONDED FLEXIBLE PIPE AND PIPE SYSTEM | 1 |
Rudy Lars Larsen | US | Bountiful | 2016-02-25 / 20160050859 - IRRIGATION MANAGEMENT | 2 |
Daniel Larsen | US | Redmond | 2013-11-14 / 20130303190 - REQUESTING A LOCATION OF A USER | 1 |
Eric J. Larsen | US | Pacifica | 2015-03-26 / 20150085251 - GAZE TRACKING VARIATIONS USING VISIBLE LIGHTS OR DOTS | 8 |
Christian Larsen | US | Irvine | 2014-06-26 / 20140176223 - LOW POWER LEVEL SHIFTER WITH OUTPUT SWING CONTROL | 21 |
Leslie Larsen | US | Seattle | 2014-09-25 / 20140286641 - CODE SEQUENCE CONTROL OF INFRARED BLASTER | 1 |
John Larsen | US | Madison | 2015-04-23 / 20150111196 - MICROFLUIDIC CHIP | 2 |
Jan Larsen | DK | Valby | 2012-07-12 / 20120178922 - Solid Carrageenan Ion Exchange | 1 |
Steven R. Larsen | US | Lino Lakes | 2015-12-24 / 20150366608 - MEDICAL DEVICE FOR SYMPATHETIC NERVE ABLATION WITH PRINTED COMPONENTS | 9 |
Troy D. Larsen | US | Meridian | 2015-11-12 / 20150324252 - CODEWORDS THAT SPAN PAGES OF MEMORY | 12 |
Soren Mollskov Larsen | DK | Varlose | 2014-08-28 / 20140241556 - AUTOMATIC FSK TUNING CIRCUIT FOR A HEARING AID AND METHOD | 3 |
Søren J. Larsen | DK | Roskilde | 2013-04-11 / 20130086763 - WASHING BRUSH | 1 |
Sten Yngve Larsen | NO | Kristiansand S | 2013-01-03 / 20130004410 - METHOD FOR THE PRODUCTION OF GRAPHITE BODIES | 1 |
Ole-Morten Larsen | NO | Tananger | 2013-10-03 / 20130255810 - COLOR CHANGER | 2 |
Bjarne Due Larsen | DK | Roskilde | 2015-12-24 / 20150368314 - GLUCAGON-LIKE-PEPTIDE-2 (GLP-2) ANALOGUES | 32 |
Signe Eskildsen Larsen | DK | Lyngby | 2016-05-05 / 20160122442 - Process for Hydrolysis of Starch | 8 |
Annette Rosendal Larsen | DK | Lyngby | 2010-08-05 / 20100197658 - PHARMACEUTICAL USE OF FUSED 1,2,4-TRIAZOLES | 2 |
Jens Christian Højland Larsen | DK | Lyngby | 2010-04-22 / 20100099688 - NOVEL PHOSPHODIESTERASE INHIBITORS | 1 |
Ulrik Darling Larsen | DK | Lyngby | 2011-12-29 / 20110318774 - DUAL SAMPLE CARTRIDGE AND METHOD FOR CHARACTERIZING PARTICLES IN LIQUID | 3 |
Søren Larsen | DK | Dyssegard | 2009-12-24 / 20090317793 - MICROFLUIDIC DEVICE AND A MICROFLUIDIC SYSTEM AND A METHOD OF PERFORMING A TEST | 1 |
Niels Agersnap Larsen | DK | Lyngby | 2015-12-31 / 20150377454 - RADIATION EMITTING ELEMENT AND A METHOD OF PROVIDING IT | 6 |
Glen Larsen | US | Issaquah | 2011-10-27 / 20110260976 - TACTILE OVERLAY FOR VIRTUAL KEYBOARD | 1 |
Andreas Larsen | NO | Vikhammer | 2011-10-27 / 20110260890 - Motion Analysis Apparatus | 1 |
Peter A. Larsen | US | Seattle | 2013-12-26 / 20130347018 - PROVIDING SUPPLEMENTAL CONTENT WITH ACTIVE MEDIA | 2 |
Herbert A. Larsen | US | Cedar Falls | 2011-10-27 / 20110259695 - TRANSMISSION GEAR ENGAGEMENT MECHANISM AND METHOD OF OPERATION | 2 |
Steve Larsen | US | Riverton | 2013-03-28 / 20130077621 - SYSTEM AND METHOD FOR A VIRTUAL CHASSIS SYSTEM | 1 |
Christopher W Larsen | US | Houston | 2011-05-12 / 20110113372 - Systems And Methods For Communicating With Media Modules | 10 |
Eldon S. Larsen | US | Mcmurray | 2012-07-19 / 20120185332 - Serving Advertisements Based on Article Availability | 1 |
David Larsen | US | Beaverton | 2013-09-12 / 20130234047 - SYSTEM AND METHOD FOR CONTROLLED INTENSITY ILLUMINATION IN A BIOANALYSIS OR OTHER SYSTEM | 3 |
Michael S. Larsen | US | Woodlands Hills | 2012-07-19 / 20120182011 - PHASE DETECTION IN AN ATOMIC SENSING SYSTEM | 1 |
Niels Erik Larsen | DK | Ega | 2010-08-26 / 20100215803 - PROCESS | 1 |
John Alexander Larsen | CA | Toronto | 2012-07-12 / 20120178537 - SYNCHRONIZING SYSTEM FOR GAMEPLAYING | 9 |
Cory M. Larsen | US | Zionsville | 2015-10-22 / 20150299676 - PRODUCTION OF OMEGA 3 LONG CHAIN POLYUNSATURATED FATTY ACIDS IN OILSEED CROPS BY A THRAUSTOCHYTRID PUFA SYNTHASE | 1 |
Erik N. Larsen | US | San Antonio | 2008-10-23 / 20080256706 - Bolstered mattress | 1 |
Kristian Lars Larsen | US | Milwaukee | 2014-10-23 / 20140316819 - MODULE AND SYSTEM FOR MEDICAL INFORMATION MANAGEMENT | 1 |
Bjarne Nyholm Larsen | DK | Odense | / - | 1 |
James Larsen | US | Farmington Hills | 2014-09-18 / 20140265492 - MICRO-VALVE ASSEMBLY | 1 |
Åsa Larsen | SE | Hisings Backa | 2013-08-15 / 20130208591 - Mobile-Access Information Based Adaptation of Network Address Lookup for Differentiated Handling of Data Traffic | 1 |
James Carl Larsen | US | Voorhees | 2015-07-30 / 20150213736 - BANDS USED FOR INDICATING A TYPE OF TUBING | 1 |
Janus S. Larsen | DK | Holb?k | 2011-10-20 / 20110257210 - BENZIMIDAZOLE DERIVATIVES AND THEIR USE FOR MODULATING THE GABAA RECEPTOR COMPLEX | 1 |
Knud Larsen | DK | Aarhus | 2009-12-10 / 20090304595 - ANIMAL MODEL AND A METHOD FOR PRODUCING AN ANIMAL MODEL | 1 |
Michael K. Larsen | US | Troy | 2016-02-04 / 20160033104 - LENS SYSTEM AND METHOD FOR ELIMINATING SUN FOCUSING THERMAL EFFECTS IN LAMPS | 2 |
Poul Larsen | DK | Allerød | 2009-08-27 / 20090216184 - DRUG STORAGE AND DELIVERY DEVICE | 1 |
Robert Larsen | US | Midland | 2015-07-09 / 20150191832 - Electrolytic Process To Silicides | 9 |
Morten Boberg Larsen | DK | Smorum | 2012-01-12 / 20120009530 - Rotary Kilns for Alternative Fuels | 1 |
Mitchell R. Larsen | US | Orem | 2013-09-26 / 20130250411 - POLARIZER EDGE RIB MODIFICATION | 1 |
Asbjorn Ingemar Larsen | NO | Alsvags | 2012-01-12 / 20120009858 - Transport chute for fish | 1 |
Brandon Larsen | US | Carrollton | 2010-09-16 / 20100233331 - Potato with Enhanced Resistance to Greening and Decay and Infusion Process to Obtain Such Resistance | 1 |
Wayne D. Larsen | US | Wylie | 2016-02-25 / 20160056597 - COMMUNICATIONS PLUGS HAVING CAPACITORS THAT INJECT OFFENDING CROSSTALK AFTER A PLUG-JACK MATING POINT AND RELATED CONNECTORS AND METHODS | 16 |
Brett J. Larsen | US | Austin | 2015-05-07 / 20150123973 - AUTOMATED GENERATION OF A THREE-DIMENSIONAL SPACE REPRESENTATION AND PLANOGRAM VERIFICATION | 4 |
Samuel Harold Larsen | US | Murphy | 2014-09-18 / 20140261795 - PRESSURE REGULATORS WITH FILTER CONDITION DETECTORS | 2 |
James L. Larsen | US | Spring | 2010-06-03 / 20100132510 - TWO-CONE DRILL BIT | 3 |
Morten Krog Larsen | DK | Sabro | 2013-03-07 / 20130059034 - POLYPEPTIDE SHAVING TRANSGALACTOSYLATING ACTIVITY | 1 |
Jens Christian Hojland Larsen | DK | Ballerup | 2015-04-16 / 20150105420 - [1,2,4]TRIAZOLOPYRIDINES AND THEIR USE AS PHOSPHODIESTERASE INHIBITORS | 2 |
Truels Sterm Larsen | DK | Frederiksberg C | 2012-03-15 / 20120064146 - Wound care device | 1 |
Christopher Scott Larsen | US | Plymouth | 2015-04-02 / 20150090257 - MASK INCLUDING INTEGRATED SOUND CONDUCTION FOR ALERT NOTIFICATION IN HIGH-NOISE ENVIRONMENTS | 1 |
Christian Larsen | US | Austin | 2016-04-28 / 20160118949 - AMPLIFIER WITH ADJUSTABLE RAMP UP/DOWN GAIN FOR MINIMIZING OR ELIMINATING POP NOISE | 5 |
Glen C. Larsen | US | Issaquah | 2014-05-01 / 20140118629 - VIDEO PROJECTION SYSTEM FOR MOBILE DEVICE | 32 |
Robert K. Larsen | US | Sandy | 2014-09-18 / 20140266636 - Modular Professional Equipment Controlled by Mobile Device | 4 |
Gary Larsen | US | West Jordan | 2014-04-10 / 20140097268 - CENTER FEED SYSTEM EMPLOYING REMOVABLE INSERTS IN A RETRACTABLE INJECTION NOZZLE | 3 |
Jakob Juul Larsen | DK | Odense C | 2012-07-26 / 20120188057 - CONTROLLER FOR A WIRELESS SENSOR | 1 |
Tom Larsen | US | Palo Alto | 2016-02-18 / 20160047701 - HOLLOW MICRO STRING BASED CALORIMETER DEVICE | 1 |
Peter Nøhr Larsen | DK | Copenhagen | 2015-05-14 / 20150129353 - Silencer System For A Vacuum Motor In A Suction Cleaner | 2 |
Bjorn Gullak Larsen | DK | Birkeroed | 2013-07-04 / 20130172695 - Medical Skin Mountable Device and System | 1 |
Mark Larsen | US | Sparks | 2013-08-22 / 20130217013 - EXTERNAL FILES FOR DISTRIBUTION OF MOLECULAR DIAGNOSTIC TESTS AND DETERMINATION OF COMPATIBILITY BETWEEN TESTS | 1 |
Christopher J. Larsen | US | Boise | 2016-01-28 / 20160027882 - SEMICONDUCTOR DEVICES AND STRUCTURES | 4 |
Loren Larsen | US | American Fork | 2008-09-11 / 20080222235 - System and method of minimizing network bandwidth retrieved from an external network | 1 |
Lars Errebo Larsen | DK | Roskilde | 2013-10-03 / 20130258418 - COMPENSATING FOR IMAGE SENSOR PROFILE VARIATIONS | 1 |
Leo Larsen | DK | Kokkedal | 2013-10-03 / 20130259257 - CORDED AUDIO DEVICE WITH WIRELESS DATA EXCHANGE | 1 |
Melvin James Larsen | US | Phoenix | 2013-10-10 / 20130263798 - METHODS AND SYSTEMS FOR ADAPTIVELY COOLING COMBUSTION CHAMBERS IN ENGINES | 1 |
Henrik Neuschäfer Larsen | DK | Soborg | 2015-05-21 / 20150136628 - Packaging | 3 |
Eric Larsen | US | Redwood City | 2016-03-31 / 20160091720 - REALTIME LENS ABERRATION CORRECTION FROM EYE TRACKING | 1 |
Scott Larsen | US | Paoli | 2014-02-20 / 20140052177 - METHOD AND APPARATUS FOR ATTACHING SOFT TISSUE TO BONE | 2 |
Henrik Neuschaefer Larsen | DK | Soborg | 2014-03-13 / 20140072612 - ROLLED COLLAGEN CARRIER | 1 |
Melvin James Larsen | US | Chandler | 2015-04-30 / 20150114352 - TORQUE MULTIPLIER ENGINES | 3 |
Eric Larsen | US | Portland | 2014-05-01 / 20140122544 - FILE WRAPPER SUPPORTING VIRTUAL PATHS AND CONDITIONAL LOGIC | 1 |
Jeremy K. Larsen | US | Providence | 2015-09-17 / 20150259082 - FLUID MANIFOLD SYSTEMS | 10 |
Martin Hededgaard Larsen | DK | Herning | 2013-02-28 / 20130052023 - PITCH BEARING FOR WIND TURBINE ROTOR BLADES | 1 |
Jennifer Colleen Larsen | US | Payson | 2011-03-10 / 20110056233 - Recreational Cooler | 1 |
Mark Larsen | US | Sandy | 2011-09-22 / 20110230046 - SEMICONDUCTOR DICE WITH BACKSIDE TRENCHES FILLED WITH ELASTIC MATERIAL FOR IMPROVED ATTACHMENT, PACKAGES USING THE SAME, AND METHODS OF MAKING THE SAME | 4 |
Ebbe Busch Larsen | DK | Odense So | 2011-10-06 / 20110244543 - U-Shape And/or Nozzle U-Loop Fermenter And Method Of Fermentation | 1 |
Jennie Colleen Larsen | US | Payson | 2010-04-29 / 20100102524 - Shade Wagon | 1 |
Robert C. Larsen | US | Bartlett | 2015-05-21 / 20150135967 - Single-Serve Cartridge with Pressure Relief Valve | 3 |
Kim Dam Larsen | DK | Nykobing Sj. | 2008-08-28 / 20080203848 - Electrical Motor/Generator Having A Number Of Stator Pole Cores Being Larger Than A Number Of Rotor Pole Shoes | 1 |
Glenn R. Larsen | US | Lexington | 2016-02-25 / 20160051507 - Pharmaceutical Formulations for Subcutaneous Administration of Furosemide | 1 |
Jered Larsen | US | Bountiful | 2009-12-03 / 20090295109 - WHEELBARROW | 3 |
Creed Larsen | US | Pleasant Groave | 2008-10-23 / 20080259541 - Monitor suspension device and method of use | 1 |
Christopher Larsen | US | Boise | 2013-10-10 / 20130264628 - USE OF ETCH PROCESS POST WORDLINE DEFINITION TO IMPROVE DATA RETENTION IN A FLASH MEMORY DEVICE | 1 |
Robert Larsen | US | Riverton | 2016-03-03 / 20160058525 - DENTAL HANDPIECES | 1 |
Dennis Leroy Larsen | US | Salt Lake City | 2016-02-25 / 20160053185 - PROCESS TO SEPARATE ALKALI METAL SALTS FROM ALKALI METAL REACTED HYDROCARBONS | 1 |
Glen A. Larsen | US | Sandy | 2014-11-20 / 20140344679 - SYSTEMS AND METHODS FOR CREATING A DOCUMENT | 3 |
Loren D. Larsen | US | Lindon | 2011-01-27 / 20110022471 - MESSAGING SERVICE FOR PROVIDING UPDATES FOR MULTIMEDIA CONTENT OF A LIVE EVENT DELIVERED OVER THE INTERNET | 2 |
Christopher Scott Larsen | US | Rockford | 2014-10-23 / 20140311211 - GAS SENSING DRIFT COMPENSATION USING GAS SELF-REFERENCING FOR END OF SERVICE LIFE INDICATION FOR RESPIRATORS | 8 |
Troy Larsen | US | North Ogden | 2014-10-02 / 20140298090 - DATA RECOVERY IN A SOLID STATE STORAGE SYSTEM | 2 |
Scott P. Larsen | US | West Chester | 2016-01-07 / 20160000421 - ADJUSTABLE SUTURE KNOT | 4 |
Joshua Larsen | US | Provo | 2009-07-23 / 20090183631 - Water Extraction Apparatus | 1 |
M. Don Larsen | US | Smithfield | 2009-03-19 / 20090075746 - GOLF SWING GUIDE | 1 |
Trenton V. Larsen | US | Hyrum | 2013-05-16 / 20130123073 - Exercise Device With Rack and Pinion Incline Adjusting Mechanism | 2 |
Lars R. Larsen | US | Old Lyme | 2015-12-17 / 20150366100 - Cable Trough | 19 |
Finn Larsen | GB | Hawick | 2014-05-08 / 20140128342 - COMPOSITION COMPRISING AT LEAST ONE ALGINATE FOR USE IN TREATMENT AND/OR PREVENTION OF OVERWEIGHT | 1 |
Paul Brian Larsen | US | Riverside | 2014-12-11 / 20140364311 - Pamoic Acid Blocks Ethylene Signaling | 3 |
Einar Vaughn Larsen | US | Schenectady | 2015-10-29 / 20150311696 - SYSTEM AND METHOD FOR PROTECTING ELECTRICAL MACHINES | 2 |
Lance Larsen | CA | Breton | 2014-01-16 / 20140013650 - Illuminated Insect Catching Device | 3 |
Einar Vaughn Larsen | US | Charlton | 2016-03-10 / 20160069325 - SYSTEM AND METHOD FOR IMPROVING REACTIVE CURRENT RESPONSE TIME IN A WIND TURBINE | 15 |
John T. Larsen | US | Blue Springs | 2012-03-15 / 20120062352 - FLUX TRANSFER DEVICE | 1 |
Gerner Larsen | DK | Hinnerup | 2015-11-05 / 20150316024 - METHOD FOR MOVING WIND TURBINE COMPONENTS AND A TRANSPORT SYSTEM FOR MOVING WIND TURBINE COMPONENTS | 14 |
Roy H. Larsen | NO | Oslo | 2016-04-14 / 20160101199 - METHOD FOR UPREGULATING ANTIGEN EXPRESSION | 5 |
Frank Larsen | NO | Oslo | 2014-10-02 / 20140291576 - ISOLATION OF NUCLEIC ACID | 8 |
Sverre Egil Larsen | NO | Fredrikstad | 2009-09-03 / 20090219173 - PIN CODE TERMINAL | 1 |
Sine Larsen | DK | Hørsholm | 2011-09-29 / 20110236367 - RAT CATHESPIN DIPEPTIDYL PEPTIDASE I (DPPI): CRYSTAL STRUCTURE AND ITS USES | 1 |
Eric E. Larsen | US | Auburn | 2013-10-17 / 20130269228 - SAFETY SIGNS | 1 |
Kim Lambertsen Larsen | DK | Aalborg So | 2013-02-14 / 20130040518 - METHOD FOR FUNCTIONALIZING A SOLID MATERIAL SURFACE WITH SELF ASSEMBLING OR SELF AGGREGATING CYCLODEXTRINS AND PRODUCTS THEREOF | 1 |
Asbjørn Ingemar Larsen | NO | Alsvag | 2010-05-27 / 20100129191 - Method and Device for Handling of Fish | 1 |
Andreas Børre Larsen | NO | Nesbru | 2011-09-29 / 20110236236 - DRIVING ARRANGEMENT FOR A PUMP OR COMPRESSOR | 1 |
Karl Erik Larsen | NO | Porsgrunn | 2010-05-27 / 20100126925 - FLOTATION DEVICE | 1 |
Tore Larsen | NO | Trondheim | 2010-07-08 / 20100172700 - Method and Composition for Stabilizing Earth and Sand to Prevent Soil Erosion | 1 |
Christian Klein Larsen | NO | Eiksmarka | 2010-07-15 / 20100178313 - Implantable Degradable Biopolymer Fiber Devices | 2 |
Benny Larsen | DK | Hornslet | 2009-05-07 / 20090114509 - System and Method for Automated Handling of Baggage Objects | 1 |
Arve Larsen | NO | Oslo | 2010-08-12 / 20100205560 - WINDOWING AND CONTROLLING SYSTEM THEREOF COMPRISING A COMPUTER DEVICE | 1 |
Fredrik Larsen | NO | Trondheim | 2016-02-11 / 20160042203 - CHARGE MEASUREMENT | 9 |
Kevin B. Larsen | US | Rosholt | 2014-05-01 / 20140118950 - ELECTICAL CONNECTION ASSEMBLY | 1 |
Stein Vegar Larsen | NO | Lier | 2010-09-23 / 20100239442 - PROTECTION SYSTEM FOR SUBSEA SEAWATER INJECTION PUMPS | 1 |
Roar Larsen | NO | Ranheim | 2011-09-15 / 20110220352 - TREATMENT OF PRODUCED HYDROCARBON FLUID CONTAINING WATER | 2 |
Joshua Larsen | US | Spanish Fork | 2016-01-07 / 20160000378 - Human Health Property Monitoring System | 2 |
Bryan Larsen | US | Vegas | 2009-02-12 / 20090042760 - PRE-SOAPED, DISPOSABLE LUFFAH | 1 |
Bruce T. Larsen | US | Las Vegas | 2009-02-12 / 20090042760 - PRE-SOAPED, DISPOSABLE LUFFAH | 3 |
Jorgen Larsen | DK | Korsor | 2009-03-26 / 20090078168 - HOLDER FOR LAPTOP COMPUTER | 1 |
Kurt M. Larsen | US | Reno | 2015-07-16 / 20150199876 - METHODS AND SYSTEMS FOR TRACKING AN EVENT OF AN EXTERNALLY CONTROLLED INTERFACE | 5 |
Scot K Larsen | US | Tustin | 2014-06-05 / 20140150291 - Impact Resistant Running Shoe Insert | 1 |
Josh Larsen | US | Las Vegas | 2009-02-19 / 20090048012 - Networked Gaming System and Method Providing Multiple Group Play Awards Based on Different Criteria | 1 |
Matthew Larsen | US | Gardnerville | 2009-04-09 / 20090094556 - USER DEFINED SCENARIOS IN A THREE DIMENSIONAL GEO-SPATIAL SYSTEM | 1 |
Flemming Larsen | DK | Frederiksberg | 2011-01-06 / 20110004453 - METHOD FOR PREDICTION OF LIPOPROTEIN CONTENT FROM NMR DATA | 1 |
Mikael Larsen | DK | Hornbaek | 2012-05-31 / 20120134236 - Subsea Measurement System And Method Of Determining A Subsea Location-Related Parameter | 1 |
Rasmus Dines Larsen | DK | Frederiksberg | 2010-11-04 / 20100280427 - MEDICAL DRESSING COMPRISING AN ANTIMICROBIAL AGENT | 1 |
Truels Sterm Larsen | DK | Frederiksberg | 2009-02-05 / 20090036873 - Device, Pump and System for Stimulating the Healing of a Wound | 4 |
Niels A. Larsen | DK | Frederiksberg | 2008-08-28 / 20080207108 - Roof Light System Having a Ventilation Device with Improved Flexibility | 1 |
Bryan W. Larsen | US | Las Vegas | 2009-02-12 / 20090038100 - PRE-SOAPED, DISPOSABLE LUFFAH | 2 |
Christian Bryske Larsen | DK | Frederiksberg | 2009-12-10 / 20090303185 - USER INTERFACE, DEVICE AND METHOD FOR AN IMPROVED OPERATING MODE | 1 |
Katrine Skaarup Larsen | DK | Frederiksberg | 2009-02-26 / 20090055942 - Human Coagulation Factor VII Polypeptides | 1 |
Loren Douglas Larsen | US | Lindon | 2012-08-02 / 20120196580 - METHODS AND APPARATUSES FOR TACTILE CALLER IDENTIFICATION IN HEARING-IMPAIRED COMMUNICATION SYSTEMS | 1 |
Christian Klein Larsen | NO | Lillestrom | 2012-07-12 / 20120177727 - Method and Apparatus for the Preparation of Capsules | 5 |
Trevor James Larsen | US | Winter Garden | 2015-12-31 / 20150374134 - INFLATABLE BED MATTRESS LIFTS | 2 |
Bjarne Larsen | NO | Kristiansand | 2011-04-14 / 20110087474 - SIMULATOR SYSTEM AND METHOD | 1 |
April C. Larsen | US | Plano | 2015-09-03 / 20150249730 - Elastic Adjustable Hand Held Device Holder | 1 |
Kameron Larsen | US | La Canada Flintridge | 2015-09-03 / 20150249456 - MERCURY TRAPPED ION FREQUENCY STANDARD FOR ULTRA-STABLE REFERENCE APPLICATIONS | 1 |
Morten K. Larsen | DK | Sabro | 2013-02-07 / 20130034627 - LIPID ACYLTRANSFERASE PROTEINS AND METHODS OF MAKING THEM | 1 |
Linus Larsen | SE | Stockholm | 2011-09-22 / 20110231521 - MEDIA CONVERGENCE PLATFORM | 1 |
Peter Larsen | DK | Lundby | 2013-10-24 / 20130277000 - PROCESS AND APPARATUS FOR MODIFICATION OF LIGNOCELLULOSIC MATERIALS AND PRODUCTS OF MODIFIED LIGNOCELLULOSIC MATERIALS OBTAINED BY THE PROCESS | 1 |
Todd W. Larsen | US | Milaca | 2008-12-18 / 20080308163 - 3-Way High-Pressure Air Operated Valve | 3 |
Jacob Mollenbach Larsen | DK | Copenhagen Nv | 2016-03-10 / 20160067710 - DEVICE FOR ANALYSIS OF CELLULAR MOTILITY | 3 |
Jeremy K. Larsen | US | Eagan | 2009-04-16 / 20090099537 - PROCESS FOR MAKING MICRONEEDLES, MICRONEEDLE ARRAYS, MASTERS, AND REPLICATION TOOLS | 1 |
Todd William Larsen | US | Elk River | 2012-01-26 / 20120018013 - APPARATUS AND METHODS TO DISPENSE FLUID FROM A BANK OF CONTAINERS AND TO REFILL SAME | 2 |
Adam Larsen | US | Austin | 2015-04-16 / 20150106091 - CONFERENCE TRANSCRIPTION SYSTEM AND METHOD | 1 |
Herbert Larsen | US | Cedar Falls | 2012-05-31 / 20120132606 - BOOM TRUCK WITH SPLAYED FORWARD FRONT STABILIZERS | 1 |
Anders Peter Larsen | US | Salt Lake City | 2011-09-15 / 20110224100 - DESIGNED ARMADILLO REPEAT PROTEINS | 1 |
Michael S. Larsen | US | Woodland Hills | 2015-12-03 / 20150346293 - OPTICAL PROBE BEAM STABILIZATION IN AN ATOMIC SENSOR SYSTEM | 11 |
James C. Larsen | US | Bothell | 2015-11-12 / 20150326061 - WIRELESS BATTERY CHARGER AND CHARGE-RECEIVING DEVICE | 2 |
Paul A. Larsen | US | Midland | 2014-11-20 / 20140338843 - PROCESS AND APPARATUS FOR FORCED CIRCULATION EVAPORATIVE CRYSTALLIZATION WITH LARGE DEPOSIT INVENTORY | 2 |
Herbert A. F. Larsen | CA | Abbotsford | 2012-08-16 / 20120204730 - AUTOMATED PRODUCTION PROCESSES AND ASSOCIATED SYSTEMS, INCLUDING AUTOMATED BREAD MAKING PROCESSES | 1 |
Randy W. Larsen | US | Tampa | 2013-07-18 / 20130184456 - HETEROCYCLIC MACROCYCLE TEMPLATED METAL-ORGANIC MATERIALS | 2 |
Niels Bent Larsen | DK | Rodovre | 2013-01-31 / 20130029321 - SAMPLE PROCESSING DEVICE AND METHOD | 1 |
Crilles Casper Larsen | CH | Mex | 2014-11-06 / 20140328934 - GRANULES FOR PHARMACEUTICAL PREPARATIONS, METHODS AND APPARATUS FOR THEIR PRODUCTION | 2 |
Ty Aaby Larsen | US | Everett | 2015-01-15 / 20150013954 - SYSTEMS AND METHODS FOR HEAT BALANCE AND TRANSPORT FOR AIRCRAFT HYDRAULIC SYSTEMS | 8 |
Sven W. Larsen | CA | Rainier | 2016-03-10 / 20160069143 - Spherical Ball Skate for Continuous Well String Injectors | 2 |
Peter Larsen | DK | Farum | 2013-08-08 / 20130199617 - SYSTEM AND PROCESS FOR DELIVERING BUILDING MATERIALS | 3 |
Denica N. Larsen | US | Hillsboro | 2014-07-10 / 20140193193 - TIME-DELAYED LATCH | 1 |
Ty Larsen | US | Everett | 2013-12-26 / 20130342695 - Vehicle Display System | 1 |
John Finley Larsen | US | Katy | 2015-08-20 / 20150230394 - FEED MECHANISM TO ALLOW POSITIVE FEEDING OF ENERGY CANES INTO A CHOPPER MECHANISM | 1 |
Eric J. Larsen | US | Redwood City | 2013-10-31 / 20130285919 - INTERACTIVE VIDEO SYSTEM | 1 |
Samuel Larsen | US | San Francisco | 2011-09-08 / 20110219447 - Identification of Unauthorized Code Running in an Operating System's Kernel | 1 |
Flemming Larsen | DK | Christiansfeld | 2013-10-31 / 20130287589 - FIBRE REINFORCED COMPOSITE MOULDING | 1 |
Leif Kongskov Larsen | DK | Copenhagen | 2013-10-31 / 20130288929 - Method for Making an Enriched Library | 1 |
Dorte Møller Larsen | DK | Frederiksberg | 2016-03-17 / 20160076013 - A MUTANT SIALIDASE HAVING TRANS-SIALIDASE ACTIVITY FOR USE IN PRODUCTION OF SIALYLATED GLYCANS | 1 |
Charles E. Larsen | US | Cumming | 2012-12-27 / 20120330088 - Methods and Apparatus for Intraocular Brachytherapy | 5 |
Charles E. Larsen | US | Tampa | 2013-01-03 / 20130006245 - SEGMENTED INTRAMEDULLARY STRUCTURE | 3 |
Charles E. Larsen | US | 2009-08-27 / 20090216232 - MODULAR SEGMENTED INTRAMEDULLARY SYSTEM, APPARATUS AND METHODS | 3 | |
Glenn R. Larsen | US | Sudbury | 2015-10-22 / 20150299225 - COMPOUNDS FOR MODULATING TRPV3 FUNCTION | 14 |
Robert A. Larsen | US | Somerville | 2013-11-28 / 20130314509 - LONG FOCAL LENGTH MONOCULAR 3D IMAGER | 3 |
Glenn Larsen | US | Norton | 2009-07-30 / 20090192947 - Generating a Savings Plan | 1 |
Gert Larsen | DK | Koge | 2010-03-11 / 20100062924 - Growing system and inactive growing medium, in particular for use in the growing system | 1 |
Janus S. Larsen | DK | Holbaek | 2011-10-20 / 20110257230 - BENZIMIDAZOLE DERIVATIVES AND THEIR USE FOR MODULATING THE GABAA RECEPTOR COMPLEX | 11 |
Benny Larsen | DK | Soenderborg | 2015-04-23 / 20150108871 - ULTRASONIC TRANSDUCER WITH DIELECTRIC ELASTOMER AS ACTIVE LAYER | 1 |
Bradley J. Larsen | US | Mound | 2012-01-19 / 20120012957 - NEUTRON DETECTOR WITH WAFER-TO-WAFER BONDING | 6 |
Scott D. Larsen | US | Ann Arbor | 2014-08-07 / 20140221412 - THERAPEUTIC COMPOUNDS AND METHODS | 1 |
David Samuel Larsen | NZ | Duedin | 2008-10-09 / 20080249037 - Synthetic Molecules Having Immune Activity | 1 |
Hans Rico Larsen | DK | Nr. Snede | 2012-12-27 / 20120328408 - Method for Controlling the Orientation of a Load Suspended from a Bearing Wire About Said Bearing Wire and a Winch Arrangement | 1 |
Jan Larsen | US | Hudson | 2015-09-24 / 20150269467 - RETRIEVING REMOTELY STORED INFORMATION | 2 |
Kurt Larsen | US | Las Vegas | 2016-03-31 / 20160093150 - METHOD OF GAMING, A GAMING SYSTEM AND A GAME CONTROLLER | 2 |
Lars Larsen | DK | Horsens | 2011-05-19 / 20110116923 - BLADE FOR A ROTOR OF A WIND OR WATER TURBINE | 1 |
Raymond Larsen | NO | Laksevåg | 2016-04-07 / 20160096595 - CUTTER DEVICE FOR MARINE SURVEY SYSTEM AND RELATED METHOD | 1 |
Flemming Larsen | DK | Copenhagen O | 2016-04-07 / 20160096897 - ANTIBODIES TO MASP-2 | 1 |
Colin Larsen | US | Minneapolis | 2014-12-25 / 20140375819 - AUTONOMOUS VIDEO MANAGEMENT SYSTEM | 1 |
Helgi Larsen | DK | Horsens | 2011-05-19 / 20110116923 - BLADE FOR A ROTOR OF A WIND OR WATER TURBINE | 1 |
Lesley Larsen | NZ | Dunedin | 2013-09-19 / 20130245128 - COMPOUNDS, COMPOSITIONS AND METHODS FOR THE TREATMENT OF AMYLOID DISEASES AND SYNUCLEINOPATHIES SUCH AS ALZHEIMER'S DISEASE, TYPE 2 DIABETES, AND PARKINSON'S DISEASE | 10 |
Lesley Larsen | NZ | Sawyers Bay | 2009-08-06 / 20090197965 - COMPOUNDS, COMPOSITIONS AND METHODS FOR THE TREATMENT OF AMYLOID DISEASES AND SYNUCLEINOPATHIES SUCH AS ALZHEIMER'S DISEASE, TYPE 2 DIABETES, AND PARKINSON'S DISEASE | 2 |
David Larsen | NZ | Dunedin | 2012-09-06 / 20120225890 - COMPOUNDS, COMPOSITIONS AND METHODS FOR THE TREATMENT OF AMYLOID DISEASES AND SYNUCLEINOPATHIES SUCH AS ALZHEIMER'S DISEASE, TYPE 2 DIABETES AND PARKINSON'S DISEASE | 3 |
Helen D. Larsen | US | Sandy | 2012-12-27 / 20120325718 - Apparatus and Method for Storing Things | 1 |
Karen Colbjorn Larsen | GB | Oxford | 2010-12-23 / 20100322896 - MOLECULAR ADJUVANT | 1 |
David Samual Larsen | NZ | Dunedin | 2010-11-25 / 20100297156 - ANALOGUES OF PHOSPHATIDYLINOSITOL MANNOSIDES | 1 |
Lars Larsen | BG | Plovdiv | 2010-11-18 / 20100288394 - DEVICE AND METHOD FOR FILLING OF A CONTAINER | 1 |
M. E. Larsen | GB | Oxford | 2010-07-29 / 20100191073 - SYSTEM FOR MONITORING CHEMOTHERAPY-ASSOCIATED ADVERSE DRUG REACTIONS | 1 |
Sine Larsen | FR | Grenoble | 2009-08-13 / 20090203106 - GALACTANASE VARIANTS | 1 |
Richard James Larsen | AU | Cowra | 2015-12-31 / 20150373897 - Single Pass Raised Bed Preparation System | 2 |
David S. Larsen | NZ | Sawyers Bay | 2009-08-06 / 20090197965 - COMPOUNDS, COMPOSITIONS AND METHODS FOR THE TREATMENT OF AMYLOID DISEASES AND SYNUCLEINOPATHIES SUCH AS ALZHEIMER'S DISEASE, TYPE 2 DIABETES, AND PARKINSON'S DISEASE | 2 |
David Larsen | AU | Cowra | 2011-09-08 / 20110214404 - Method and Apparatus for Mulching Stubble | 1 |
Tonni S. Larsen | US | Escondido | 2012-03-15 / 20120066746 - Secure device feature unlock mechanism third party development | 1 |
Staffan Larsen | SE | Stockholm | 2013-05-02 / 20130111273 - SYSTEM AND METHOD FOR PROVIDING VIRTUAL MACHINE DIAGNOSTIC INFORMATION USING A FLIGHT RECORDER FUNCTIONALITY | 3 |
Martin Wahlers Larsen | CH | Tentlingen | 2008-10-30 / 20080270540 - Filter and a Method of Filtering Electronic Messages | 1 |
Douglas C. Larsen | US | Highland | 2015-05-07 / 20150123307 - Fastenable Member for Sealing, Baffling or Reinforcing and Method of Forming Same | 3 |
Kim Brøndum Larsen | DK | Hadsund | 2010-02-25 / 20100045040 - Variable Speed Wind Turbine With Doubly-Fed Induction Generator Compensated For Varying Rotor Speed | 1 |
Joshua D. Larsen | US | Las Vegas | 2015-03-05 / 20150065232 - PROGRESSIVE POOL MANAGEMENT | 27 |
Birgit Agerholm Larsen | DK | Birkerod | 2013-11-07 / 20130296995 - Method For Treating A Tissue Region With An Electric Field | 1 |
Bent Larsen | DK | Horsens | 2016-04-21 / 20160107906 - POLY ALUMINIUM-SILICATE COMPOSITIONS AND PROCESSES FOR PRODUCING THE SAME | 1 |
Peter Larsen | LU | Helmdange | 2008-10-23 / 20080262753 - Foil-Type Pressure Sensor and Method for Evaluating the Sensor Response | 1 |
Wayne D. Larsen | US | Wylie | 2016-02-25 / 20160056597 - COMMUNICATIONS PLUGS HAVING CAPACITORS THAT INJECT OFFENDING CROSSTALK AFTER A PLUG-JACK MATING POINT AND RELATED CONNECTORS AND METHODS | 16 |
Todd William Larsen | US | Milaca | 2016-04-07 / 20160097460 - 3-WAY INLINE AIR OPERATED VALVE | 7 |
Bent Juul Larsen | DK | Outrup | 2013-08-15 / 20130205711 - ASSEMBLY RIG FOR ASSEMBLING A WIND TURBINE TOWER OR WIND TURBINE TOWER SECTIONS AND A RESPECTIVE METHOD | 1 |
Åsa Larsen | SE | Hisings Backa | 2013-08-15 / 20130208591 - Mobile-Access Information Based Adaptation of Network Address Lookup for Differentiated Handling of Data Traffic | 1 |
Finn Larsen | GB | Bonchester Bridge Hawich | 2013-08-15 / 20130210762 - SOLID PHARMACEUTICAL COMPOSITION FOR NEUTRALIZING STOMACH ACID | 1 |
Kristopher A. Larsen | US | Colorado Springs | 2013-12-12 / 20130328787 - Context Based Gesture-Controlled Instrument Interface | 2 |
Diane M. Larsen | US | Carlsbad | 2015-02-12 / 20150044150 - COMPOSITIONS AND METHODS FOR UV-CURABLE COSMETIC NAIL COATINGS | 1 |
Diane Larsen | US | Buford | 2013-08-08 / 20130203692 - PARASITICIDAL ORAL VETERINARY COMPOSITIONS COMPRISING SYSTEMICALLY-ACTING ACTIVE AGENTS, METHODS AND USES THEREOF | 1 |
Lance Daniel Larsen | US | Princeton | 2011-12-15 / 20110307416 - Systems and Methods for Offering and Servicing Hedge Funds | 1 |
Troy Larsen | US | Oakdale | 2012-06-21 / 20120153351 - Stress modulated group III-V semiconductor device and related method | 1 |
Lasse L. K. Larsen | DK | Copenhagen | 2009-02-05 / 20090037251 - METHOD AND SYSTEM FOR ELECTRONICALLY DISTRIBUTING SALES INFORMATION TO A SELECTED GROUP OF INDIVIDUALS | 1 |
Coby Larsen | US | Flagstaff | 2013-02-14 / 20130041404 - Heart Occlusion Devices | 2 |
Eric Richard Larsen | US | Plainfield | / - | 1 |
Joshua Larsen | US | Bellevue | 2014-11-06 / 20140329604 - TRANSPORT AGNOSTIC IPC MECHANISM | 1 |
Lars Michael Larsen | DK | Charlottenlund | 2010-11-18 / 20100292676 - METHOD AND APPARATUS FOR NON-OR MINIMALLY DISRUPTIVE PHOTOMANIPULATION OF AN EYE | 1 |
Lars Finn Sloth Larsen | DK | Sydals | 2015-12-24 / 20150369214 - MODEL BASED CONTROLLER FOR A WIND TURBINE GENERATOR | 11 |
Lawrence J. Larsen | US | Spokane | 2012-03-22 / 20120070555 - Continuous Fruit Pitting By Singularization of Fruit Pieces | 1 |
Jens Christian Højland Larsen | DK | Kgs. Lyngby | 2011-08-04 / 20110190282 - NOVEL VEGF-2 RECEPTOR AND PROTEIN TYROSINE KINASE INHIBITORS AND PHARMACEUTICAL USE THEREOF | 1 |
Knud Verner Larsen | DK | Maribo | 2009-12-03 / 20090294361 - Permeate tube | 1 |
Jeffrey Ward Larsen | US | Boulder | 2014-10-16 / 20140310746 - DIGITAL ASSET MANAGEMENT, AUTHORING, AND PRESENTATION TECHNIQUES | 2 |
Bradley Larsen | US | Mound | 2010-01-28 / 20100019320 - Direct Contact to Area Efficient Body Tie Process Flow | 1 |
Kal A. Larsen | US | Springville | 2015-05-21 / 20150143458 - TECHNIQUES FOR IDENTITY AND POLICY BASED ROUTING | 28 |
Magnus Hurlen Larsen | NO | Nesoya | 2014-05-22 / 20140138115 - SUBSEA UMBILICAL | 1 |
Tommy Frederik Larsen | DK | Slagelse | 2013-08-15 / 20130205649 - PROCESS FOR THE PRODUCTION OF BIOFUEL | 1 |
Per Larsén | SE | Sodertalje | 2013-03-21 / 20130068188 - ARRANGEMENT IN A COMBUSTION ENGINE | 1 |
Martin Larsén | SE | Jönköping | 2015-12-10 / 20150357684 - Battery Pack Interface System | 2 |
Per Larsén | SE | Sodertalje | 2013-03-21 / 20130068188 - ARRANGEMENT IN A COMBUSTION ENGINE | 1 |
Kristine M. Larsen-Kelly | US | Lino Lakes | 2010-02-25 / 20100049279 - ADAPTIVE SOFTWARE CONFIGURATION FOR A MEDICAL DEVICE | 1 |
William Harold Larsen Roldan | US | San Juan | 2015-04-23 / 20150108304 - WALL HANGER | 1 |
Sean Larsgard | US | South Jordan | 2010-06-24 / 20100161473 - CONFIRMATION OF USER ACTION REQUEST | 1 |
Ryan Larsh | US | Bargersville | 2012-10-25 / 20120267916 - CAB ENTRY SYSTEM FOR A CROP SPRAYER | 8 |
Michael Joseph Larsh | US | La Center | 2012-04-26 / 20120099941 - Encapsulated load-securement bulkhead and method of manufacture | 1 |
Jon Larson | US | Sycamore | 2009-10-15 / 20090256011 - Insert for inverted spray nozzle | 1 |
Bruce C. Larson | US | Austin | 2011-05-12 / 20110109294 - METHOD FOR DETERMINING PRE-BIAS IN A SWITCH-MODE CONTROLLER | 1 |
Thomas Marshall Larson | US | Bellaire | 2012-03-22 / 20120071598 - Plasticised Polyvinyl Chloride | 5 |
Thomas R. Larson | US | Montgomery | 2014-10-16 / 20140305875 - APPARATUS AND METHOD FOR DRILLING FLUID DENSITY SEPARATOR USING MAGNETS | 5 |
Eric D. Larson | US | Tomball | 2012-01-12 / 20120006557 - MADE-UP FLANGE LOCKING CAP | 7 |
Eric A. Larson | US | Arlington | 2016-04-07 / 20160096085 - GOLF CLUB HEAD OR OTHER BALL STRIKING DEVICE HAVING IMPACT-INFLUENCING BODY FEATURES | 19 |
Bruce Carl Larson | US | Austin | 2010-12-30 / 20100327972 - Time Delay Compensation and Pulse Width Correction | 1 |
Thomas Larson | US | Montgomery | 2010-07-22 / 20100181265 - Shale shaker with vertical screens | 1 |
Timothy A. Larson | US | Austin | 2010-02-04 / 20100028261 - Molecular Specific Photoacoustic Imaging | 1 |
Chad J. Larson | US | Austin | 2016-02-11 / 20160041601 - MANAGING POWER SAVINGS IN A HIGH AVAILABILITY SYSTEM AT A REDUNDANT COMPONENT LEVEL OF GRANULARITY | 8 |
Delbert J. Larson | US | Waxahachie | 2011-09-08 / 20110215720 - Segmented Electron Gun, Beam and Collector System and Method for Electron Cooling of Particle Beams | 4 |
Lee Alan Larson | US | Katy | 2010-12-30 / 20100332909 - CIRCUITS, SYSTEMS, APPARATUS AND PROCESSES FOR MONITORING ACTIVITY IN MULTI-PROCESSING SYSTEMS | 1 |
Thomas Robert Larson | US | Montgomery | 2015-01-22 / 20150020588 - SYSTEMS AND METHODS FOR DETERMINING SPECIFIC GRAVITY AND MINEROLOGICAL PROPERTIES OF A PARTICLE | 10 |
Brandon A. Larson | US | Dallas | 2010-09-02 / 20100218912 - METHOD, APPARATUS, HEADER, AND COMPOSITION FOR GROUND HEAT EXCHANGE | 1 |
Eric Larson | US | Boulder | 2008-09-25 / 20080233799 - Pluggable Module And Cage | 1 |
Eric Larson | US | Spring Valley | 2012-11-22 / 20120296246 - HIGH FREQUENCY CHEST WALL OSCILLATION SYSTEM HAVING VALVE CONTROLLED PULSES | 2 |
Eric Larson | US | Bozeman | 2010-04-15 / 20100089753 - FLUORESCENT DETECTION OF PROTEINS IN POLYACRYLAMIDE GELS | 1 |
Eric Larson | US | Glenview | 2010-09-30 / 20100242548 - SELF-ADVANCING ENCODER FOR APPLIANCE CONTROL | 1 |
Eric Larson | US | Pecatonica | 2013-09-12 / 20130233886 - Dispenser for Viscous Food Products | 5 |
Eric Larson | US | Sugar Land | 2010-09-30 / 20100243243 - Active In-Situ Controlled Permanent Downhole Device | 1 |
Brett E. Larson | US | Cedar Rapids | 2012-07-12 / 20120174961 - ARC FAULT MITIGATION FOR PHOTOVOLTAIC SYSTEMS | 2 |
Ryan Larson | US | Story City | 2013-01-17 / 20130019332 - CROP AUTOMATED RELATIVE MATURITY SYSTEM | 2 |
Brett Larson | US | Cedar Rapids | 2013-12-12 / 20130329331 - Wireless Branch Circuit Energy Monitoring System | 6 |
Greg A. Larson | US | Ames | 2010-09-16 / 20100232254 - LIQUID STORAGE TANK WITH DRAFT TUBE MIXING SYSTEM | 2 |
Wade T. Larson | US | Cedar Falls | 2008-10-23 / 20080257078 - Two-Speed PTO Stub Shaft Exchange Improvements | 1 |
Alan A. Larson | US | Scottsdale | 2011-02-24 / 20110044436 - Personal Notification And Broadcasting | 1 |
Lary R. Larson | US | Gold Canyon | 2014-12-25 / 20140374145 - HERMETICALLY-SEALED ELECTRICAL CIRCUIT APPARATUS | 4 |
Tony R. Larson | US | Tucson | 2013-10-03 / 20130257507 - Analog Multiplier and Method for Current Shunt Power Measurements | 4 |
Jerry B. Larson | US | Gilbert | 2010-12-16 / 20100314526 - PANEL SPACER | 1 |
Gregory James Larson | US | Vail | 2009-08-06 / 20090193961 - Apparatus, System, and Method for Filamentary Composite Lattice Structure Manufacturing | 1 |
Alain Larson | US | Tucson | 2009-01-15 / 20090017491 - APPARATUS AND METHOD FOR BIOLOGICAL SAMPLE PROCESSING | 1 |
Gerald T. Larson | US | Phoenix | 2008-11-13 / 20080276555 - Foundation for modular structures | 1 |
Alain Larson | US | Marana | 2008-09-25 / 20080235055 - Laboratory instrumentation information management and control network | 1 |
Mark L. Larson | US | Grand Haven | 2016-03-10 / 20160068108 - REARVIEW MIRROR ASSEMBLY FOR VEHICLE | 29 |
Troy Larson | US | Brandon | 2014-11-06 / 20140330707 - METHODS TO ADVANCE LOAN PROCEEDS ON PREPAID CARDS, ASSOCIATED SYSTEMS AND COMPUTER PROGRAM PRODUCTS | 14 |
Allen C. Larson | US | Sioux Falls | 2010-05-13 / 20100115908 - Mower ground roller assembly mounting system | 3 |
Quentine E. Larson | US | Pollock | 2010-06-24 / 20100156064 - Wheelchair with Enhanced Toilet Accessibility | 1 |
Brian G. Larson | US | Alpine | 2015-10-29 / 20150306265 - SYSTEMS AND METHODS FOR DISINFECTING MEDICAL INSTRUMENTS | 16 |
Brian G. Larson | US | Orem | 2010-06-10 / 20100143496 - TWO-PART DISINFECTANT SYSTEM AND RELATED METHODS | 6 |
Donald K. Larson | US | Cedar Park | 2016-04-28 / 20160116699 - FIBER DROP CABLE ASSEMBLY FOR OUTDOOR AND INDOOR ROUTING | 27 |
Ralf Larson | SE | Mölnycke | 2011-05-19 / 20110117485 - TONER COMPOSITION, DEVELOPER COMPRISING THE TONER COMPOSITION, AND METHOD IN CONNECTION WITH THE PRODUCTION OF A VOLUME BODY | 1 |
Steven Larson | US | Vicksburg | 2013-12-19 / 20130338003 - RHIZOBIUM TROPICI PRODUCED BIOPOLYMER SALT | 2 |
Michael C. Larson | US | New Orleans | 2014-02-13 / 20140046365 - Methods of Using a Septal Stapler | 2 |
Marcus R. Larson | US | Redwood City | 2011-06-02 / 20110126942 - Manually Operable Log Splitter | 1 |
David H. Larson | US | Swartz Creek | 2014-12-11 / 20140360677 - System and Method for Applying a Lubricating Paste to a Wheel | 5 |
Jay Michael Larson | US | Marshall | 2010-07-01 / 20100162975 - LASH ADJUSTMENT BETWEEN ROLLERS AND A CAM PLATE OF A BARREL ENGINE | 1 |
Jon Karl Larson | US | Fenton | 2010-02-18 / 20100039336 - WIND NOISE REDUCING MOUNTING BASES FOR ANTENNA ASSEMBLIES | 1 |
Brian T. Larson | US | Dowling | 2010-11-18 / 20100292330 - COMPOSITIONS AND METHODS FOR ENHANCING COGNITIVE FUNCTION | 2 |
Keith D. Larson | US | Petoskey | 2009-07-16 / 20090178499 - GIMBAL ASSEMBLY INCLUDING FLEXIBLE SUBSTRATE WIRING HARNESSES | 2 |
William A. Larson | US | Big Rapids | 2009-01-22 / 20090019787 - HANGING DEVICE FOR USE ON VINYL SIDING | 1 |
William Arthur Larson | US | Houston | 2011-06-16 / 20110144405 - HEAVY FEED MIXER | 1 |
Douglas Alan Larson | US | Lakeview | 2011-06-16 / 20110145522 - MEMORY COMMAND DELAY BALANCING IN A DAISY-CHAINED MEMORY TOPOLOGY | 1 |
Erik N. Larson | US | Carlsbad | 2011-06-23 / 20110146583 - MODULAR PET ENCLOSURE AND KITS THEREOF | 1 |
David V. Larson | US | Columbus | 2011-06-23 / 20110146965 - COOLING SYSTEM WITH INDIRECT HUMIDITY DETERMINATION | 1 |
Michael P. Larson | US | Metamora | 2011-06-23 / 20110148188 - DEBRIS GUARD | 1 |
Brian Ralph Larson | US | Shoreview | 2011-06-23 / 20110152963 - AUTOMATIC PROGRAMMING OF RATE-ADAPTIVE THERAPY VIA ACTIVITY MONITORING | 1 |
Pre-Ake Larson | US | Redmond | 2011-06-23 / 20110153593 - EXPLOITING PARTITIONING, GROUPING, AND SORTING IN QUERY OPTIMIZATION | 1 |
David Larson | US | Superior | 2011-01-13 / 20110006994 - PORTABLE DEVICE WITH VERSATILE KEYBOARD | 1 |
Marina T. Larson | US | Dillon | 2009-09-03 / 20090221308 - System and Method for Enhancing Drug-Taking Compliance | 1 |
Eddy Larson | US | Eric | 2009-06-04 / 20090139302 - RESONANCE RESPONSE TESTING ON A BEARING | 1 |
Eric Allan Larson | US | Johnstown | 2011-06-30 / 20110157945 - Synchronous Rectifier Circuit | 1 |
Lawayne Larson | US | Fort Collins | 2012-09-06 / 20120225173 - METHOD AND APPARATUS FOR ANTIMICROBIAL TREATMENT OF MEAT TRIMMINGS FOR SUBSEQUENT GRINDING | 2 |
David D. Larson | US | Golden | 2016-02-18 / 20160047131 - METHOD AND APPARATUS FOR POSITIONING HEATING ELEMENTS | 3 |
Michael C. Larson | US | Colorado Springs | 2015-05-21 / 20150141951 - TARGETED TISSUE HEATING METHODS AND ASSOCIATED SYSTEMS | 4 |
Delbert John Larson | US | Waxahachie | 2011-06-30 / 20110158369 - Cellular, electron cooled storage ring system and method for fusion power generation | 1 |
Rebecca Larson | US | Longmont | 2012-01-05 / 20120003197 - BACILLUS ISOLATES AND METHODS OF THEIR USE TO PROTECT AGAINST PLANT PATHOGENS AND VIRUS TRANSMISSION | 3 |
Per Harold Larson | US | Boulder | 2010-02-25 / 20100045690 - DIGITAL DISPLAY | 1 |
Douglas Larson | US | Walnut Creek | 2009-12-17 / 20090311216 - RECOMBINANT INTERFERON-BETA WITH ENHANCED BIOLOGICAL ACTIVITY | 1 |
Donald Leroy Larson | US | Colorado Springs | 2009-08-27 / 20090212593 - Accessories to equip and to control the interior environment for vehicle camping | 1 |
Reed Harvey Larson | US | Parker | 2009-07-09 / 20090173025 - Wall system and method of forming same | 2 |
Eric Larson | US | Bulington | 2011-06-30 / 20110161096 - METHODS AND SYSTEMS FOR MAPPING HEALTHCARE SERVICES ANALYTICS FOR VOLUME AND TRENDS | 1 |
Tyler Larson | US | New York | 2013-11-14 / 20130301947 - METHOD AND SYSTEM FOR APPLYING COSMETIC AND/OR ACCESSORIAL ENHANCEMENTS TO DIGITAL IMAGES | 2 |
David A. Larson | US | Rochester | 2016-01-28 / 20160026586 - USING A DECREMENTER INTERRUPT TO START LONG-RUNNING HARDWARE OPERATIONS BEFORE THE END OF A SHARED PROCESSOR DISPATCH CYCLE | 39 |
Heidi Larson | US | Apple Valley | 2011-07-14 / 20110171621 - System and Method for Managing and Delivering Course Content to Mobile Devices | 1 |
Marina T. Larson | US | Summit County | 2011-07-21 / 20110173801 - Electrochemical Cell and Method of Making an Electrochemical Cell | 1 |
Joslin Larson | US | Portland | 2011-07-28 / 20110180015 - CHEW-PROOF LEASH AND COLLAR | 1 |
Anthony J. Larson | US | St. Paul | 2014-07-24 / 20140205720 - Coated Food Product and Method of Preparation | 2 |
Kevin A. Larson | US | South Lebanon | 2014-10-09 / 20140303650 - Surgical Clip Applier Methods | 5 |
Brian Larson | US | Mountain View | 2011-07-28 / 20110184930 - Methods and Systems for Adjusting a Scoring Measure Based on Query Breadth | 1 |
Ronald Allen Larson | US | Cheyenne | 2011-08-04 / 20110187250 - DRAWER BOX DISPLAY CONTAINER | 1 |
Kirk D. Larson | US | Santa Ana | 2015-12-10 / 20150359150 - Strawberry cultivars | 7 |
Sonja Larson | US | Benicia | 2014-12-04 / 20140358593 - SYSTEM AND METHOD FOR PROCESSING WORK PRODUCTS FOR VEHICLES VIA THE WORLD WIDE WEB | 7 |
Merle Arvid Larson | US | Cannon Falls | 2014-12-18 / 20140367936 - Cabinet With Adjustable Shelf | 2 |
Melanie Larson | US | East Palo Alto | 2011-08-25 / 20110209073 - METHOD AND APPARATUS FOR NAVIGATING SERVICES | 1 |
Kris K. Larson | US | Fullerton | 2011-09-15 / 20110220733 - CREMATED REMAINS SCATTERING APPARATUS | 1 |
Robert Eric Larson | US | Monument | 2011-09-15 / 20110224844 - Close-Spaced Leader-Follower Navigation Using Control Mimic | 1 |
Robert B. Larson | US | Lisle | 2013-03-14 / 20130066123 - PROCESS FOR TRANSALKYLATING AROMATIC HYDROCARBONS | 5 |
Chuck Larson | US | Colona | 2010-09-23 / 20100236389 - Detachable Carrying Handle For Firearm WIth Increased Range | 1 |
Gregg Larson | US | Champaign | 2012-12-27 / 20120327112 - Multi-Modal, Geo-Tempo Communications Systems | 2 |
Keith A. Larson | US | Libertyville | 2011-03-03 / 20110047668 - SURGICAL PROTECTIVE SYSTEM HEAD GEAR ASSEMBLY INCLUDING HIGH VOLUME AIR DELIVERY SYSTEM | 1 |
Craig S. Larson | US | Schaumburg | 2015-01-15 / 20150016873 - SLEEVE WITH INTERIOR SPLINE BROACHING SYSTEM | 2 |
Erik Larson | US | Evanston | 2011-05-26 / 20110125451 - THERMAL RESPONSE GEOTHERMAL TESTING UNIT | 2 |
Mark Larson | US | Colona | 2016-02-04 / 20160033223 - Firearm With Magazine Release Lever | 6 |
Robert Larson | US | Northfield | 2015-06-25 / 20150174421 - Energy Delivery Apparatus and Method | 4 |
Eric W. Larson | US | Pecatonica | 2013-08-22 / 20130213921 - CENTRAL DATUM FEATURE ON RAILROAD COUPLER BODY AND CORRESPONDING GAUGES | 6 |
Andrew Christian Larson | US | Kildeer | 2009-05-07 / 20090116711 - METHOD FOR TRANSCATHETER INTRA-ARTERIAL PERFUSION MAGNETIC RESONANCE IMAGING | 1 |
Richard A. Larson | GB | Winchester | 2008-10-30 / 20080264419 - INTEGRATED VENTILATOR WITH CALIBRATION | 1 |
Tony Larson | GB | York | 2009-01-15 / 20090013769 - ASSAY FOR OILS | 1 |
Dan Larson | HK | Central Hong Kong | 2009-04-16 / 20090094838 - Saw Having a Storable Blade | 1 |
Anders Larson | SE | Uppsala | 2009-10-01 / 20090243434 - SHOCK RECOGNITION SYSTEM IN A DOORLOCK | 1 |
Torbjorn Larson | SE | Huddinge | 2009-10-29 / 20090270429 - ANTIVIRAL FORMULATION | 1 |
Erik Larson | SE | Malmo | 2010-01-07 / 20100002122 - CAMERA SYSTEM AND METHOD FOR PICTURE SHARING USING GEOTAGGED PICTURES | 1 |
Leif Larson | FI | Vaasa | 2011-01-13 / 20110005856 - EXHAUST SILENCER | 1 |
Grant Larson | DE | De | 2011-01-20 / 20110012417 - MOTOR VEHICLE | 1 |
Grant Larson | DE | Ludwigsburg | 2011-02-03 / 20110025093 - CABRIOLET VEHICLE | 3 |
Marie Larson | SE | Olofstorp | 2009-02-19 / 20090045652 - CARGO NET | 1 |
Jan Eric Larson | SE | Trosa | 2009-03-26 / 20090078116 - Adaptable dual-directional, differential pressure assembly | 1 |
Thomas Larson | SE | Stuerfors | 2011-03-17 / 20110066091 - ELECTRO ACTIVE COMPRESSION BANDAGE | 1 |
Jonathan William Larson | US | Chelsea | 2015-01-29 / 20150027892 - MANIPULATION OF MICROFLUIDIC DROPLETS | 6 |
Per-Ake Larson | US | Redmond | 2016-05-05 / 20160125022 - EFFICIENT MAINTENANCE OF COLUMN STORE INDEXES ON MEMORY-OPTIMIZED TABLES | 26 |
Skip B. Larson | US | Fort Collins | 2016-05-19 / 20160141155 - Current Threshold Response Mode for Arc Management | 4 |
Seth Larson | US | Lakewood | 2015-09-10 / 20150251479 - Greeting Card with Scrolling Scene | 10 |
Kenneth Michael Larson | US | Walnut Creek | 2011-10-27 / 20110259275 - LIFT-ASSISTED LITTER BOX SYSTEM | 1 |
Barrett J. Larson | US | Palo Alto | 2015-12-10 / 20150356500 - Systems, Methods, and Devices for Monitoring Blood Products During Portable Storage and Transport | 4 |
Randy A. Larson | US | River Falls | 2015-05-21 / 20150140292 - LASER-PERSONALIZABLE SECURITY ARTICLES | 4 |
Paul Roy Larson | CA | Calgary | 2011-11-10 / 20110271490 - SYSTEM AND METHOD THAT REMOVES CONTAMINANTS FROM SULFUR | 1 |
Quinn L. Larson | US | Friendswood | 2011-11-10 / 20110272527 - POWER GENERATING KITE SYSTEM | 1 |
Eric K. Larson | US | Glenview | 2013-07-25 / 20130190929 - APPLIANCE CONSOLE WITH CONNECTOR-FREE ATTACHMENT TO APPLIANCE | 2 |
Chadwick Robert Larson | CA | Vancouver | 2014-01-16 / 20140014462 - FEEDWELL FOR A GRAVITY SEPARATION VESSEL | 2 |
Cadmar Larson | CA | Richmond | 2011-01-20 / 20110015044 - EXERCISE APPARATUS HAVING A SURFACE FOR SLIDING | 4 |
Stephen N. D. Larson | CA | Calgary | 2010-12-30 / 20100328063 - REMOTE TRACKING AND COMMUNICATION DEVICE | 2 |
Brian Larson | CA | Hanna | 2010-11-11 / 20100282184 - SYSTEM, APPARATUS AND METHOD FOR MONITORING VARIOUS ENVIRONMENTAL CONDITIONS SURROUNDING AN ANIMAL AND COMMUNICATING SAME TO A REMOTE DEVICE | 1 |
Johan Gustav Larson | CA | Waterloo | 2010-04-29 / 20100106842 - SYSTEM AND METHOD FOR PROVIDING TIMER AFFINITY THROUGH NOTIFICATIONS WITHIN A SESSION-BASED SERVER DEPLOYMENT | 1 |
Curt T. Larson | US | San Francisco | 2014-09-11 / 20140258887 - DEVICES, METHODS, AND GRAPHICAL USER INTERFACES FOR TRANSFERRING CALLS | 5 |
Paul Roy Larson | CA | Irricana | 2009-10-01 / 20090242467 - System that removes contaminants from sulfur | 1 |
Albert W. Larson | CA | Selkirk | 2009-08-20 / 20090205164 - Castor Wheel Assembly | 1 |
Steven Larson | US | New York | 2014-09-04 / 20140248210 - MULTIMODAL SILICA-BASED NANOPARTICLES | 3 |
Andrew C. Larson | US | Kildeer | 2012-04-26 / 20120101363 - METHODS AND APPARATUS FOR PATIENT TREATMENT USING MAGNETIC MEDICAL HARDWARE | 3 |
Martin Larson | US | Chestnut Hill | 2011-12-22 / 20110311650 - MULTIPLEXED BIOMARKERS OF INSULIN RESISTANCE | 1 |
David W. Larson | US | Rochester | 2014-08-28 / 20140239045 - SURGICAL STAPLER DELIVERY SYSTEMS AND METHODS OF ASSEMBLING THE STAPLERS | 2 |
Timothy S. Larson | US | Rochester | 2012-01-05 / 20120001065 - EVALUATING RENAL FUNCTION | 1 |
Bruce Larson | US | Fairmont | 2012-01-05 / 20120003366 - AUTOMATED EQUIPMENT FOR HYDRATION, MIXING AND DELIVERY OF ALGINATE TO A PELLET FORMING DEVICE | 1 |
Victor Larson | US | Fairfax | 2016-03-10 / 20160072763 - DYNAMIC VPN ADDRESS ALLOCATION | 44 |
Craig Alan Larson | US | Minneapolis | 2012-06-28 / 20120166924 - SYSTEMS, METHODS, SOFTWARE AND INTERFACES FOR PERFORMING ENHANCED DOCUMENT PROCESSING AND DOCUMENT OUTLINING | 2 |
Troy Larson | US | Brandon | 2014-11-06 / 20140330707 - METHODS TO ADVANCE LOAN PROCEEDS ON PREPAID CARDS, ASSOCIATED SYSTEMS AND COMPUTER PROGRAM PRODUCTS | 14 |
Christine M. Larson | US | Fox Lake | 2012-02-16 / 20120041777 - MOBILE APPLICATIONS FOR BLOOD CENTERS | 1 |
Gerald Larson | US | Fort Wayne | 2012-03-08 / 20120056474 - INTEGRATED POWER SYSTEM FOR DELIVERY OF POWER TO A VEHICLE ACCESSORY | 2 |
Dennis Larson | US | Maple Grove | 2012-03-08 / 20120059316 - Coating Process for Drug Delivery Balloons Using Heat-Induced Rewrap Memory | 1 |
Brian Lane Larson | US | Fremont | 2012-03-08 / 20120059389 - Implantable Micro-Generator Devices with Optimized Configuration, Methods of Use, Systems and Kits Therefor | 1 |
Jason Larson | US | Asheville | 2012-04-19 / 20120091171 - DUST CAP FOR A BOTTLE POUR SPOUT | 1 |
Brons M. Larson | US | Santee | 2012-04-19 / 20120095696 - METHODS AND APPARATUS FOR GENETIC EVALUATION | 1 |
John Charles Larson | US | West Chester | 2015-12-10 / 20150352585 - SPRAY BOOTH HUMIDITY CONTROL | 20 |
Jeffry Lynn Larson | US | San Jose | 2012-05-03 / 20120110385 - MULTIPLE FUNCTIONALITY IN A VIRTUAL STORAGE AREA NETWORK DEVICE | 1 |
James Larson | US | New York | 2012-05-03 / 20120103218 - Method of Ink Rheology Control in a Variable Data Lithography System | 2 |
Roger Lee Larson | US | Shoreview | 2012-05-03 / 20120103641 - Methods and Apparatus for aerating Turf | 1 |
Robert Larson | US | Salt Lake City | 2012-05-03 / 20120108936 - FLUID ACCESS INTERFACE | 1 |
Byron Larson | US | South Jordan | 2012-05-03 / 20120103764 - CONVEYOR BELT CONNECTOR | 1 |
Chad Larson | CA | Vancouver | 2013-06-20 / 20130153672 - APPARATUS AND METHODS FOR CONVEYING A FLOW OF OIL-CONTAINING LIQUID INTO AN OIL SEPARATION SKIM TANK, AND SKIM TANKS INCLUDING THE SAME | 2 |
Richard H. Larson | US | New York | 2013-04-11 / 20130091341 - PARALLEL COMPUTER ARCHITECTURE FOR COMPUTATION OF PARTICLE INTERACTIONS | 2 |
Mark Larson | US | Alexandria | 2012-05-17 / 20120122640 - CONTINUOUS MOTION CASE FORMER | 1 |
Derek Larson | US | Hopkins | 2012-05-17 / 20120123516 - STENT DELIVERY SYSTEMS AND LOCKING MEMBERS FOR USE WITH STENT DELIVERY SYSTEMS | 1 |
Paul R. Larson | CA | Calgary | 2012-05-24 / 20120126439 - METHOD AND SYSTEM FOR GENERATING SULFUR SEEDS AND GRANULES | 1 |
Dennis E. Larson | US | White Bear Township | 2016-03-10 / 20160067504 - FOLDED ANTENNAS FOR IMPLANTABLE MEDICAL DEVICES | 6 |
Merle A. Larson | US | Cannon Falls | 2012-05-31 / 20120134771 - Apparatus and method for side by side couplable pallets and carts | 1 |
Eric A. Larson | US | Ft. Worth | 2016-03-10 / 20160067570 - Golf Clubs and Golf Club Heads Having Adjustable Weighting Characteristics | 13 |
Eric Larson | US | Ft. Worth | 2012-06-07 / 20120142447 - Golf Club Heads or Other Ball Striking Devices Having Distributed Impact Response | 1 |
Todd Larson | US | Black Diamond | 2012-06-14 / 20120147693 - ICE-SHAVING COCKTAIL SHAKER | 1 |
Brian Larson | US | Washington | 2012-06-14 / 20120149777 - COMPOSITIONS AND METHODS FOR IMPROVING CELLULAR SURVIVAL AND REDUCING APOPTOSIS AFTER ISCHEMIC EPISODE IN THE BRAIN | 1 |
Beth A. Larson | US | Lynchburg | 2012-06-14 / 20120148966 - Candle Wick and Wick Clip | 1 |
Richard Larson | US | Albuquerque | 2012-06-14 / 20120149029 - Magnetic Needle Biopsy | 1 |
Michael Larson | US | St. Paul Park | 2012-06-14 / 20120150592 - SYSTEMS AND METHODS FOR USER MARKETING AND ENDORSEMENT ON SOCIAL NETWORKS | 1 |
Kirk Larson | US | St. Paul Park | 2012-06-14 / 20120150592 - SYSTEMS AND METHODS FOR USER MARKETING AND ENDORSEMENT ON SOCIAL NETWORKS | 1 |
Erik Larson | US | Austin | 2013-01-24 / 20130023946 - Low Power Apparatus and Method to Measure Complex Electrical Admittance or Impedance | 2 |
Isaac Larson | US | Minneapolis | 2015-04-30 / 20150117200 - Bandwidth Optimization Using Coalesced DUP ACKs | 3 |
Brandon James Larson | US | Cincinnati | 2012-06-21 / 20120156949 - SYSTEM, METHOD, AND ARTICLE OF MANUFACTURE FOR COOLING AND FILTERING ENGINE INTAKE AIR | 1 |
Philip Albert Larson | US | La Mirada | 2015-08-20 / 20150233494 - FAIRING HAVING IMPROVED STABILITY | 3 |
Thomas R. Larson | US | Elk River | 2012-07-05 / 20120168549 - HOSE REEL SPRING ADJUSTMENT | 1 |
Kara L. Larson | US | Charlotte | 2012-07-12 / 20120174626 - JEWELRY SYSTEM WITH INTERCHANGEABLE ELEMENTS | 1 |
Wayne Larson | US | Salem | 2016-02-18 / 20160049027 - ELECTRONIC LOCK WITH SELECTABLE POWER SOURCES | 2 |
Wendy D. Larson | US | Orinda | 2012-07-12 / 20120179610 - ELECTRONIC PURCHASING AND FUNDS TRANSFER SYSTEMS AND METHODS | 1 |
Charlie Larson | US | Hamitton | 2012-07-19 / 20120183570 - ANTIGEN COMPOSITIONS AND METHODS OF INHIBITING CAMPYLOBACTER JEJUNI BACTERIAL INFECTION AND USES OF THE ANTIGEN COMPOSITIONS | 1 |
Christopher J. Larson | US | Newfield | 2015-08-27 / 20150240660 - BUFFER AIRFLOW TO BEARING COMPARTMENT | 4 |
Damon B. Larson | CA | Sherwood Park | 2012-08-02 / 20120193089 - Sucker Rod Centralizer | 1 |
Wayne D. Larson | US | Wylie | 2012-08-16 / 20120205153 - Pair Orbit Management for Communication Cables | 1 |
Justin Larson | US | Providence | 2012-08-16 / 20120206125 - METHOD OF FORMING A SEMICONDUCTOR DEVICE AND STRUCTURE THEREOF | 1 |
Daniel Larson | US | Bagley | 2015-10-22 / 20150300529 - PIPE PROCESSING TOOL WITH PIPE DEFORMATION MEMBERS | 5 |
Keith A. Larson | US | Libertyvile | 2012-08-30 / 20120216341 - SURGICAL PROTECTIVE SYSTEM HEAD GEAR ASSEMBLY INCLUDING HIGH VOLUME AIR DELIVERY SYSTEM | 1 |
Cindy Larson | US | Eden Prairie | 2012-08-30 / 20120221378 - System and method for identifying excellence within a profession | 1 |
Eric Dale Larson | US | Tomball | 2012-09-06 / 20120222865 - Drilling Riser Adapter Connection with Subsea Functionality | 1 |
Eric R. Larson | US | Boulder | 2016-02-18 / 20160045252 - LEVER LATCH ASSEMBLIES FOR SURGICAL INSTRUMENTS | 31 |
Mark Larson | US | Grand Haven | 2012-10-11 / 20120257059 - VEHICULAR VISION SYSTEM | 1 |
Robert S. Larson | US | North Ogden | 2014-09-18 / 20140260184 - PRECURSOR FORMULATIONS FOR A LINER, A ROCKET MOTOR INCLUDING THE LINER, AND RELATED METHODS | 2 |
Andrew Larson | US | Kildeer | 2012-11-01 / 20120277283 - Localized Delivery of Gold Nanoparticles for Therapeutic and Diagnostic Applications | 1 |
Timothy J. Larson | US | Lacrosse | 2012-11-01 / 20120278528 - IIMPLEMENTING STORAGE ADAPTER WITH ENHANCED FLASH BACKED DRAM MANAGEMENT | 1 |
Patrick Larson | US | Scottsdale | 2014-02-27 / 20140058481 - NEURAL STIMULATOR SYSTEM | 5 |
Rick E. Larson | US | Underwood | 2012-11-15 / 20120285952 - Trash receptacle | 1 |
Alvin Larson | US | Jenison | 2012-11-15 / 20120286631 - STORAGE ASSEMBLY | 1 |
Heather Larson | US | Boston | 2012-11-15 / 20120289994 - Occlusion Devices and Related Methods of Use | 1 |
Roger Larson | US | White Bear Lake | 2012-11-22 / 20120292130 - FOLDABLE DUAL TRACK LADDER SYSTEM | 1 |
Melanie Larson | US | Mountain View | 2015-07-16 / 20150200819 - MEDIA CONTENT DEVICE, SYSTEM AND METHOD | 5 |
Mark Larson | US | Oxnard | 2012-11-22 / 20120295671 - SOLAR POWERED WIRELESS SPEAKERPHONE WITH POWER STORAGE FOR CHARGING EXTERNAL DEVICES | 1 |
Daniel L. Larson | US | Bagley | 2015-03-26 / 20150082598 - ATTACHMENT FOR MAKING UP OR BREAKING OUT PIPE | 4 |
Marvin N. Larson | US | Bemidji | 2015-03-26 / 20150082598 - ATTACHMENT FOR MAKING UP OR BREAKING OUT PIPE | 3 |
Kip Mcarthur Larson | US | Rapid City | 2015-08-20 / 20150236548 - INTELLIGENT HIGH SPEED AUTOMATIC TRANSFER SWITCH | 2 |
John G. Larson | US | Austin | 2012-11-29 / 20120299734 - TEMPERATURE SENSOR DEVICE AND METHOD THEREOF | 1 |
Marina T. Larson | US | 2012-12-06 / 20120305396 - Electrochemical Cell and Method of Making an Electrochemical Cell | 1 | |
Douglas A. Larson | US | Depere | 2012-12-13 / 20120311938 - Building communities, and communities so built | 1 |
Roger Charles Larson | US | White Bear Lake | 2012-12-13 / 20120312760 - Storage System | 1 |
Kurt Larson | US | Moorhead | 2012-12-13 / 20120317504 - AUTOMATED USER INTERFACE OBJECT TRANSFORMATION AND CODE GENERATION | 1 |
Richard M. Larson | US | Des Plaines | 2012-12-13 / 20120313328 - SHAFT SEAL ASSEMBLY | 1 |
Ove Larson | SE | Sollentuna | 2015-07-09 / 20150190920 - MODULE SYSTEM COMPRISING A CASE AND A NUMBER OF BOXES | 2 |
Michael Larson | US | Colorado Springs | 2012-12-20 / 20120323230 - LASER FUSION OF TISSUE LAYERS | 1 |
Matt Larson | US | Bethesda | 2011-04-14 / 20110087769 - Domain Popularity Scoring | 1 |
Ronald Larson | US | Palm Bay | 2011-03-31 / 20110078707 - SYSTEMS AND METHODS FOR PROVIDING A SERVICE-ORIENTED USER INTERFACE INTEGRATION BUS | 2 |
Christopher J. Larson | US | Penfield | 2013-01-10 / 20130009364 - SHOOTING TARGET WITH ANNUNCIATION OF ENGAGEMENT OF TARGETED REGIONS OF THE TARGET | 1 |
Christopher A. Larson | US | New York | 2015-06-11 / 20150161725 - MOVING A FINANCIAL ACCOUNT FROM ONE ENTERPRISE TO ANOTHER | 2 |
Ronald Ray Larson | US | Palm Bay | 2014-02-06 / 20140040431 - SYSTEMS AND METHODS FOR AN OPC UA SERVER | 5 |
Erik K. Larson | US | Cumberland | 2011-03-03 / 20110049251 - Automatic Temperature Control with Simplified Microprocessor Interface | 1 |
Richard S. Larson | US | Albuquerque | 2014-11-20 / 20140341806 - NON-INVASIVE DIAGNOSTIC AGENTS OF CANCER AND METHODS OF DIAGNOSING CANCER, ESPECIALLY LEUKEMIA AND LYMPHOMA | 6 |
Ronald A. Larson | US | Ammon | 2009-12-03 / 20090295253 - Motor/generator | 1 |
Matthew Steven Larson | US | Cornelius | 2011-02-10 / 20110031145 - BIT HOLDER | 2 |
Matthew M. Larson | US | Omaha | 2011-01-27 / 20110017093 - MOUNTING OF DRAG REDUCING DEVICES FOR STACKED INTERMODAL RAIL CARDS | 3 |
Gerald L. Larson | US | Fort Wayne | 2013-04-25 / 20130098577 - CLIMATE CONTROL SYSTEM FOR THE INTERIOR OF AN ELECTRIC DRIVE VEHICLE | 3 |
Ryan Larson | US | Waterbury | 2010-09-02 / 20100219613 - FOOTBED FOR GLIDING BOARD BINDING | 2 |
Matthew S. Larson | US | Cornelius | 2010-09-02 / 20100221077 - TAP WITH CHIP BREAKING CHAMFER | 1 |
Christopher R. Larson | US | Fort Worth | 2013-01-10 / 20130014061 - METHOD AND APPARATUS FOR TIME-BASED OPPORTUNITY AND RISK MANAGEMENT | 1 |
Eric K. Larson | US | Cumberland | 2015-10-01 / 20150276295 - ICE-MAKER MOTOR WITH INTEGRATED ENCODER AND HEADER | 7 |
Ronald Allen Larson | US | Kailua-Kona | 2010-07-08 / 20100171401 - Drawer Box Display Container | 1 |
Borden M. Larson | US | Orlando | 2015-09-17 / 20150259034 - WATER SPORTS TOWING VESSEL AND METHOD | 3 |
Christie Dyan Larson | US | Twin Falls | 2010-04-22 / 20100097090 - PUSHER ASSEMBLIES FOR USE IN MICROFEATURE DEVICE TESTING, SYSTEMS WITH PUSHER ASSEMBLIES, AND METHODS FOR USING SUCH PUSHER ASSEMBLIES | 1 |
Steven L. Larson | US | Vicksburg | 2010-04-01 / 20100078590 - SOLUBLE SALT PRODUCED FROM A BIOPOLYMER AND A PROCESS FOR PRODUCING THE SALT | 1 |
Susan L. Larson | US | Meridian | 2010-04-01 / 20100082920 - Tracking Metadata Changes During Data Copy In A Storage System | 2 |
Signe Christina Larson | US | Cincinnati | 2016-04-21 / 20160109276 - DOSING CUP FOR A DETERGENT COMPOSITION | 8 |
Brad J. Larson | US | North Logan | 2014-06-19 / 20140166061 - Crutch | 3 |
Jim A. Larson | US | Fargo | 2010-01-21 / 20100015365 - Tree Protector | 1 |
David Bryan Larson | US | Broken Arrow | 2010-01-07 / 20100000619 - SLURRY VALVE | 1 |
Bradley R. Larson | US | Meridian | 2014-03-13 / 20140071492 - PRESENTATION OF ADDRESSES AT IMAGING DEVICES | 8 |
Matthew M. Larson | US | Ohama | 2009-11-12 / 20090278382 - MOUNTING OF DRAG REDUCING DEVICES FOR STACKED INTERMODAL RAIL CARS | 1 |
Lowell Larson | US | Independence | 2015-06-25 / 20150175736 - STABLE THERMOPLASTIC POLYURETHANE ELASTOMERS | 10 |
Glenn Warren Larson | US | Terry | 2009-07-23 / 20090184703 - VOLTAGE COMPENSATOR FOR DUAL-SECONDARY VOLTAGE TRANSFORMERS | 1 |
Paul Larson | US | Racine | 2016-03-17 / 20160074819 - INDIVIDUALIZED FLOW REGULATION SYSTEM AND METHOD | 5 |
Larry Larson | US | Broken Arrow | 2009-04-16 / 20090097918 - Seal Coat Process Utilizing Multiple Applications of Asphalt Binder & Aggregate | 1 |
Gary R. Larson | US | Provo | 2009-01-29 / 20090025570 - WAFFLE IRON HAVING A CHANGEABLE PLATE INSERT FOR CUSTOMIZATION OF WAFFLES MADE THEREIN | 1 |
Bob Larson | US | Marysville | 2009-01-22 / 20090024374 - EFFICIENT PROCESS FOR EVALUATING ENGINE COOLING AIRFLOW PERFORMANCE | 1 |
Erik A. Larson | US | Niceville | 2009-01-01 / 20090001146 - METHOD AND APPARATUS FOR DATING A FOOD PRODUCT | 1 |
Gary B. Larson | US | Cheshire | 2008-12-25 / 20080314757 - Acid copper electroplating bath composition | 1 |
Eric R. Larson | US | Mystic | 2008-12-04 / 20080300249 - Isothiazole Derivatives Useful as Anticancer Agents | 1 |
Ed Larson | US | Sidney | 2008-11-20 / 20080282440 - THREE-DIMENSIONAL CAMOUFLAGE GARMENT | 1 |
Cole Larson | US | Gardnerville | 2008-11-20 / 20080288575 - XML-BASED SYMBOLIC LANGUAGE AND INTERPRETER | 1 |
Gib Larson | US | Providence | 2008-10-23 / 20080262670 - System and method for monitoring vehicle parameters and driver behavior | 1 |
Kevin A. Larson | US | Clinton | 2008-10-16 / 20080251037 - STEAM GENERATOR ARRANGEMENT | 1 |
Bradley R. Larson | US | Boise | 2014-05-01 / 20140118759 - PROCESSING IMAGE DATA STRIPS IN COLUMNAR REGIONS | 2 |
Byron D. Larson | US | South Jordan | 2014-04-24 / 20140110437 - Bulk Feeding System and Method | 3 |
Brent K. Larson | US | Fairlawn | 2008-09-25 / 20080233364 - DIMENSIONALLY STABLE LAMINATE AND METHOD | 1 |
Victor Larson | US | Fairfax | 2016-03-10 / 20160072763 - DYNAMIC VPN ADDRESS ALLOCATION | 44 |
Jeremy K. Larson | US | Providence | 2008-08-28 / 20080206847 - PROBE CONNECTOR ASSEMBLY AND METHOD OF USE | 1 |
Eric T. Larson | US | Bethel | 2013-01-17 / 20130018040 - Compositions And Methods For Treating Toxoplasmosis, Cryptosporidiosis, And Other Apicomplexan Protozoan Related Diseases | 1 |
Thomas M. Larson | US | Bellaire | 2013-08-29 / 20130225737 - Plasticised Polyvinyl Chloride and Processes for Making the Same | 2 |
Aaron R. Larson | US | Shoreview | 2013-02-07 / 20130036423 - SYSTEMS AND METHODS FOR BOUNDING PROCESSING TIMES ON MULTIPLE PROCESSING UNITS | 2 |
Jon C. Larson | US | Grand Forks | 2015-12-03 / 20150342305 - Anti-Slip Overshoe with Flexible Cleats and Method of Gripping Natural Surfaces | 3 |
Timothy J. Larson | US | Rochester | 2013-02-21 / 20130046931 - OPTIMIZING LOCATIONS OF DATA ACCESSED BY CLIENT APPLICATIONS INTERACTING WITH A STORAGE SYSTEM | 2 |
Van B. Larson | US | Grand Forks | 2015-12-03 / 20150342305 - Anti-Slip Overshoe with Flexible Cleats and Method of Gripping Natural Surfaces | 3 |
Geoffrey Larson | US | Juneau | 2013-03-07 / 20130055622 - Spent grain fuel product and process | 1 |
Christopher R. Larson | US | Saint Paul | 2014-08-07 / 20140222128 - STENT DELIVERY SYSTEM HAVING STENT SECUREMENT APPARATUS | 2 |
Ellen H. Larson | US | Jacksonville | 2013-03-07 / 20130061179 - IDENTIFICATION AND ESCALATION OF RISK-RELATED DATA | 1 |
William M. Larson | US | Murfreesboro | 2015-05-14 / 20150132429 - PLASTIC PUMP HOUSING AND MANUFACTURE THEREOF | 3 |
Sean C. Larson | US | Monroe | 2014-11-27 / 20140345175 - AFFINITY DISPLAYS FOR ATTACHMENT TO AN EXTERIOR VEHICLE SURFACE | 3 |
Eric G. Larson | US | Lake Elmo | 2015-11-19 / 20150329740 - Semiconductor Package Resin Composition and Usage Method Thereof | 13 |
Eric R. Larson | US | Boulder | 2016-02-18 / 20160045252 - LEVER LATCH ASSEMBLIES FOR SURGICAL INSTRUMENTS | 31 |
Christopher Larson | US | Edina | 2015-09-24 / 20150269727 - METHOD FOR DETERMINING BONE RESECTION ON A DEFORMED BONE SURFACE FROM FEW PARAMETERS | 5 |
Jeffrey Larson | US | Loves Park | 2013-04-18 / 20130092072 - RETRACTABLE BOAT COVER ASSEMBLY | 1 |
Ronald Glen Larson | CA | Calgary | 2013-04-18 / 20130092432 - IN-SITU MOISTURE SENSOR AND/OR SENSING CABLE FOR THE MONITORING AND MANAGEMENT OF GRAIN AND OTHER DRY FLOWABLE MATERIALS | 1 |
Glen Larson | US | Colorado Springs | 2013-04-18 / 20130097062 - SYSTEMS AND METHODS FOR ANALYZING TRADING STRATEGIES | 1 |
Clayton J. Larson | US | Acton | 2013-05-16 / 20130120192 - HIGH PRECISION RADIO FREQUENCY DIRECTION FINDING SYSTEM | 1 |
Richard C. Larson | US | Lexington | 2013-05-30 / 20130138511 - METHODS AND SYSTEMS TO SCHEDULE ONLINE CONTENT DELIVERY | 1 |
Christopher Andrew Larson | US | Maple Grove | 2013-06-13 / 20130150680 - Variable Length Portal Access Device | 1 |
Ian Walker Larson | US | Half Moon Bay | 2013-06-20 / 20130152940 - Nasopharyngeal Trumpet with Inflatable Tip | 1 |
Mark Larson | US | Verona | 2013-06-27 / 20130165244 - POOL CUE | 1 |
Nate Larson | US | Murray | 2016-05-12 / 20160129111 - METHODS FOR DELIVERING AN ANTI-CANCER AGENT TO A TUMOR | 3 |
Michael E. Larson | US | Rockford | 2016-05-19 / 20160137308 - RAM AIR TURBINE WITH COMPOSITE SHAFT | 2 |
Peder Larson | US | Redwood City | 2013-08-01 / 20130193966 - PHASE-SENSITIVE IMAGING OF MAGNETIZATION EXCHANGE AND ISOTOPE FLUX | 1 |
Ronald Larson | US | Fort Collins | 2013-08-01 / 20130193993 - METHOD AND APPARATUS FOR TESTING A DEVICE-UNDER-TEST | 1 |
David Larson | US | Seattle | 2015-04-16 / 20150105117 - PULSED INPUT PUSH-TO-TALK WIRELESS ADAPTER SYSTEMS AND METHODS | 3 |
Peter Larson | SE | Arvika | 2013-08-15 / 20130209639 - MEHTOD AND A SYSTEM FOR PRODUCING FOAMED MILK | 1 |
Jonathan Erik Larson | US | East Palo Alto | 2009-02-26 / 20090050270 - SENSORS FOR DYNAMICALLY DETECTING SUBSTRATE BREAKAGE AND MISALIGNMENT OF A MOVING SUBSTRATE | 1 |
Paul Larson | US | Poway | 2015-11-19 / 20150330893 - PHYSIOLOGICAL PARAMETER ANALYSIS ASSEMBLY | 3 |
Dean J. Larson | US | Pleasanton | 2015-10-22 / 20150303085 - PROCESSING CHAMBER WITH FEATURES FROM SIDE WALL | 10 |
Gary J. Larson | US | Madison | 2013-08-29 / 20130224504 - METHOD FOR COATING A SUBSTRATE | 1 |
Ryan A. Larson | US | San Francisco | 2014-05-08 / 20140128426 - Antiviral Drugs for Treatment of Arenavirus Infection | 2 |
Ron Larson | US | Mountain View | 2011-02-17 / 20110039443 - MINI DISPLAYPORT | 2 |
David B. Larson | US | Huntington Beach | 2013-05-02 / 20130109280 - METHODS AND SYSTEMS FOR MARRING FIBER OPTIC SUBSTRATES | 3 |
Gary Lee Larson | US | Cypress | 2011-01-06 / 20110003850 - DERIVATIVES OF 4-(N-AZACYCLOALKYL) ANILIDES AS POTASSIUM CHANNEL MODULATORS | 1 |
Phillip G. Larson | US | Tahuya | 2015-09-10 / 20150251718 - BROAD VIEW MIRROR FOR A CYCLE VEHICLE | 3 |
Ted Larson | US | Los Altos | 2011-01-06 / 20110004342 - Trainable Multi-Mode Floor Cleaning Device | 1 |
Loren Robert Larson | US | Fremont | 2012-03-08 / 20120059389 - Implantable Micro-Generator Devices with Optimized Configuration, Methods of Use, Systems and Kits Therefor | 2 |
Peder E. Larson | US | Oakland | 2010-04-08 / 20100085046 - HYPERPOLARIZED DYNAMIC CHEMICAL SHIFT IMAGING WITH TAILORED MULTIBAND EXCITATION PULSES | 1 |
Mark Alan Larson | US | San Carlos | 2015-07-23 / 20150207902 - AUTOMATIC UPDATE DISTRIBUTION FOR MANAGED DEVICES | 2 |
Eric Allan Larson | US | Simi Valley | 2015-10-22 / 20150297822 - GLUCOSE SENSOR PRODUCT | 9 |
Michael R. Larson | US | Winchester | 2010-01-21 / 20100013128 - APPARATUS, SYSTEM, AND METHOD FOR BLOW MOLDING OF PLASTIC | 1 |
Raymond L. Larson | US | Aliso Viejo | 2010-01-21 / 20100013128 - APPARATUS, SYSTEM, AND METHOD FOR BLOW MOLDING OF PLASTIC | 1 |
Gary Larson | US | San Diego | 2012-01-26 / 20120018683 - CHARGE DIRECTOR FOR LIQUID TONER | 3 |
Nils E. Larson | US | San Jose | 2009-12-10 / 20090303637 - DISK DRIVE HAVING A HEAD LOADING/UNLOADING RAMP THAT INCLUDES A TORSIONALLY-COMPLIANT MEMBER | 1 |
Krister Larson | US | Studio City | / - | 1 |
Scott Larson | US | Del Rey Oaks | / - | 1 |
Dean Jay Larson | US | Pleasanton | 2013-09-19 / 20130244441 - COMPOSITE SHOWERHEAD ELECTRODE ASSEMBLY FOR A PLASMA PROCESSING APPARATUS | 2 |
John D. Larson | US | Palo Alto | 2009-06-11 / 20090146531 - Manufacturing Process For Thin Film Bulk Acoustic Resonator (FBAR) Filters | 1 |
Kenneth L. Larson | US | Manteca | 2008-11-06 / 20080273270 - Forming a planarized surface for at least one bar of sliders | 1 |
Lane L. Larson | US | Folsom | 2010-05-27 / 20100129171 - METHOD AND APPARATUS FOR WELD PROFILING | 1 |
Christopher Larson | US | San Diego | 2010-10-28 / 20100273797 - ALPHA-KETOAMIDES AND DERIVATIVES THEREOF | 3 |
Brian D. Larson | US | East Palo Alto | 2015-01-15 / 20150019285 - REWARD SYSTEM FOR MANAGING A DIGITAL WORKFLOW | 2 |
Ted W. Larson | US | Los Altos Hills | 2011-11-03 / 20110270538 - BALANCING DEVICE | 2 |
Kirk D. Larson | US | Irvine | 2009-06-04 / 20090144866 - Strawberry plant named 'Portola' | 1 |
Lawrence E. Larson | US | Del Mar | 2009-05-28 / 20090134960 - Linear variable voltage diode capacitor and adaptive matching networks | 2 |
Tyson Larson | US | Simi Valley | 2009-04-09 / 20090092540 - Method and apparatus of modifying bond angles of molecules | 2 |
Thane M. Larson | US | Roseville | 2016-02-11 / 20160044629 - INFORMATION TECHNOLOGY (IT) EQUIPMENT POSITIONING SYSTEM | 3 |
James Boyer Larson | US | Stillwater | 2013-09-19 / 20130240431 - FILTRATION SYSTEM | 1 |
Kent Larson | US | Vancouver | 2016-01-07 / 20160001759 - BRAKE MONITORING SYSTEM FOR HEAVY VEHICLES | 2 |
Dale Larson | US | Eagan | 2016-01-28 / 20160024439 - DEVELOPMENT OF EXTENSIONAL VISCOSITY FOR REDUCED ATOMIZATION FOR DILUTED CONCENTRATE SPRAYER APPLICATIONS | 3 |
Jonathan W. Larson | US | Chelsea | 2013-01-03 / 20130000738 - METHOD AND SYSTEM FOR TRANSFERRING AND/OR CONCENTRATING A SAMPLE | 2 |
Dale Larson | US | Waban | 2014-09-25 / 20140283536 - Systems, methods, and devices for frozen sample distribution | 5 |
Brond Larson | US | Sharon | 2016-05-05 / 20160124998 - DEBUGGING A GRAPH | 7 |
Erik Larson | US | Newton Centre | 2010-02-18 / 20100040844 - SYSTEM AND METHOD FOR COLOR-CHANGING DECORATIVE CONSTRUCTION MATERIALS | 1 |
Dale N. Larson | US | Waban | 2014-11-13 / 20140335554 - APPARATUS AND METHODS FOR ALIQUOTTING FROZEN SAMPLES | 2 |
Jonathan Larson | US | Chelsea | 2014-03-20 / 20140076430 - MANIPULATION OF MICROFLUIDIC DROPLETS | 2 |
Earl T. Larson | US | Somerville | 2010-12-30 / 20100330573 - OPTIMIZED OLIGONUCLEOTIDES AND METHODS OF USING SAME FOR THE DETECTION, ISOLATION, QUANTIFICATION, MONITORING AND SEQUENCING OF BORDETELLA | 1 |
Roger C. Larson | US | East Bridgewater | 2009-11-19 / 20090286432 - Apparatus Having A Buoyant Structure That Resists Rotation | 1 |
David B. Larson | US | Eagle | 2013-12-26 / 20130342878 - PLATENS FOR IMAGING DEVICES | 2 |
Fredrick Larson | US | Cottage Grove | 2013-10-24 / 20130277253 - PROTECTIVE CONTAINER FOR A FLAT SCREEN MONITOR | 1 |
James Larson | US | Fairport | 2013-11-07 / 20130293603 - SYSTEMS AND METHODS FOR IN-LINE GEL INK MIXING | 1 |
Donald B. Larson | US | Tampa | 2013-11-28 / 20130315656 - Slip Fitting Holding Device and System | 1 |
Jacoby Larson | US | La Mesa | 2013-11-28 / 20130317649 - Nodding Mechanism For A Single-Scan Sensor | 1 |
Eric A. Larson | US | Arlington | 2016-04-07 / 20160096085 - GOLF CLUB HEAD OR OTHER BALL STRIKING DEVICE HAVING IMPACT-INFLUENCING BODY FEATURES | 19 |
Eric Dale Larson | US | Houston | 2016-04-21 / 20160109874 - High Pressure Blowout Preventer System | 3 |
Robert J. Larson | US | Coon Rapids | 2013-12-26 / 20130341370 - SHOPPING CART ORGANIZER | 1 |
Kent Larson | US | Woodbury | 2012-11-29 / 20120297638 - Apparatus and Method for Drying and Sterilizing Objects in a Load | 4 |
Chadwick R. Larson | CA | Vancouver | / - | 1 |
Michael C. Larson | US | Oakland | 2016-03-31 / 20160094017 - TUNABLE LASER SOURCE | 3 |
David Larson | US | Pleasant Grove | 2015-01-15 / 20150018319 - TREATMENT OF SKIN DISEASE | 3 |
Nick Larson | US | Bloomington | 2010-01-14 / 20100006473 - CONVERTIBLE CONTAINER FOR ELECTRONIC DEVICES | 1 |
Lief C. Larson | US | Minneapolis | 2009-11-05 / 20090272014 - CARD DISPLAY AND RETENTION DEVICE | 2 |
Michael W. Larson | US | New Prague | 2013-12-12 / 20130331747 - AIR PULSATOR CONTROL SYSTEM | 3 |
Richard Larson | US | Brooklyn Park | 2011-05-26 / 20110121256 - PROGRAMMABLE RESISTIVE MEMORY CELL WITH FILAMENT PLACEMENT STRUCTURE | 3 |
Aaron Larson | US | Shoreview | 2010-08-05 / 20100199280 - SAFE PARTITION SCHEDULING ON MULTI-CORE PROCESSORS | 2 |
George D. Larson | US | Roseville | 2015-05-14 / 20150129387 - CONE ROLLER LOCK DEVICE | 2 |
Dennis E. Larson | US | White Bear Lake Township | 2011-07-07 / 20110166629 - MEASURING ANTENNA MATCHING VIA TRANSMITTER CURRENT DRAW | 4 |
Eric G. Larson | US | Lake Elmo | 2015-11-19 / 20150329740 - Semiconductor Package Resin Composition and Usage Method Thereof | 13 |
Alvin O. Larson | US | Richfield | 2011-07-21 / 20110174429 - INSERTION-POINT SEAL FOR SPIRAL WOUND MODULE | 2 |
Gary L. Larson | US | Waseca | 2011-02-17 / 20110038288 - MOBILE AUTOMATIC METER READING SYSTEM AND METHOD | 1 |
Blane Larson | US | Monticello | 2014-01-23 / 20140025003 - Introducer Handle Notch Design/Concept | 2 |
John M. Larson | US | Northfield | 2012-03-08 / 20120056250 - DYNAMIC SCHOTTKY BARRIER MOSFET DEVICE AND METHOD OF MANUFACTURE | 7 |
Larry Allen Larson | US | Rogers | 2010-11-11 / 20100281884 - Thermoelectric Management Unit | 1 |
Thomas A. Larson | US | St. Louis Park | 2011-11-24 / 20110283802 - MODULAR PRESSURE SENSOR | 2 |
Paul E. Larson | US | Bloomington | 2015-04-02 / 20150090874 - METHOD AND APPARATUS TO PROVIDE PARALLEL ACQUISITION OF MASS SPECTROMETRY/MASS SPECTROMETRY DATA | 2 |
Ryan R. Larson | US | Beaverton | 2015-09-03 / 20150246496 - Footwear Assembly Systems With 3D Printing | 2 |
Ryan Larson | US | Portland | 2014-01-23 / 20140020192 - Footwear Assembly Method With 3D Printing | 1 |
Timothy M. Larson | US | Prior Lake | 2012-12-27 / 20120331371 - System and Method for Yearbook Creation | 2 |
Bruce W. Larson | US | Andover | 2010-06-24 / 20100154437 - Thermoelectric Dehumidifier and Enclosure Vent Drain Assembly | 1 |
Bruce Larson | US | Monticello | 2012-07-19 / 20120183653 - PACKAGED FROZEN PRECOOKED DOUGH OR BATTER-BASED FOOD PRODUCTS AND METHODS | 2 |
Blake Timothy Larson | US | Saint Paul | 2015-04-30 / 20150119695 - METHOD AND DEVICE FOR MRI-GUIDED BREAST INTERVENTIONS | 4 |
David A. Larson | US | Rochester | 2016-01-28 / 20160026586 - USING A DECREMENTER INTERRUPT TO START LONG-RUNNING HARDWARE OPERATIONS BEFORE THE END OF A SHARED PROCESSOR DISPATCH CYCLE | 39 |
Thomas A. Larson | US | Saint Louis Park | 2009-11-26 / 20090288493 - PRESSURE-SENSOR APPARATUS | 1 |
Scott A. Larson | US | Oakdale | 2009-11-05 / 20090272378 - RESPIRATORY PROTECTION DEVICE | 1 |
Christopher Michael Larson | US | Long Prairie | 2009-10-08 / 20090252552 - Supplemental cover apparatus and method for access openings | 1 |
Donald Larson | US | Brooklyn Park | 2013-02-14 / 20130036718 - AIR FILTER ARRANGEMENT; ASSEMBLY; AND, METHODS | 2 |
Todd Larson | US | Heber City | 2014-01-30 / 20140029743 - Simplicity Framework | 1 |
Donald Duane Larson | US | Brooklyn Park | 2009-08-27 / 20090211450 - FILTER ARRANGEMENT AND METHOD | 1 |
Donald O. Larson | US | Audubon | 2010-06-03 / 20100133865 - HUMAN TRANSPORTING SYSTEM | 1 |
Patrick Larson | US | Scottsdaale | 2014-01-30 / 20140031837 - Implantable Lead | 1 |
Kasey P. Larson | US | Detroit Lakes | 2010-06-03 / 20100133865 - HUMAN TRANSPORTING SYSTEM | 1 |
Todd Larson | US | Shakopee | 2015-04-02 / 20150094826 - PROCESS VARIABLE TRANSMITTER WITH DUAL COMPARTMENT HOUSING | 2 |
Douglas Alan Larson | US | Lakeville | 2014-03-27 / 20140089620 - SYSTEM AND METHOD FOR CONTROLLING MEMORY COMMAND DELAY | 3 |
Aaron Larson | US | St. Paul | 2011-02-17 / 20110040354 - SYSTEM AND METHOD FOR RECOVERY FROM MEMORY ERRORS IN A MEDICAL DEVICE | 1 |
William Larson | US | Minnetonka | 2013-11-14 / 20130299911 - SEMICONDUCTOR DEVICE ISOLATION USING AN ALIGNED DIFFUSION AND POLYSILICON FIELD PLATE | 2 |
James M. Larson | US | Saint Paul | 2011-01-06 / 20110000615 - FUEL CELL ELECTROLYTE MEMBRANE WITH BASIC POLYMER | 2 |
Marvin Larson | US | Bemidji | 2014-01-30 / 20140028042 - GRIPPING ASSEMBLY AND GRIPPING MEMBERS FOR A GRAPPLE ATTACHMENT | 2 |
Douglas A. Larson | US | Lakeville | 2014-12-04 / 20140359192 - APPARATUS INCLUDING BUFFER ALLOCATION MANAGEMENT AND RELATED METHODS | 7 |
Göran Larson | SE | Goteborg | 2015-06-25 / 20150177261 - DIAGNOSIS AND TREATMENT OF ALZHEIMER'S DISEASE | 2 |
Christopher R. Larson | US | St. Paul | 2010-10-28 / 20100274344 - Stent Delivery System Having Stent Securement Apparatus | 3 |
Richard Larson | US | Victoria | 2010-09-30 / 20100243090 - MECHANICAL CLEAN-OUT TEST PLUG | 1 |
Jim M. Larson | US | New Hope | 2010-09-30 / 20100247904 - FLEXIBLE POLYUREA | 1 |
Mary B. Larson | US | St. Paul | 2010-09-23 / 20100241947 - ADVANCED FEATURES, SERVICE AND DISPLAYS OF LEGAL AND REGULATORY INFORMATION | 1 |
Steve Arnold Larson | US | Isanti | 2010-08-12 / 20100202901 - SELF LUBRICATING PUMP | 1 |
Steven D. Larson | US | Zimmerman | 2010-02-25 / 20100044663 - INTERLOCKING FENCING SYSTEM | 1 |
David F. Larson | US | Edina | 2010-01-28 / 20100019035 - ARTICLE IDENTIFICATION SYSTEM | 1 |
David B. Larson | US | Maple Grove | 2014-09-11 / 20140251459 - PRESSURIZED GAS CONTAINING SYSTEM | 5 |
Jennifer Larson | US | Elk River | 2015-08-20 / 20150235186 - ACH PAYMENT PROCESSING | 2 |
Roger L. Larson | US | Shoreview | 2009-09-24 / 20090235835 - Method and apparatus for processing flexographic printing plates | 1 |
Linda Irene Larson | US | Rochester | 2009-07-09 / 20090177979 - Detecting patterns of abuse in a virtual environment | 2 |
Richard Lowell Larson | US | Bloomington | 2009-06-04 / 20090140538 - TRUCK BED EXTENDER | 1 |
Tim Larson | US | Minnetonka | 2012-06-07 / 20120138341 - HOLE IN PAD THERMAL MANAGEMENT | 2 |
Chad M. Larson | US | Savage | 2014-02-20 / 20140048299 - Torque-Angle Structural Fastening System | 1 |
Douglas A. Larson | US | Raleigh | 2014-09-11 / 20140258539 - MINIMIZING WORKLOAD MIGRATIONS DURING CLOUD MAINTENANCE OPERATIONS | 5 |
Wendy R. Larson | US | Little Canada | 2009-02-26 / 20090051526 - SYSTEM AND METHOD FOR MANAGING WORKFLOW | 1 |
Dennis E. Larson | US | White Bear Lake | 2008-11-20 / 20080288028 - VARIABLE ANTENNA MATCHING NETWORK FOR AN IMPLANTABLE ANTENNA | 1 |
Kurt T. Larson | US | Plymouth | 2015-09-10 / 20150256212 - EMBEDDED WIRELESS MODEM | 6 |
David Anthony Larson | US | Rochester | 2008-10-16 / 20080256530 - System and Method for Determining Firmware Compatibility for Migrating Logical Partitions | 4 |
Dennis Eric Larson | US | White Bear Lake | 2008-08-28 / 20080208292 - Method and apparatus for communication between a handheld programmer and an implantable medical device | 1 |
Brons Larson | US | San Diego | 2015-08-27 / 20150244896 - SYSTEM AND METHOD FOR DIGITAL SIGNAL COMPRESSION | 3 |
David Aaron Larson | US | St. Charles | 2016-04-28 / 20160116023 - RIGHT ANGLE DRIVE HAVING DUAL SHAFT BEARINGS | 3 |
Eric William Larson | US | Richland | 2014-03-27 / 20140083290 - HYDRAULIC SYSTEM DE-AERATION DEVICE | 1 |
Jeffery Foster Larson | US | Dayton | 2014-04-03 / 20140094841 - CLOT REMOVAL DEVICE FOR DEEP VEIN THROMBOSIS | 1 |
Erik R. Larson | US | Austin | 2014-04-10 / 20140100621 - Method and Apparatus for Treating a Patient's Heart Using Hemodynamics | 1 |
Ron Larson | US | Fort Collins | 2014-04-24 / 20140114603 - PSEUDO TESTER-PER-SITE FUNCTIONALITY ON NATIVELY TESTER-PER-PIN AUTOMATIC TEST EQUIPMENT FOR SEMICONDUCTOR TEST | 1 |
Paul Larson | US | Edina | 2014-05-01 / 20140122147 - GPS-BASED TICKET GENERATION IN HARVEST LIFE CYCLE INFORMATION MANAGEMENT SYSTEM AND METHOD | 1 |
Dean J. Larson | US | Pleasanton | 2015-10-22 / 20150303085 - PROCESSING CHAMBER WITH FEATURES FROM SIDE WALL | 10 |
Bradley R. Larson | US | Meridian | 2014-03-13 / 20140071492 - PRESENTATION OF ADDRESSES AT IMAGING DEVICES | 8 |
Lowell Larson | US | Independence | 2015-06-25 / 20150175736 - STABLE THERMOPLASTIC POLYURETHANE ELASTOMERS | 10 |
Seth Larson | US | Lakewood | 2015-09-10 / 20150251479 - Greeting Card with Scrolling Scene | 10 |
Thomas J. Larson | US | Portland | 2014-04-03 / 20140091268 - Constant Pull Winch Controls | 1 |
Bradley K. S. Larson | US | Beaverton | 2013-05-02 / 20130105050 - All Season Safety Tire | 1 |
Bradley K.s. Larson | US | Beaverton | 2014-05-01 / 20140116592 - All-weather Tire | 1 |
Timothy J. Larson | US | Onalaska | 2016-05-12 / 20160128535 - ADAPTER PLATE FOR A ROTARY FLOOR SCRUBBING MACHINE | 2 |
Paul Larson | US | Menomonee Falls | 2014-04-24 / 20140112321 - ATTENDANT COMMUNICATION SYSTEM AND METHOD | 1 |
Christopher T. Larson | US | Waukesha | 2013-10-03 / 20130259626 - COUNTERWEIGHT SYSTEM FOR AN INDUSTRIAL MACHINE | 1 |
Nels Larson | US | Boulder | 2013-11-07 / 20130292971 - QUAD CHAIR HAVING A TRAY ASSEMBLY AND METHOD OF USING | 1 |
Michael Charles Larson | US | Colorado Springs | 2014-04-03 / 20140095618 - Social Interaction Device | 1 |
Matthew Donald Larson | US | Erie | 2014-03-06 / 20140067776 - Method and System For Operating System File De-Duplication | 2 |
Bengt I. Larson | SE | Skivarp | 2013-01-03 / 20130001246 - FUEL DISPENING UNIT FOR REFUELLING VEHICLES AND A METHOD FOR ASSEMBLING SUCH A FUEL DISPENSING UNIT | 1 |
Wallace H. Larson | US | Jamestown | 2015-11-19 / 20150329206 - SEQUENTIAL LATCH FOR PALLETIZED CARGO | 2 |
Jacob Larson | US | Minneapolis | 2014-02-27 / 20140059483 - MOBILE DEVICE WITH GRAPHICAL USER INTERFACE FOR INTERACTING WITH A BUILDING AUTOMATION SYSTEM | 6 |
Howard Earl Larson | US | Shakopee | 2014-02-20 / 20140050247 - TOTAL AIR TEMPERATURE PROBES FOR REDUCING DEICING HEATER ERROR | 1 |
Tomas Larson | SE | Sturefors | 2015-01-22 / 20150025426 - ELECTRO ACTIVE COMPRESSION BANDAGE | 2 |
Jonathan Eric Larson | US | Bowling Green | 2013-11-21 / 20130306062 - OXYGEN ADMINISTRATION SYSTEM AND METHOD | 1 |
Blane Larson | US | Maple Lake | 2014-10-02 / 20140296788 - INTRODUCER HANDLE NOTCH DESIGN/CONCEPT | 2 |
George Larson | US | Roseville | 2015-03-12 / 20150071735 - TORQUE ELEMENT RETENTION SYSTEM | 2 |
Timothy A. Larson | US | Ferguson | 2013-06-20 / 20130158777 - Vehicle Service Procedures | 1 |
Loren Larson | US | American Fork | 2015-02-26 / 20150058496 - SYSTEM AND METHOD FOR MINIMIZING NETWORK BANDWIDTH RETRIEVED FROM AN EXTERNAL NETWORK | 2 |
Andrew Larson | US | St. Paul | 2013-05-02 / 20130107203 - METHOD FOR DETERMINING THE OPTIC CENTER OF A LENS BLANK | 1 |
Eric W. Larson | US | Thornton | 2014-09-18 / 20140276740 - Recirculating Cooling System For Energy Delivery Device | 15 |
Richard H. Larson | US | Los Gatos | 2013-07-04 / 20130173889 - PARALLEL PROCESSING SYSTEM FOR COMPUTING PARTICLE INTERACTIONS | 1 |
Richard Larson | US | San Jose | 2013-08-15 / 20130212295 - APPLICATION LAYER NETWORK TRAFFIC PRIORITIZATION | 1 |
Michael Larson | US | San Jose | 2015-05-28 / 20150146881 - AUDIO OUTPUT DEVICE TO DYNAMICALLY GENERATE AUDIO PORTS FOR CONNECTING TO SOURCE DEVICES | 2 |
John N. Larson | US | Mill Valley | 2013-10-03 / 20130263261 - CENTRALIZED SECURITY MANAGEMENT SYSTEM | 1 |
H. Steven Larson | US | San Jose | / - | 1 |
Geoff Ellis Larson | US | Sacramento | 2013-08-15 / 20130206493 - ELECTRIC MOTORIZED SKATEBOARD WITH AN ACTUATOR ASSEMBLY WITH A FOOTPAD AND FORCE SENSOR | 1 |
Gary William Larson | US | San Diego | 2013-08-29 / 20130221277 - METHODS AND SYSTEMS FOR IDENTIFYING INK | 1 |
Dean J. Larson | US | Fremont | 2013-05-02 / 20130104930 - METHOD OF CLEANING ALUMINUM PLASMA CHAMBER PARTS | 1 |
David Larson | US | Huntington Beach | 2013-09-19 / 20130242601 - LIGHT GUIDE AND KEYBOARD BACKLIGHT | 1 |
Marcie Lee Larson | US | Kirkland | 2014-01-09 / 20140011168 - DEVICE TO PRACTICE AUTOMOTIVE INGRESS AND EGRESS | 1 |
Eric A. Larson | US | Fort Worth | 2013-12-05 / 20130325657 - Adjustable Golf Club and System and Associated Golf Club Heads and Shafts | 4 |
James D. Larson | US | Snohomish | 2008-10-02 / 20080236418 - STATIC FRAME RETENSION DEVICE | 1 |
Stephan Anthony Larson | US | Oroville | 2010-09-23 / 20100241710 - METHOD AND SYSTEM FOR VIDEOCONFERENCING OR DATA TRANSFER BETWEEN CLIENTS BEHIND DIFFERENT NETWORK ADDRESS TRANSLATORS | 1 |
Marian L. Larson | US | Bellingham | 2013-02-14 / 20130040044 - METHOD OF COATING MEDICAL DEVICES INCLUDING APPLICATION OF HYDROXYAPATITE COATING | 4 |
Eugene A. Larson | US | Lummi Island | 2013-08-15 / 20130211436 - TREATMENT OF CARDIAC ARRHYTHMIA UTILIZING ULTRASOUND | 6 |
Michael K. Larson | US | Kirkland | 2012-09-27 / 20120242672 - FAST QUERIES IN A MULTITHREADED QUEUE OF A GRAPHICS SYSTEM | 2 |
Per-Ake Larson | US | Redmond | 2016-05-05 / 20160125022 - EFFICIENT MAINTENANCE OF COLUMN STORE INDEXES ON MEMORY-OPTIMIZED TABLES | 26 |
Michael L. Larson | US | Bow | 2010-04-22 / 20100096885 - TIE DOWN RAIL | 1 |
Lawrence Scott Larson | US | Seattle | 2014-08-07 / 20140215871 - DEVICE FOR CREATING AND DISPLAYING LIQUID-MEDIUM MOVEMENT WITHIN A VESSEL CONTAINING A DIORAMIC SCENE | 4 |
Kjersta L. Larson | US | Seattle | 2011-05-19 / 20110117347 - BARRIER COATINGS FOR POLYMERIC SUBSTRATES | 2 |
Kyle Paul Larson | US | Seattle | 2011-01-27 / 20110018182 - Electromagnetic Clamping System for Manufacturing Large Structures | 1 |
Eric C. Larson | US | Seattle | 2015-12-31 / 20150376875 - AUTOMATIC VALVE SHUTOFF DEVICE AND METHODS | 6 |
Brian E. Larson | US | Oroville | 2010-09-23 / 20100241710 - METHOD AND SYSTEM FOR VIDEOCONFERENCING OR DATA TRANSFER BETWEEN CLIENTS BEHIND DIFFERENT NETWORK ADDRESS TRANSLATORS | 1 |
John Larson | US | Issaquah | 2010-08-19 / 20100210291 - Short Code Provisioning and Threading Techniques for Bidirectional Text Messaging | 1 |
Michael W. Larson | US | Seattle | 2010-07-29 / 20100187845 - SELF-ORIENTING OBJECT-GRASPING DEVICE AND METHOD FOR OBJECT RETRIEVAL AND PLACEMENT | 1 |
Bernie L. Larson | US | Vancouver | 2009-07-30 / 20090188535 - Wash Pad and Wash Fluid Containment System | 2 |
Tom Larson | US | Redmond | 2009-01-15 / 20090019115 - Communications server objects for configuration information access | 1 |
L. Scott Larson | US | Seattle | 2008-12-04 / 20080300982 - METHOD FOR ENABLING THE EXCHANGE OF ONLINE FAVORS | 1 |
Jonathan K. Larson | US | Silverdale | 2008-11-13 / 20080281801 - DATABASE SYSTEM AND RELATED METHOD | 1 |
James D. Larson | US | Monroe | 2015-08-06 / 20150217561 - PREDIMENSIONED RECTANGULAR FABRIC PANEL | 2 |
Bjorg Larson | US | Brookhlyn | 2013-10-10 / 20130266980 - SYSTEM, DEVICE, METHOD AND COMPUTER ACCESSIBLE MEDIUM FOR IMAGING LARGE AREAS WITH MICROSCOPIC RESOLUTION | 1 |
Eric T. Larson | US | Ann Arbor | 2013-09-26 / 20130253319 - METHOD AND SYSTEM FOR ACQUIRING AND ANALYZING MULTIPLE IMAGE DATA LOOPS | 1 |
David Henry Larson | US | Swartz Creek | 2013-08-01 / 20130192769 - Bead Seater Apparatus and Method for Using the Same | 1 |
Daniel E. Larson | US | Slater | 2013-05-09 / 20130115005 - AGRICULTURAL TUBING EXTRACTOR AND RELATED METHODS | 1 |
Robert C. Larson | US | Bartlett | 2013-02-28 / 20130048125 - Oil-Less and Wetted Pressure Relief Valves Having an Integrated Filter | 1 |
Lance J. Larson | US | Orem | 2014-06-05 / 20140152443 - POSTURE TRAINING DEVICE HAVING MULTIPLE SENSITIVITY LEVELS AND BOTH POSITIVE AND NEGATIVE FEEDBACK | 1 |
Bryan Larson | US | North Salt Lake | 2014-06-19 / 20140170594 - DUAL MEDICAMENT CARPULE FOR DENTAL SYRINGES | 1 |
Robert J. Larson | US | Havertown | 2014-08-28 / 20140244480 - SYSTEMS AND METHODS FOR PROVIDING A USER INTERFACE FOR FACILITATING PERSONAL PAYMENT TRANSACTIONS | 4 |
Johan G. Larson | CA | Waterloo | 2014-07-17 / 20140201187 - System and Method of Search Indexes Using Key-Value Attributes to Searchable Metadata | 1 |
Brian G. Larson | US | Alpine | 2015-10-29 / 20150306265 - SYSTEMS AND METHODS FOR DISINFECTING MEDICAL INSTRUMENTS | 16 |
Jon Mark Larson | US | Fort Collins | 2014-07-31 / 20140208715 - Controlled Power Integrated Combustion Heat Recovery Engine | 1 |
Raymond Louis Larson | US | Aliso Viejo | 2014-07-31 / 20140209109 - Smokeless THC and Administration Method Thereof | 1 |
Douglas Randall Larson | US | Tacoma | 2014-07-31 / 20140214528 - PASSIVE IMPROVEMENT OF DATA QUALITY BASED ON USER PREFERENCE | 1 |
Ryan R. Larson | US | Portland | 2015-08-20 / 20150230562 - Direct Printing to Fabric | 3 |
Erik Andrew Larson | US | Fargo | 2014-07-24 / 20140202142 - DIESEL EXHAUST FLUID TANK FOR AN OFF-ROAD VEHICLE | 1 |
Jay Michael Larson | US | Fargo | 2016-02-18 / 20160046182 - EXHAUST SYSTEM FOR AN OFF-ROAD VEHICLE | 3 |
Andrew Larson | US | Livermore | 2014-07-24 / 20140205882 - LI-ION POUCH CELL AND A CELL MODULE | 1 |
Karen Larson | US | Lino Lakes | 2014-07-10 / 20140194972 - CATHETER | 1 |
Brandon Larson | US | Cincinnati | 2014-07-03 / 20140182560 - VARIABLE SPEED DUAL FUELED ENGINE AND ELECTRICAL POWER MANAGEMENT APPARATUS AND METHODS | 1 |
Brody Jay Larson | US | San Mateo | 2014-06-26 / 20140176327 - METHOD AND APPARATUS FOR DETERMINING THAT MEDICAL ASSISTANCE MAY BE REQUIRED | 2 |
Lowell Larson | US | Independance | 2014-06-26 / 20140179841 - Stabilized Polymer Composition Containing an Impact Modifier | 1 |
Lowell Van Lund Larson | US | Huntington Beach | 2014-05-29 / 20140145033 - TENSIONING DEVICE FOR AIRCRAFT REFUELING BOOM HOIST | 1 |
Benjamin E. Larson | US | Columbus | 2014-05-22 / 20140143082 - METHOD AND APPARATUS FOR GENERATING CUSTOMIZED DESIGNS FOR RETAIL ITEMS | 1 |
Lawrence E. Larson | US | Providence | 2014-05-15 / 20140132357 - Broadband Distributed Transmission Line N-Path Filter | 1 |
Michael Lillegaard Larson | US | Brunswick | 2014-05-08 / 20140124070 - UNDERGROUND STORAGE TANK | 1 |
Trent A. Larson | US | Rochester | 2014-07-10 / 20140190164 - HIGH PRESSURE TURBINE INLET DUCT AND ENGINE | 3 |
Michael H. Larson | US | Mercer Island | 2014-07-03 / 20140183784 - FABRICATION OF REINFORCED THERMOPLASTIC COMPOSITE PARTS | 1 |
Wayne Larson | US | Fort Collins | 2014-06-05 / 20140154375 - METHODS FOR REHYDRATING TRIPE | 1 |
Eric W. Larson | US | Commerce City | 2016-05-12 / 20160128669 - SYSTEM FOR TRACKING AND IMAGING A TREATMENT PROBE | 7 |
Richard Michael Larson | US | Des Plaines | 2014-06-05 / 20140151916 - Method For Making Press-In-Place Gaskets | 1 |
Christine Larson | US | Fox Lake | 2015-01-29 / 20150032080 - RFID TAG AND BLOOD CONTAINER/SYSTEM WITH INTEGRATED RFID TAG | 2 |
Eric Larson | US | Burlington | 2014-05-29 / 20140149917 - METHODS AND SYSTEMS FOR MAPPING HEALTHCARE SERVICES ANALYTICS FOR VOLUME AND TRENDS | 1 |
Brent D. Larson | US | Cave Creek | 2015-01-22 / 20150022887 - VARIABLE FOCUS STEREOSCOPIC DISPLAY SYSTEM AND METHOD | 22 |
Anthony L. Larson | US | Santa Clara | 2014-08-21 / 20140236468 - CUSTOMIZING DESTINATION IMAGES WHILE REACHING TOWARDS A DESIRED TASK | 1 |
Mark Larson | US | Bloomer | 2014-08-28 / 20140245079 - System and Method for Error Logging | 1 |
Douglas A. Larson | US | Plover | 2009-12-03 / 20090293197 - WIDTH ADJUSTMENT ACCESSORY FOR USE WITH BEDS | 1 |
Paul J. Larson | US | Racine | 2014-06-12 / 20140158209 - TWO EDUCATOR / FOUR-WAY SELECTOR VALVE ASSEMBLY | 4 |
Bradley James Larson | US | Madison | 2011-04-28 / 20110095651 - DEVICE FOR DETECTING INTERACTION WITH AN OBJECT | 2 |
Michael E.m. Larson | US | Minocqua | 2015-01-15 / 20150017733 - Method and Device for Monitoring Medication Usage | 3 |
Robert K. Larson | US | Germantown | 2010-12-23 / 20100320292 - SHOWERHEAD FOR EMERGENCY FIXTURE | 2 |
David J. Larson | US | Madison | 2010-11-18 / 20100288926 - ATOM PROBE DATA AND ASSOCIATED SYSTEMS AND METHODS | 4 |
Thomas Robert Larson | US | Montgomery | 2015-01-22 / 20150020588 - SYSTEMS AND METHODS FOR DETERMINING SPECIFIC GRAVITY AND MINEROLOGICAL PROPERTIES OF A PARTICLE | 10 |
Elizabeth Nicole Larson | US | Waukesha | 2008-11-27 / 20080292162 - Method and System for Generating a Collage to Summarize a Medical Dataset | 1 |
Daniel P. Larson | US | Oak Creek | 2013-11-14 / 20130303547 - HCV Protease Inhibitors and Uses Thereof | 2 |
Timothy James Larson | US | Lacrosse | 2008-10-02 / 20080243743 - APPARATUS FOR DYNAMICALLY DETERMINING PRIMARY ADAPTER IN A HETEROGENEOUS N-WAY ADAPTER CONFIGURATION | 3 |
Kathleen A. Larson | US | Madison | 2013-04-18 / 20130096883 - Multi-Component Regression/Multi-Component Analysis of Time Series Files | 2 |
Andrea J. Larson | US | Wausau | 2015-10-08 / 20150284264 - METHODS AND SYSTEMS FOR TREATING SPENT CAUSTIC AND REGENERATING MEDIA | 2 |
Adam M. Larson | US | Mequon | 2014-09-11 / 20140253054 - ALTERNATOR FOR A POWER GENERATION SYSTEM | 1 |
Donald K. Larson | US | 2014-09-11 / 20140254995 - CELL TOWER CABLE ASSEMBLY AND SYSTEM | 1 | |
Larry G. Larson | US | Holmen | 2011-07-14 / 20110168095 - Breakaway arm for a rotary milker unit detacher | 2 |
Kenneth R. Larson | US | Grand Rapids | 2015-04-30 / 20150119882 - EMBEDDED THERMOCOUPLE IN DENERVATION FLEX CIRCUIT | 7 |
Benjamin A. Larson | US | San Diego | 2014-09-18 / 20140261105 - PORTABLE LIGHTWEIGHT ADJUSTABLE COMPUTER TABLE | 1 |
Stephen Anthony Larson | US | Oroville | 2014-09-18 / 20140280989 - SYSTEM AND METHOD FOR ESTABLISHING PEER TO PEER CONNECTIONS THROUGH SYMMETRIC NATS | 1 |
Eric Larson | US | Arlington | 2014-09-18 / 20140260636 - Impact and Sound Analysis for Golf Equipment | 1 |
Thomas Larson | US | Chicago | 2015-08-20 / 20150233672 - Weapon Safety Device | 2 |
Eric W. Larson | US | Chicago | 2014-09-18 / 20140263269 - Modular Heating Unit | 1 |
Eric J. Larson | US | Broomfield | 2015-01-29 / 20150032099 - SYSTEMS AND METHODS FOR MEASURING TISSUE IMPEDANCE THROUGH AN ELECTROSURGICAL CABLE | 3 |
Anthony L. Larson | US | San Jose | 2014-09-18 / 20140278444 - CONTEXT-SENSITIVE HANDLING OF INTERRUPTIONS | 1 |
Wayne Floyd Larson | US | Salem | 2009-03-05 / 20090058711 - METHOD OF AND SYSTEM FOR MONITORING SECURITY OF CONTAINERS | 1 |
Ryan A. Larson | US | Corvallis | 2014-08-28 / 20140243367 - ANTIVIRAL DRUGS FOR TREATMENT OF ARENAVIRUS INFECTION | 2 |
Lester L. Larson | US | Portland | 2013-08-29 / 20130221985 - SIGNAL ACQUISITION SYSTEM HAVING REDUCED PROBE LOADING OF A DEVICE UNDER TEST | 7 |
Bonnie A. Larson | US | Hillsboro | 2010-10-14 / 20100259943 - MODULAR LIGHT SOURCE | 2 |
Arnold W. Larson | US | Corvallis | 2009-06-04 / 20090141800 - Transmitting Video Streams | 2 |
Wayne F. Larson | US | Salem | 2011-04-28 / 20110095028 - LOCKABLE CONTAINER WITH SACRIFICIAL HOUSING AND METHODS FOR MAKING | 6 |
Jim A. Larson | US | Beaverton | 2010-04-29 / 20100106483 - POINTING DEVICE WITH INTEGRATED AUDIO INPUT AND ASSOCIATED METHODS | 1 |
Katherine E. Larson | US | Minneapolis | 2016-04-28 / 20160114615 - FILE FOLDER | 3 |
Kent R. Larson | US | Midland | 2015-12-31 / 20150380636 - Curable Organopolysiloxane Composition For Transducers And Applications Of Such Curable Silicone Composition For Transducers | 5 |
Jamie R. Larson | US | Farmington | 2014-11-13 / 20140332307 - UTILITY VEHICLE WITH A CONTINUOUSLY VARIABLE TRANSMISSION HAVING A SYSTEM FOR SELECTIVELY ESTABLISHING A FIXED MAXIMUM TRANSMISSION RATIO | 1 |
Christie Dyan Larson | US | Vancouver | 2016-01-28 / 20160023482 - RECIRCULATE AND FILTER AIR TO FORM AIR BARRIER IN IMAGE FORMING APPARATUS | 2 |
Eric Cooper Larson | US | Garland | 2014-11-13 / 20140336537 - COUGH DETECTING METHODS AND DEVICES FOR DETECTING COUGHS | 1 |
Carl L. Larson | US | Wellington | 2014-11-27 / 20140351154 - EPISODIC SOCIAL NETWORKS | 1 |
Odd Larson | SE | Taby | 2014-12-04 / 20140354825 - STRAY LIGHT COMPENSATION TECHNIQUES FOR AN INFRARED CAMERA | 1 |
Thomas Edward Larson | US | Olympia | 2014-12-11 / 20140362239 - SURFACE ADHERING LENS | 1 |
Melissa Larson | US | Gilbert | 2015-01-08 / 20150007374 - TRANSFERABLE GARMENT ADDITION TO SWIMWEAR | 1 |
Richard Larson | US | Des Plaines | 2016-02-25 / 20160053892 - MULTI-LAYER GASKET ASSEMBLY | 2 |
Alain Larson | US | Larson | 2015-01-29 / 20150031073 - APPARATUS AND METHOD FOR BIOLOGICAL SAMPLE PROCESSING | 1 |
Charles P. Larson | US | Redmond | 2015-02-19 / 20150049295 - SYSTEM AND PROCESS FOR SHOT-INJECTION MOLDING AN EYEGLASS TEMPLE WITH A WIRE CORE | 1 |
Adam Larson | US | Mequon | 2015-02-26 / 20150054472 - ACYCLIC EXCITER FOR AN ALTERNATOR | 1 |
Kyle A. Larson | US | Belgrade | 2015-03-26 / 20150087381 - MULTIPLE DRAW SETS KENO | 2 |
Robert B. Larson | US | Naperville | 2015-11-12 / 20150321976 - METHODS AND SYSTEMS FOR REFORMING AND TRANSALKYLATING HYDROCARBONS | 2 |
Alyssa M. Larson | US | Dana Point | 2015-03-12 / 20150071925 - LIQUID PROTEIN FORMULATIONS CONTAINING VISCOSITY-LOWERING AGENTS | 4 |
Kurt W. Larson | US | Cedar Crest | 2015-03-12 / 20150069233 - SPARSE SAMPLING AND RECONSTRUCTION FOR ELECTRON AND SCANNING PROBE MICROSCOPE IMAGING | 1 |
Elliot Larson | US | San Francisco | 2015-03-19 / 20150081255 - SYSTEMS, METHODS AND USER INTERFACE FOR GRAPHICAL CONFIGURATION FOR ROOF MOUNTS | 1 |
Lowell J. Larson | US | Independence | 2015-04-16 / 20150104635 - COATINGS FOR POLYOXYMETHYLENE POLYMER MOLDED ARTICLES | 1 |
Carl E. Larson | US | San Jose | 2016-03-03 / 20160060419 - METHODS AND MATERIALS FOR DEPOLYMERIZING POLYESTERS | 2 |
Todd Christopher Larson | US | Wyoming | 2015-04-23 / 20150111644 - PLAYER RANKING SYSTEM BASED ON MULTIPLE QUANTITATIVE AND QUALITATIVE SCORING TYPES | 1 |
Eric Allan Larson | US | Simi Valley | 2015-10-22 / 20150297822 - GLUCOSE SENSOR PRODUCT | 9 |
Michael Larson | US | Ypsilanti | 2015-10-01 / 20150274970 - CONTROL OF INTERNAL VISCOSITY IN IN SITU POLYMERIZED ORGANOPOLYSILOXANE EMULSIONS | 2 |
Robert Larson | US | Perkasic | 2009-06-18 / 20090156922 - BLOOD MONITORING SYSTEM | 1 |
Gerald L. Larson | US | Newtown | 2015-02-26 / 20150057462 - METHODS OF PREPARING LOW MOLECULAR WEIGHT CARBOSILANES AND PRECURSORS THEREOF | 6 |
Christopher Larson | US | Media | 2011-05-05 / 20110104718 - Analysis of Circulating Tumor Cells, Fragments, and Debris | 1 |
John Larson | US | West Chester | 2015-10-29 / 20150310950 - MOBILE UVA CURING SYSTEM FOR COLLISION AND COSMETIC REPAIR OF AUTOMOBILES | 2 |
John Charles Larson | US | West Chester | 2015-12-10 / 20150352585 - SPRAY BOOTH HUMIDITY CONTROL | 20 |
Gary R. Larson | US | Hatfield | 2009-12-17 / 20090312469 - Low VOC aqueous compositions of phosphorus acid functional polymers and polyurethanes | 1 |
Robert Larson | US | Perkasie | 2008-11-06 / 20080275324 - Fluid Access Interface | 2 |
Michael C. Larson | US | Wauwatosa | 2015-06-11 / 20150158033 - BLOOD PURIFIER DEVICE AND METHOD | 1 |
Darren Larson | CA | Irma | 2015-06-11 / 20150159948 - METHOD AND APPARATUS FOR CURING CANOLA | 1 |
Brent D. Larson | US | Phoenix | 2016-04-21 / 20160109943 - SYSTEM AND METHOD FOR CONTROLLING VISIBILITY OF A PROXIMITY DISPLAY | 5 |
Odd Larson | SE | Alvsjo | 2015-10-08 / 20150288891 - PROCEDURE FOR MAPPING WHEN CAPTURING VIDEO STREAMS BY MEANS OF A CAMERA | 2 |
Bruce Larson | US | Austin | 2015-07-16 / 20150200593 - SWITCHING REGULATOR USING ADAPTIVE SLOPE COMPENSATION WITH DC CORRECTION | 1 |
Bonnie S. Larson | US | Marietta | 2015-07-23 / 20150202855 - Paint sample sheet | 1 |
Terrance Larson | US | Colgate | 2015-07-23 / 20150203042 - Trike Mid-Step Mount/ Dismount Assist | 1 |
Terrance F. Larson | US | Colgate | 2015-07-30 / 20150210335 - MIDDLE STEP ASSEMBLY FOR A THREE-WHEEL MOTORCYCLE | 1 |
Brian A. Larson | US | San Francisco | 2015-08-13 / 20150227624 - SEARCH INFRASTRUCTURE | 1 |
Casey Larson | US | Bolingbrook | 2015-08-20 / 20150231621 - TERMINAL ALKENE MONOISOMERIZATION CATALYSTS AND METHODS | 1 |
William Larson | US | Midland | 2016-02-04 / 20160037586 - INDUCTION HEATING APPARATUS | 2 |
Eric Larson | US | Foster City | 2015-09-17 / 20150261291 - Methods and Systems Tracking Head Mounted Display (HMD) and Calibrations for HMD Headband Adjustments | 1 |
Meggan Larson | US | Brooklyn Park | 2015-10-15 / 20150293070 - ON-SITE KIT FOR ANALYSIS OF DISINFECTANT BYPRODUCTS SPECIES AND AMOUNTS THEREOF IN DRINKING WATER SUPPLIES | 1 |
Kim Marie Larson | US | Olalla | 2015-12-03 / 20150344154 - Modular Stanchion System | 2 |
Jeffrey S. Larson | US | Tucson | 2015-10-22 / 20150300780 - ROCKET CLUSTER DIVERT AND ATTITUDE CONTROL SYSTEM | 1 |
Alvin Berdette Larson | US | Jenison | 2015-11-05 / 20150313359 - SHELF SYSTEM | 1 |
Perry Larson | AU | Lambton | 2015-11-05 / 20150314843 - Safety Device and Adapter Therefor | 1 |
Kirk Frederick Larson | US | Amherst | 2014-06-12 / 20140158519 - STRUCTURED PACKING AND METHOD | 2 |
James Rodney Larson | US | Fairport | 2011-05-05 / 20110102525 - Non-Contact Heating Of Solid Ink Prints After Ink Fixing | 1 |
Steven M. Larson | US | New York | 2014-10-02 / 20140294725 - USES OF LABELED HSP90 INHIBITORS | 4 |
James R. Larson | US | Fairport | 2014-11-20 / 20140338563 - Solid Or Phase Change Inks With Improved Properties | 7 |
James Larson | US | Lloyd Harbor | 2014-12-04 / 20140352085 - APPARATUS TO INTERFACE A BOARDING BRIDGE AND A LOW DOORSILL AIRPLANE | 2 |
Dale J. Larson | US | Newark Valley | 2009-06-11 / 20090145814 - OPERATIONS FOR PRODUCT PROCESSING | 2 |
Steve M. Larson | US | New York | 2008-10-16 / 20080253965 - Small-Molecule Hsp90 Inhibitors | 1 |
Ross A. Larson | US | Fargo | 2015-12-10 / 20150352250 - ARTIFICIAL BONE FORMS AND COMPOSITIONS FOR APPROXIMATING BONE | 1 |
Douglas Alan Larson | US | Raleigh | 2015-12-17 / 20150365478 - CLOUD INFRASTRUCTURE BACKUP IN A SHARED STORAGE ENVIRONMENT | 2 |
Josiah Larson | US | Glendale | 2015-12-17 / 20150363959 - SEAMLESS REPRESENTATION OF VIDEO AND GEOMETRY | 1 |
Eric A. Larson | US | Ft. Worth | 2016-03-10 / 20160067570 - Golf Clubs and Golf Club Heads Having Adjustable Weighting Characteristics | 13 |
Cindy Larson | US | Tracy | 2015-12-24 / 20150369744 - NANOSCALE STRUCTURES ON OPTICAL FIBER FOR SURFACE ENHANCED RAMAN SCATTERING AND METHODS RELATED THERETO | 1 |
Kent Larson | US | Midland | 2015-12-31 / 20150376481 - Stable Thermal Radical Curable Silicone Adhesive Compositions | 1 |
Glenn Allen Larson | CA | Calgary | 2015-12-31 / 20150378041 - SUBTERRANEAN FORMATION IMAGING METHOD AND SYSTEM | 1 |
Noble G. Larson | US | Arlington | 2016-01-28 / 20160025478 - Real Time FPGA Resampling for Swept Source Optical Coherence Tomography | 1 |
Denise M. Larson | US | Bethesda | 2016-01-28 / 20160025712 - COMPOSITIONS AND METHODS FOR PREDICTING AGE OF ONSET OF A LYSOSOMAL STORAGE DISEASE OR A DISEASE ASSOCIATED WITH A LYSOSOMAL DEFECT | 1 |
Kent Larson | US | Boston | 2016-02-04 / 20160031090 - APPARATUSES, SYSTEMS, AND METHODS FOR TRANSFORMABLE LIVING SPACES | 1 |
Michael Thomas Larson | US | Portland | 2016-02-04 / 20160034329 - CORRELATION AND PREDICTION ANALYSIS OF COLLECTED DATA | 2 |
Bryce R. Larson | US | Seymour | 2016-02-11 / 20160040581 - DIAGNOSING SYSTEM FOR REDUCTANT DOSING SYSTEM | 1 |
Chad J. Larson | US | Austin | 2016-02-11 / 20160041601 - MANAGING POWER SAVINGS IN A HIGH AVAILABILITY SYSTEM AT A REDUNDANT COMPONENT LEVEL OF GRANULARITY | 8 |
William R. Larson | US | Vancouver | 2016-02-18 / 20160050773 - OUTPUT MODULE FOR VEHICLE GAUGES | 1 |
Rich Larson | US | Des Plaines | 2016-02-25 / 20160053716 - MULTI-LAYER GASKET ASSEMBLY | 1 |
Kirk F. Larson | US | Amherst | 2016-03-03 / 20160061541 - CONTACTING DEVICE AND METHOD | 1 |
Jason B. Larson | US | Zimmerman | 2016-04-14 / 20160101722 - LIGHT ACTUATION SYSTEM FOR MACHINES | 1 |
Micaela Larson | US | Germantown | 2016-03-10 / 20160071380 - Capacitive and Conductive Sensing Illuminating Cable | 1 |
John E. Larson | US | Round Rock | 2016-03-24 / 20160085706 - Methods And Systems For Controlling Ordered Write Transactions To Multiple Devices Using Switch Point Networks | 2 |
Eric Larson | US | Chicago | 2016-03-31 / 20160088961 - Granular Dispenser | 1 |
Signe Christina Larson | US | Cincinnati | 2016-04-21 / 20160109276 - DOSING CUP FOR A DETERGENT COMPOSITION | 8 |
Scott D. Larson | US | Brimfield | 2016-04-28 / 20160116064 - SEALING ASSEMBLY BETWEEN COMPONENTS | 1 |
Travis S. Larson | US | Shelby Township | 2016-05-12 / 20160129571 - PRESS-FIT INSTALLATION TOOL WITH DYNAMIC LOAD ASSIST AND METHOD OF PRESS-FITTING | 1 |
Jonathan W. Larson | US | 2016-05-19 / 20160136643 - MICROFLUIDIC METHODS FOR MANIPULATING DNA | 1 | |
Ove Larson | SE | Västra Frölunda | / - | 1 |
Paul A. Larson | US | Belvidere | 2016-05-19 / 20160138712 - Rotational Coupling Device for Bimodal Selective Output | 1 |
John Larson, Iii | US | Palo Alto | 2011-07-28 / 20110180391 - METHOD OF FABRICATING PIEZOELECTRIC MATERIAL WITH SELECTED C-AXIS ORIENTATION | 1 |
John D. Larson, Iii | US | Palo Alto | 2014-11-20 / 20140340172 - BULK ACOUSTIC WAVE RESONATOR COMPRISING A BORON NITRIDE PIEZOELECTRIC LAYER | 12 |
Dale Curtis Larson, Iii | US | Eagan | 2014-06-26 / 20140174480 - CITRATE SALT BATHROOM CLEANERS | 3 |
John D. Larson, Iii | US | Palo Alto | 2014-11-20 / 20140340172 - BULK ACOUSTIC WAVE RESONATOR COMPRISING A BORON NITRIDE PIEZOELECTRIC LAYER | 12 |
Michael E. Larson, Jr. | US | Rockford | 2016-02-11 / 20160039532 - REMOTE POWER SOURCE PUMP SYSTEM | 2 |
Douglas S. Larson, Jr. | US | Wilmington | 2009-04-30 / 20090110859 - POLY(HYDROXYALKANOIC ACID) COMPOSITION | 1 |
Lester C. Larson, Jr. | US | Colona | 2016-05-05 / 20160123690 - Muzzle Brake for Firearm | 7 |
Michael E. Larson, Jr. | US | 2014-02-20 / 20140050582 - Automatic Distributed Heating System for a Ram Air Turbine Power Train | 1 | |
Ernest J. Larson, Jr. | US | Eden Prairie | 2011-06-16 / 20110139031 - CONNECTION MECHANISM AND METHODS FOR CONVERTIBLE RAILWAY-ROADWAY SYSTEMS | 1 |
Ralph Irving Larson, Jr. | US | Acton | 2011-06-02 / 20110126530 - CROSS-FLOW THERMOELECTRIC GENERATOR FOR VEHICLE EXHAUST SYSTEM | 1 |
Richard J. Larson, Jr. | US | Walpole | 2015-04-02 / 20150094411 - Inkjet Ink Formulations | 3 |
Lester Larson, Jr. | US | Colona | 2016-02-18 / 20160047614 - Firearm Having Gas Piston System | 5 |
Suzanne Larson-Kolomyjec | US | Saint Charles | 2010-04-22 / 20100097233 - Furnace filter alert | 1 |
John William Larson Rutter | US | Bellingham | 2012-02-16 / 20120040326 - METHODS AND SYSTEMS FOR OPTIMIZING INDIVIDUALIZED INSTRUCTION AND ASSESSMENT | 1 |
Emily Larson-Rutter | US | Bellingham | 2012-02-16 / 20120040326 - METHODS AND SYSTEMS FOR OPTIMIZING INDIVIDUALIZED INSTRUCTION AND ASSESSMENT | 1 |
Kjersta L. Larson-Smith | US | Seattle | 2014-10-30 / 20140322433 - DURABLE TRANSPARENT INTELLIGENT COATINGS FOR POLYMERIC TRANSPARENCIES | 9 |
Jon V. Larssen | US | Seattle | 2010-09-02 / 20100219288 - Deployable Flap Edge Fence | 2 |
Erik G. Larsson | SE | Linkoping | 2013-04-11 / 20130091367 - Method and System for Controlling the Operation of an Electronic Device | 1 |
Kristoffer Larsson | SE | Solna | 2013-04-11 / 20130087004 - PARALLEL-KINEMATICAL MACHINE WITH GIMBAL HOLDERS | 1 |
Mats Larsson | SE | Lerberget | 2014-03-20 / 20140077920 - INDUCTOR CORE, AN ARRANGEMENT FOR A PRESS, AND A MANUFACTURING METHOD | 3 |
Fredrik Larsson | SE | Vaggeryd | 2008-08-28 / 20080203125 - Load Carrier for Fixed Roof Rail | 1 |
Gunnar Larsson | SE | Hoor | 2014-11-27 / 20140347169 - SYSTEM FOR CONTROLLING AND SHOWING ELIGIBILITY OF GOLF PLAYERS ON A GOLF COURSE | 3 |
Thomas Larsson | SE | Gavle | 2013-10-17 / 20130269768 - Method and an Apparatus for Producing a Solar Cell Module and a Solar Cell Module | 1 |
Daniel Larsson | SE | Borlange | 2013-05-02 / 20130105431 - SHOCK-ABSORBING COUPLER HEAD FOR A COUPLING ARRANGEMENT | 1 |
Jan-Aake Larsson | SE | Gotene | 2013-05-02 / 20130108769 - BUTTER-DERIVED SPREAD AND A METHOD OF PRODUCING IT | 1 |
Tommy Larsson | SE | Angelsberg | 2015-08-06 / 20150218689 - COATED CUTTING INSERT | 19 |
Magnus Larsson | SE | Eskilstuna | 2013-11-07 / 20130293222 - Sensor Head for an Encoder | 1 |
Thomas Larsson | SE | Alvsjo | 2016-03-17 / 20160080930 - MOBILE DEVICE MANAGEMENT | 8 |
Sture Larsson | SE | Veberöd | 2014-07-03 / 20140182256 - DEVICE FOR MOWING | 1 |
Mikael Larsson | SE | Forsa | 2015-03-19 / 20150076274 - Centre Wheel for Winding Up a Duct or Cable on a Stabilizing Centre Core | 2 |
Bengt I. Larsson | SE | Malmo | 2015-11-19 / 20150329349 - FUEL DISPENSER SYSTEM WITH SEALED PARTITION PART | 11 |
Anders Larsson | SE | Mölndal | 2016-04-28 / 20160117956 - A USER INTERFACE FOR A SURGICAL SIMULATION SYSTEM | 3 |
Andreas Larsson | SE | Taby | 2015-03-19 / 20150075724 - TAPE FEEDER AND METHOD FOR MOVING A CARRIER TAPE TOWARDS A PICKING POSITION IN A COMPONENT MOUNTING MACHINE | 1 |
Per Anders Larsson | SE | Vallentuma | / - | 1 |
Bernt Larsson | SE | Stockholm | 2009-08-27 / 20090214306 - Coated Cutting Tool Insert | 1 |
Gustav K. Larsson | US | Sunnyvale | 2011-11-10 / 20110274427 - DYNAMIC TRAIL TERMINATION POINT CREATION FOR OPTICAL TRANSPORT NETWORKS | 1 |
Mikael Larsson | SE | Molndal | 2014-08-21 / 20140231272 - SULPHITE SENSOR AND METHOD FOR MEASURING SULPHITE CONCENTRATION IN A SUBSTANCE | 9 |
Per Åke Larsson | SE | Vastra Amtervik | 2012-01-19 / 20120015600 - INDUCTION UNIT FOR UNITING AIR FLOWS | 1 |
Ulf Henrik Larsson | SE | Stockholm | 2008-12-11 / 20080304477 - Carrier Class Resilience Solution For Switched Ethernet Local Area Networks (Lans) | 1 |
Per-Olof Larsson | SE | Helsingborg | 2015-08-20 / 20150232967 - POWDER, POWDER COMPOSITION, METHOD FOR USE THEREOF AND USE OF THE POWDER AND POWDER COMPOSITION | 4 |
Thomas Peter Larsson | SE | Molndal | 2014-08-21 / 20140235606 - CRYSTALLINE FORMS OF N-[2-[[(2,3-DIFLUOROPHENYL)METHYL]THIO]-6--4-PYRIMIDINYL]-1-AZETIDINESULF- ONAMIDE | 2 |
Stig Larsson | SE | Savedalen | 2011-09-15 / 20110221629 - RADAR LEVEL GAUGE WITH IMPROVED RADAR WINDOW | 1 |
Ulf Larsson | SE | Sodertalje | 2012-05-24 / 20120130097 - PROCESS FOR THE PREPARATION OF CYCLOPROPYL CARBOXYLIC ACID ESTERS AND DERIVATIVES | 6 |
Tony Larsson | SE | Vasby | 2010-10-14 / 20100263017 - POLICY MANAGEMENT IN A ROAMING OR HANDOVER SCENARIO IN AN IP NETWORK | 1 |
Tommy L. Larsson | SE | Ludvika | 2010-04-15 / 20100089617 - HIGH VOLTAGE SHIELD | 2 |
Bo Larsson | SE | Kalmar | 2009-03-19 / 20090071513 - HYDRODYNAMIC NOZZLE | 1 |
Mikael Larsson | SE | Goteborg | 2009-02-19 / 20090047958 - Neighbor List Management for User Terminal | 1 |
Bo Larsson | SE | Lund | 2015-10-01 / 20150282004 - COORDINATED PRIORITY SCHEME FOR SMALL DATA PACKET TRANSMISSION | 5 |
Daniel Larsson | SE | Vallentuna | 2016-05-19 / 20160143014 - CHANNEL ACCESS IN LISTEN BEFORE TALK SYSTEMS | 70 |
Anette Larsson | SE | Olofstorp | 2011-12-08 / 20110301027 - SUPERABSORBENT POLYMER COMPOSITE COMPRISING A SUPERABSORBENT POLYMER AND CELLULOSIC NANOFIBRILS | 1 |
Petter Larsson | SE | Ytterby | 2008-10-16 / 20080252412 - Method for Performing Driver Identity Verification | 1 |
Tomas Larsson | SE | Vasteras | 2014-04-24 / 20140114495 - Method In An Electric Power System, Controller, Computer Programs, Computer Program Products And Electric Power System | 7 |
Mathias Larsson | SE | Arjang | 2013-09-19 / 20130240213 - GUIDEPOST EXTENSION | 1 |
Håkan Larsson | SE | Finnerödja | 2015-11-12 / 20150321701 - FRAME CONFIGURATION FOR VEHICLES | 1 |
Thomas Larsson | SE | Alvsjo | 2016-03-17 / 20160080930 - MOBILE DEVICE MANAGEMENT | 8 |
Daniel Mattias Larsson | GB | Maidenhead | 2014-07-10 / 20140195523 - METHOD AND SYSTEM FOR INDEXING INFORMATION AND PROVIDING RESULTS FOR A SEARCH INCLUDING OBJECTS HAVING PREDETERMINED ATTRIBUTES | 3 |
Henrik W. Larsson | DK | Glostrup | 2010-07-01 / 20100166667 - MAGNETIC RESONANCE IMAGING | 1 |
Thomas Larsson | SE | Kungsor | 2010-08-05 / 20100194101 - SWIVEL JOINT | 4 |
Stefan Larsson | SE | Goteborg | 2011-03-03 / 20110049882 - Device for charging at least one electrical battery on board a boat | 1 |
Stefan Larsson | SE | S-Alvkarleby | 2010-09-30 / 20100243019 - SOLAR ENERGY CONCENTRATOR | 1 |
Lars Larsson | DE | Hamburg | 2015-05-07 / 20150123590 - METHOD AND CIRCUIT ASSEMBLY FOR DRIVING A STEPPER MOTOR | 5 |
Rolf Gosta Larsson | SE | Laxa | 2011-04-21 / 20110089144 - DEVICE FOR HANDLING POWDER FOR A WELDING APPARATUS | 1 |
Roland Larsson | SE | Malmo | 2009-02-12 / 20090038784 - Heat Exchanger | 1 |
Roland Larsson | SE | Trelleborg | 2012-06-07 / 20120141749 - PROCESS FOR THE MANUFACTURE OF A DECORATIVE LAMINATE | 2 |
Hakan Larsson | SE | Laxa | 2013-08-29 / 20130220985 - WELDING DEVICE | 2 |
Anders Larsson | SE | Tullinge | 2016-05-19 / 20160138495 - METHOD AND SYSTEM FOR CONTROLLING A TURBOCHARGED ENGINE DURING AN UPSHIFT | 4 |
Catharina Larsson | SE | Stockholm | 2014-01-02 / 20140005063 - METHOD FOR DETECTING RISK OF PROGRESSION OF LOW GRADE CERVICAL DYSPLASIA | 2 |
Andreas Larsson | SE | Umea | 2012-10-11 / 20120258993 - NON-NATURAL MACROCYCLIC AMIDE HDAC6 INHIBITOR COMPOUNDS AND THEIR USES AS THERAPEUTIC AGENTS | 2 |
Andreas Larsson | SE | Fagersta | 2012-01-12 / 20120009039 - Insert for Milling of Cast Iron | 8 |
Bjorn Larsson | SE | Goteborg | 2016-05-05 / 20160120377 - STACK OF WEB MATERIAL FOR HYGIENE PRODUCTS | 10 |
Jan Larsson | SE | Lulea | 2015-07-30 / 20150210320 - B-Pillar And Method Of Manufacturing It | 3 |
Olof Larsson | SE | Skärholmen | 2015-11-19 / 20150329115 - METHOD AND SYSTEM FOR GUIDANCE OF DRIVER BEHAVIOUR DURING DRIVING OF VEHICLES | 1 |
Johan Larsson | SE | Trollhattan | 2011-11-24 / 20110288841 - VEHICLE SIMULATION SYSTEM WITH SOFTWARE-IN-THE-LOOP BYPASS CONTROL | 1 |
Anders Larsson | SE | Vargon | 2015-08-13 / 20150227659 - PREDICTION OF LIFE CONSUMPTION OF A MACHINE COMPONENT | 1 |
Per Larsson | SE | Billdal | 2012-03-08 / 20120059572 - METHOD FOR CONTROLLING AN EXHAUST GAS TEMPERATURE | 2 |
Jacob Larsson | SE | Stockholm | 2012-09-20 / 20120235267 - PHOTODIODE OF THE TYPE AVALANCHE PHOTODIODE | 1 |
Joakim Larsson | SE | Morarp | 2013-11-14 / 20130304397 - METHOD OF MEASURING A CAPACITANCE | 1 |
Anna Larsson | SE | Kvidinge | 2013-11-14 / 20130302202 - IRON BASED POWDERS FOR POWDER INJECTION MOLDING | 1 |
Robert Larsson | CH | Kilchberg | 2012-09-20 / 20120235522 - Cooling System For An Electrical Machine | 1 |
Daniel Larsson | SE | Linköping | 2012-09-20 / 20120236707 - Method and Arrangement for Managing Radio Link Failure | 1 |
Anders Larsson | SE | Tvaaker (se) | 2012-02-02 / 20120025003 - DEVICE FOR HANDLING OF ROLLS | 1 |
Tommy Larsson | SE | Finspong | 2011-07-14 / 20110167820 - Heating system for a turbine | 1 |
Andreas Larsson | SE | Goteborg | 2010-03-11 / 20100058579 - ARRANGEMENT AND SYSTEM AT A COMPONENT MOUNTING MACHINE | 1 |
Josef N. Larsson | US | Des Moines | 2009-01-01 / 20090006420 - COPYING AND UPDATING FILES | 1 |
Andreas Larsson | SE | Goeteborg | 2010-05-13 / 20100116625 - MECHANICAL INTERFACE | 1 |
Per Larsson | SE | Trelleborg | 2015-07-09 / 20150190740 - ROTARY DISC FILTER AND MODULE FOR CONSTRUCTING SAME | 6 |
Morgan Larsson | SE | Vastra Frolunda | 2010-10-28 / 20100270708 - METHOD AND APPARATUS FOR PRODUCING THREE-DIMENSIONAL OBJECTS | 2 |
Morgan Larsson | SE | Goteborg | 2010-12-02 / 20100305743 - ARRANGEMENT AND METHOD FOR PRODUCING A THREE-DIMENSIONAL PRODUCT | 1 |
Per Larsson | SE | Orebro | 2008-10-16 / 20080253612 - Method and an Arrangement for Locating and Picking Up Objects From a Carrier | 1 |
Oscar Larsson | SE | Norrkoping | 2011-01-20 / 20110011179 - Moister sensor | 2 |
Andreas Larsson | NO | Olso | 2012-06-28 / 20120160468 - Local Thermal Management | 1 |
Bengt Larsson | IT | Genova | 2010-04-08 / 20100086119 - LAWFUL INTERCEPTION IN WIRELINE BROADBAND NETWORKS | 1 |
Nils Thomas Babtist Larsson | SE | Ekero | 2009-06-11 / 20090149165 - Exchange of Information in a Communication Network | 2 |
Nils-Goran Larsson | SE | Huddinge | 2008-09-11 / 20080222738 - Transgenic Non-Human Animal for Use in Research Models for Studying Parkinson's Disease | 1 |
Mikael Larsson | SE | Molndal | 2014-08-21 / 20140231272 - SULPHITE SENSOR AND METHOD FOR MEASURING SULPHITE CONCENTRATION IN A SUBSTANCE | 9 |
Mats O. Larsson | SE | Kavlinge | 2010-05-20 / 20100122897 - Keypad, Keypad Matrix and Electronic Device | 1 |
Martin Larsson | SE | Sundbyberg | 2015-06-25 / 20150177742 - METHOD FOR CONTROLLING A PUMP STATION | 4 |
Martin Larsson | SE | Valberg | 2011-01-13 / 20110005472 - VEHICLE ENGINE | 2 |
Malin Larsson | US | Los Angeles | 2012-02-02 / 20120023662 - MECHANICAL BABY STROLLER ROCKER | 1 |
Martin Larsson | SE | Huskvarna | 2008-11-20 / 20080283302 - Water Collecting Device for Core Drilling Device | 1 |
Magnus Larsson | SE | Sollentuna | 2014-10-09 / 20140301355 - Signalling Mechanism for Multi-Tiered Intra-Band Carrier Aggregation | 8 |
Magnus Larsson | SE | Ranas | 2010-06-10 / 20100142523 - METHOD FOR AUTOMATICALLY PROVIDING A CUSTOMER EQUIPMENT WITH THE CORRECT SERVICE | 1 |
Lars Niklas Larsson | SE | Malmo | 2009-10-29 / 20090268754 - METHODS, DEVICES, AND COMPUTER PROGRAM PRODUCTS FOR REMOTELY CONTROLLING OPERATIONS OF DIGITAL MEDIA DEVICES USING A MOBILE TERMINAL | 1 |
Per Olav Larsson | GB | London | 2011-05-05 / 20110107409 - Single Sign On For a Remote User Session | 1 |
Ola Larsson | SE | Vaxjo | 2013-09-19 / 20130239431 - CELLULOSE PULP DRYER HAVING BLOW BOXES, AND A METHOD OF DRYING A WEB OF CELLULOSE PULP | 2 |
Erikä Larsson | SE | Uppsala | 2015-11-19 / 20150333845 - Methods and Devices for MIMO Transmission | 1 |
Patrik Larsson | SE | Torslanda | 2014-01-16 / 20140015311 - CONTACT ARRANGEMENT FOR SAFE DISCONNECTION OF A POWER SUPPLY IN A VEHICLE | 1 |
Jeffrey Eric Larsson | US | Kirkland | 2012-11-08 / 20120284315 - OPTIMIZATION OF NON-DETERMINISTIC COMPUTATIONAL PATHS | 2 |
Gunnar Larsson | SE | Taby | 2010-10-28 / 20100274906 - System for Activating and Deactivating a Function | 1 |
Nils Thomas Larsson | US | Boulder | 2012-11-01 / 20120274772 - Railway Track Monitoring | 1 |
Bengt Larsson | SE | Skivarp | 2010-06-17 / 20100147901 - HOSE CONNECTION | 1 |
Conny Larsson | SE | Taby | 2010-09-09 / 20100226283 - METHOD AND APPARATUS FOR USE IN A COMMUNICATIONS NETWORK | 3 |
Anna Larsson | SE | Astorp | 2010-11-04 / 20100278681 - LOW ALLOYED STEEL POWDER | 1 |
Lars Niklas Larsson | SE | Lund | 2008-12-25 / 20080318333 - Method and System for Measurement of Nitrite and Nitric Oxide Release | 1 |
Mats Larsson | CN | Beijing | 2011-06-30 / 20110157033 - ELECTRONIC APPARATUS HAVING MOVABLE PARTS | 1 |
Lars Joakim Larsson | SE | Halmstad | 2009-11-26 / 20090291019 - DEVICE AND METHOD FOR STERILIZING, COOLING, DRYING TRANSFERRING CLOSURES | 1 |
Bengt I. Larsson | SE | Skivarp | 2016-03-24 / 20160083243 - Fuel Blending Hose and Fuel Dispensing Unit | 16 |
Bo Hakan Larsson | SE | Malmo | 2015-09-24 / 20150271315 - ACCESSING IN CASE OF EMERGENCY INFORMATION IN A MOBILE DEVICE | 6 |
Brian Larsson | SE | Saffle | 2008-11-13 / 20080277428 - Storage Container For Granular Fuel, a Device and a Method For Feeding the Fuel Forward | 1 |
Rikard Larsson | SE | Tagarp | 2014-08-21 / 20140235644 - INDOLYL-SUBSTITUTED PYRAZINO-QUINOLINES AND THEIR USE FOR THE TREATMENT OF CANCER | 2 |
Chatarina Larsson | SE | Uppsala | 2014-05-01 / 20140120534 - METHODS FOR IDENTIFYING NUCLEIC ACID SEQUENCES | 3 |
Lars N. Larsson | US | Palm Springs | 2009-07-16 / 20090178290 - APPARATUS FOR ALIGNING LAPBOARD SIDING | 1 |
Olle Larsson | SE | Taby | 2011-07-21 / 20110178050 - USE OF CYCLOLIGNANS FOR THE TREATMENT OF A HYPERACTIVE IMMUNE SYSTEM | 5 |
Patrik Larsson | SE | Landvetter | 2013-09-05 / 20130229049 - ELECTRICAL SYSTEM FOR A VEHICLE WITH START/STOP | 2 |
Michael Larsson | CH | Zug | 2014-06-19 / 20140171917 - Breastpump with Letdown Feature | 16 |
Lars-Ake Lennart Larsson | SE | Lund | 2009-07-16 / 20090182280 - REDUCING WITHDRAWAL FORCE IN A SAFETY IV CATHETER | 1 |
Rolf Gösta Larsson | SE | Laxa | 2012-04-26 / 20120097643 - DEVICE FOR HANDLING POWDER FOR A WELDING APPARATUS | 2 |
Kurt Lennart Larsson | SE | Malmberget | 2010-08-19 / 20100210985 - SHOULDER ORTHOSIS | 1 |
Ulf Larsson | SE | Taby | 2012-07-05 / 20120172135 - Gaming System | 3 |
Håkan Larsson | SE | Laxa | 2011-07-07 / 20110163151 - DEVICE FOR WELDING | 1 |
Kenneth Larsson | SE | Sandviken | 2015-02-12 / 20150041223 - DRILL BIT FOR PERCUSSIVE DRILLING | 2 |
Bernt Larsson | SE | Bjarred | 2013-10-10 / 20130263556 - FOLDING UNIT FOR FORMING SEALED PACKAGES OF POURABLE FOOD PRODUCTS | 1 |
Kenneth Larsson | SE | Dalby | 2009-02-26 / 20090049805 - Device and method for transverse sealing | 1 |
Jörgen Larsson | SE | Timmele | 2009-07-02 / 20090169339 - Placing Device Building System and Method of Constructing a Multi-Storey Building | 1 |
Daniel Larsson | SE | Upssala | 2010-03-25 / 20100074349 - METHOD AND BASE STATION FOR ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) SIGNAL PROCESSING | 1 |
Daniel Larsson | SE | Linkoping | 2012-11-15 / 20120287905 - Wireless Handover Optimization | 2 |
Åke Larsson | SE | Jarfalla | 2013-10-24 / 20130276782 - ANESTHETIC VAPORIZER FOR A BREATHING APPARATUS AND METHOD FOR OPERATION THEREOF TO VAPORIZE A LIQUID ANESTHETIC AGENT | 1 |
Karl-Gunnar Larsson | SE | Kalix | 2014-01-16 / 20140017575 - METAL COATING OF OBJECTS USING PLASMA POLYMERISATION PRETREATMENT | 3 |
Jonas Larsson | SE | Goteborg | 2010-06-17 / 20100146980 - MASKING ARRANGEMENT FOR A GAS TURBINE ENGINE | 1 |
Johan Michael Larsson | SE | Goteborg | 2011-02-10 / 20110032957 - COMPACT MULTIMODE LASER WITH RAPID WAVELENGTH SCANNING | 1 |
Johan Larsson | SE | Orebro | 2015-02-26 / 20150057886 - METHOD AND SYSTEM FOR DRIVING A MINING AND/OR CONSTRUCTION MACHINE IN A SAFE MANNER WITHOUT THE RISK OF COLLISION | 4 |
Kjell Larsson | SE | Lulea | 2016-04-21 / 20160113010 - METHOD AND NETWORK NODE FOR DETERMINING CHANNEL STATE INFORMATION IN AN UPCOMING TIME SLOT | 11 |
Johan Larsson | SE | Istorp | 2013-03-07 / 20130055652 - JOINABLE SHEET METAL PROFILE FOR ROOF GABLE END | 1 |
Mikael Larsson | SE | Trollhattan | 2016-04-07 / 20160097443 - DRIVELINE COMPONENT HAVING DIFFERENTIAL AND PARK LOCK MECHANISM | 1 |
Hans F. Larsson | SE | Stockholm | 2014-09-04 / 20140246388 - METHOD FOR THE TREATMENT OF WATER AND WASTEWATER | 2 |
Johan Larsson | SE | Trelleborg | 2010-02-25 / 20100049335 - DATA STRUCTURE & ASSOCIATED METHOD FOR AUTOMATION CONTROL SYSTEM MANAGEMENT | 1 |
Annika Larsson | SE | Goeteborg | 2012-10-18 / 20120262933 - STORAGE COMPARTMENT AND WARNING LIGHTING FOR A VEHICLE | 3 |
Linus Larsson | SE | Barne-Asaka | 2013-03-07 / 20130056572 - SEAT-BELT PRETENSIONER | 1 |
Niklas Larsson | SE | Askim | 2011-04-07 / 20110079593 - FOOD CONTAINER AND METHOD FOR PRODUCING FOOD CONTAINER | 1 |
Niklas Larsson | SE | Akarp | 2011-02-10 / 20110030263 - Mount for mounting accessories on a fire arm | 1 |
Daniel Larsson | SE | Soina | 2011-04-28 / 20110096693 - Method and Arrangement in a Telecommunication System | 1 |
Jan Rolf Larsson | SE | Lulea | 2010-10-28 / 20100269957 - METHOD OF PRODUCING A PAINTED STEEL SHAFT PRODUCT OF HIGH STRENGTH | 1 |
Jan-Inge Larsson | SE | Veberod | 2009-09-24 / 20090235477 - INTERDENTAL BRUSH | 1 |
Lars-Erik Larsson | SE | Nora | 2016-04-28 / 20160115751 - DRILLING RIG ROD HANDLING APPARATUS | 3 |
Jan Larsson | SE | Vasteras | 2012-08-16 / 20120204674 - HARMONIC MOTOR, DRIVE ASSEMBLY, INDUSTRIAL ROBOT, ROBOT BOOM AND ROBOT JOINT | 5 |
Hans Larsson | SE | Mjolby | 2008-09-11 / 20080216350 - SHOE SYSTEM WITH A RESILIENT SHOE INSERT | 1 |
Gunnar Larsson | SE | Goteborg | 2011-01-27 / 20110022018 - METHOD OF MANUFACTURING ABSORBENT ARTICLES CONTAINING ELASTICS | 2 |
Erik Larsson | SE | Gothenburg | 2009-06-04 / 20090142759 - qPCR array with IN SITU primer synthesis | 1 |
Erik Larsson | SE | Linkoping | 2016-04-07 / 20160099760 - Uplink Transmit Diversity | 7 |
Stefan Larsson | SE | Solgarden | 2013-10-17 / 20130269344 - INDIRECTLY HEATED GAS TURBINE SYSTEM | 1 |
Fredrik Larsson | SE | Mjolby | 2008-09-11 / 20080217111 - Support Leg Turck | 1 |
Bengt I. Larsson | SE | Malmo | 2015-11-19 / 20150329349 - FUEL DISPENSER SYSTEM WITH SEALED PARTITION PART | 11 |
Erik Larsson | SE | Halanda | 2010-12-30 / 20100326409 - CRANK CASE VENTILATION | 1 |
Mats Larsson | SE | Karlskoga | 2014-11-06 / 20140326824 - DETERMINATION OF ANGLE OF INCIDENCE | 1 |
Håkan Larsson | SE | Kavlinge | 2011-02-17 / 20110036549 - Plate Heat Exchanger | 6 |
Elin Larsson | SE | Goteborg | 2012-07-26 / 20120188551 - SENSOR USING LOCALIZED SURFACE PLASMON RESONANCE (LSPR) | 1 |
Nicholas B. Larsson | US | Blomkest | 2016-03-31 / 20160091682 - STACKABLE HYBRID NETWORK INTERFACE DEVICE | 2 |
Johan Larsson | SE | Uppsala | 2013-07-04 / 20130169194 - CYCLOTRON ACTUATOR USING A SHAPE MEMORY ALLOY | 1 |
Michael Larsson | SE | Goteborg | 2016-04-14 / 20160103008 - GUIDED WAVE RADAR LEVEL GAUGE SYSTEM WITH REDUCED END OF PROBE REFLECTION | 2 |
Hans-Gunnar Larsson | SE | Vasteras | 2014-01-23 / 20140020590 - METHOD OF PRODUCING WARHEADS CONTAINING EXPLOSIVES | 1 |
Pontus Larsson | SE | Molndal | 2013-07-04 / 20130169425 - BLIND SPOT WARNING DEVICE AND BLIND SPOT WARNING SYSTEM | 1 |
Daniel Larsson | SE | Solna | 2016-03-17 / 20160081045 - METHODS OF PROVIDING POWER HEADROOM REPORTS ARRANGED IN ORDER OF COMPONENT CARRIER INDICES AND RELATED WIRELESS TERMINALS AND BASE STATIONS | 54 |
Pia Larsson | SE | Goteborg | 2014-02-20 / 20140051716 - COMPOUNDS AND METHODS FOR IMPROVING IMPAIRED ENDOGENOUS FIBRINOLYSIS USING HISTONE DEACETYLASE INHIBITORS | 1 |
Gen Larsson | SE | Lidingo | 2010-02-04 / 20100028941 - Method for Multiparallel Construction of Host/Vector-Systems for Expression of Proteins | 2 |
Tony Larsson | SE | Upplands Vasby | 2015-10-22 / 20150301981 - PRE-BUFFERING OF CONTENT DATA ITEMS TO BE RENDERED AT A MOBILE TERMINAL | 16 |
Torgny Larsson | SE | Virsbo | 2013-01-10 / 20130009396 - Connection Between Two Pipe Parts | 1 |
Per-Erik Larsson | SE | Orebro | 2011-09-15 / 20110220419 - ACCUMULATOR MEMBRANE UNIT, METHOD FOR PRODUCTION THEREOF AND ROCK DRILLING MACHINE INCLUDING SUCH AN ACCUMULATOR MEMBRANE UNIT | 2 |
Staffan Larsson | SE | Uppsala | 2014-09-18 / 20140274137 - Methods and Devices for Providing, Receiving or Managing Maps | 2 |
Thomas Larsson | SE | Virsbo | 2013-01-10 / 20130009396 - Connection Between Two Pipe Parts | 1 |
Daniel Larsson | SE | Stockholm | 2016-05-19 / 20160142919 - Method and Network Node for Providing Radio Resources for Radio Communication in a Cellular Network | 21 |
Mikael Larsson | SE | Flyinge | 2013-01-31 / 20130030744 - MONITORING SYSTEM FOR A PACKAGING SYSTEM | 1 |
Gustav Karl Larsson | US | Sunnyvale | 2013-07-18 / 20130182585 - LINK MANAGEMENT SYSTEMS AND METHODS FOR MULTI-STAGE, HIGH-SPEED SYSTEMS | 3 |
Lennart Larsson | SE | Malmo | 2015-09-10 / 20150251825 - Sealing Membrane with Pull-Tab | 5 |
Bengt Ingemar Larsson | SE | Skivarp | 2013-10-31 / 20130284300 - FUEL DISPENSING UNIT COMPRISING A LOCKING MEMBER FOR RETAINING A FUEL CONDUIT IN A LOCKED POSITION | 4 |
Henric Larsson | US | Oceanside | 2011-09-01 / 20110209616 - Aerosol Particle Collection | 1 |
Joergen Larsson | SE | Linkoeping | 2015-10-22 / 20150302827 - FLEXIBLE DISPLAY SYSTEM | 1 |
Bengt Ingemar Larsson | SE | Tingarod | 2014-07-17 / 20140196443 - FUEL DISPENSING SYSTEM WITH HEATING SYSTEM | 5 |
Erik Larsson | SE | Uppsala | 2016-05-12 / 20160135210 - TRANSMISSION MODE SELECTION AND DOWNLINK SCHEDULING USING PRIMARY AND DEDICATED PILOT SIGNALS | 37 |
Claes Larsson | SE | Bjarred | 2013-07-18 / 20130180911 - SCREEN MEDIA ELEMENT HAVING CERAMIC SECTIONS WITH APERTURES | 1 |
Alexander Larsson | SE | Hagersten | 2013-05-09 / 20130113833 - METHOD AND SYSTEM FOR RENDERING IMAGES IN A REMOTE WEB BROWSER | 1 |
Johan Larsson | SE | Gavle | 2014-12-25 / 20140377486 - COATING COMPOSITION, A METHOD FOR COATING A SUBSTRATE, A COATED SUBSTRATE, A PACKAGING MATERIAL AND A LIQUID PACKAGE | 3 |
Ingemar Larsson | SE | Fjallbacka | 2012-05-10 / 20120112422 - STRIP SPLICING | 1 |
Helena Larsson | SE | Kungalv | 2009-02-12 / 20090039060 - Lasercutting With Scanner | 1 |
Mikael Larsson | SE | Mölndal | 2009-07-23 / 20090184480 - INFORMATION BASED CONTROLLING OF CHASSIS HEIGHT OF A VEHICLE | 1 |
Jörgen Larsson | SE | Timmele | 2009-07-02 / 20090169339 - Placing Device Building System and Method of Constructing a Multi-Storey Building | 1 |
Oscar Larsson | SE | Norrköping | 2009-12-10 / 20090303041 - Device for integrating and indicating a parameter over time | 1 |
Thomas Larsson | SE | Kungsör | 2009-12-10 / 20090302602 - METHOD AND ARRANGEMENT FOR CONNECTING PIPE TO CONNECTING PIECE, AND PIPE CONNECTION | 1 |
Daniel Larsson | SE | Uppsala | 2012-08-02 / 20120195395 - EVM Pooling for Multi-Standard and Multi-Carrier Systems | 1 |
Lars Larsson | US | Palm Springs | 2012-08-02 / 20120196727 - Adjustable exercise apparatus simulating a kayak | 2 |
Torbjoern Larsson | SE | Goeteborg | 2013-09-05 / 20130229049 - ELECTRICAL SYSTEM FOR A VEHICLE WITH START/STOP | 4 |
Tony Larsson | SE | Upplands Väsby | 2009-07-02 / 20090168696 - METHOD AND ARRANGEMENT FOR ESTABLISHING A COMMUNICATION SESSION FOR MULTIMEDIA | 1 |
Johan Larsson | SE | Stenungsund | 2012-11-29 / 20120301755 - APPARATUS AND METHOD FOR CONTROLLING THE TEMPERATURE OF A BATTERY IN A HYBRID ELECTRIC VEHICLE | 2 |
Johan Larsson | SE | Arjang | 2013-10-10 / 20130264818 - BOLTLESS LOCKING ARRANGEMENT | 4 |
Anita Larsson | SE | Uppsala | 2014-10-30 / 20140322826 - METHOD FOR DETECTION OF BINDING | 4 |
Erik Larsson | SE | Uppsala | 2016-05-12 / 20160135210 - TRANSMISSION MODE SELECTION AND DOWNLINK SCHEDULING USING PRIMARY AND DEDICATED PILOT SIGNALS | 37 |
Rolf Larsson | SE | Uppsala | 2014-12-18 / 20140370528 - Proteasome Deubiquinating Inhibitor Screening | 7 |
Sture Larsson | SE | Uppsala | 2014-05-15 / 20140135476 - CHROMATOGRAPHY LIGAND COMPRISING DOMAIN C FROM STAPHYLOCOCCUS AUREUS PROTEIN A FOR ANTIBODY ISOLATION | 3 |
Torbjorn Larsson | SE | Gothenburg | 2015-07-16 / 20150198669 - METHOD FOR PERFORMING A DIAGNOSTIC TEST OF AN ALTERNATOR IN A VEHICLE, TEST ARRANGEMENT AND VEHICLE | 1 |
Pontus Larsson | SE | Uppsala | 2014-11-13 / 20140335536 - VACCINE FOR THE TREATMENT OF MYCOBATERIUM RELATED DISORDERS | 2 |
Leif Larsson | SE | Tumba | 2014-01-16 / 20140016735 - SPACER AND A FUEL UNIT FOR A NUCLEAR PLANT | 2 |
Morgan Larsson | SE | Västra Frölunda | 2010-01-14 / 20100007062 - METHOD AND DEVICE FOR PRODUCING THREE-DIMENSIONAL OBJECTS | 1 |
Lars-Göran Larsson | SE | Vallentuna | 2012-12-20 / 20120323753 - CLEARING SYSTEM | 1 |
Leif Larsson | SE | Vasteras | 2008-10-30 / 20080267339 - Spacer and a Fuel Unit for a Nuclear Plant | 2 |
Alf Larsson | SE | Karlskoga | 2009-11-12 / 20090280380 - PROTON CONDUCTING MEMBRANE FOR A FUEL CELL OR A REACTOR BASED ON FUEL CELL TECHNOLOGY | 3 |
Christer Larsson | ES | Gallstad | 2009-01-08 / 20090010607 - Rack for optical distribution frame | 1 |
Mats Larsson | CH | Baden-Dattwil | 2015-07-16 / 20150199842 - VISUALIZATION OF THREE-DIMENSIONAL POWER DISTRIBUTION DATA | 9 |
Anders Larsson | SE | Helsingborg | 2014-02-06 / 20140034427 - Drum Brake Assembly And Wear Monitoring Device For Such An Assembly | 3 |
Håkan Larsson | SE | Kavlinge | 2011-02-17 / 20110036549 - Plate Heat Exchanger | 6 |
Kåre Larsson | SE | Bjarred | 2013-09-12 / 20130236443 - SURFACE PROTECTION OF EXPOSED BIOLOGICAL TISSUES | 3 |
Ove Larsson | SE | Vastra Frolunda | 2015-10-08 / 20150283684 - Torque wrench | 2 |
Johan Teodor Larsson | SE | Linkoping | 2013-12-19 / 20130335627 - CUSTOMIZATION OF MULTIMEDIA | 1 |
Per Olov Larsson | GB | London | 2016-02-25 / 20160055017 - APPLICATION PUBLISHING USING MEMORY STATE SHARING | 3 |
Linus Larsson | SE | Goteborg | 2015-10-08 / 20150283684 - Torque wrench | 2 |
Bo Larsson | SE | Malmö | 2011-04-21 / 20110091112 - Methods, Systems and Computer Program Products for Identifying Descriptors for an Image | 1 |
Håkan Larsson | SE | Kavlinge | 2011-02-17 / 20110036549 - Plate Heat Exchanger | 2 |
Kåre Larsson | SE | Bjarred | 2010-10-14 / 20100260822 - SURFACE PROTECTION OF EXPOSED BIOLOGICAL TISSUES | 1 |
Anders Larsson | SE | Akersberga | 2013-08-08 / 20130200304 - ACTIVE OXYGEN SOURCE | 1 |
Malin Larsson | SE | Malmö | 2016-05-12 / 20160135036 - DYNAMIC USER RECOMMENDATIONS FOR BAN ENABLED MEDIA EXPERIENCES | 2 |
Kåre Larsson | SE | Bjarred | 2013-09-12 / 20130236443 - SURFACE PROTECTION OF EXPOSED BIOLOGICAL TISSUES | 3 |
Hakan Larsson | SE | Lund | 2011-12-15 / 20110303595 - DRAIN AND INLET MEMBER FOR DRAIN | 1 |
Jonas Larsson | SE | Lund | 2011-12-15 / 20110305675 - METHODS FOR EXPANSION OF HEMATOPOIETIC STEM AND PROGENITOR CELLS | 1 |
Olof Larsson | SE | Upplands Vasby | 2011-12-15 / 20110306007 - DENTAL INSTRUMENT, SYSTEM AND METHOD | 1 |
Mats Larsson | CH | Baden-Daettwil | 2011-08-18 / 20110202191 - ENERGY GENERATING SYSTEM AND CONTROL THEREOF | 2 |
Ulf G. Larsson | SE | Sodertalje | 2012-04-26 / 20120101274 - Process For Preparing [1S-[1-alpha, 2-alpha, 3-beta (1S*,2R*) 5-beta]]-3-[7-[2-(3,4-difluorophenyl)-cyclopropylamino]-5-(propylthio)-3H- -1,2,3-triazolo [4,5-d] pyrimidin-3-yl]-5-(2-hydroxyethoxy) cyclopentane-1,2-diol And To Its Intermediates | 2 |
Lars G. Larsson | SE | Saro | 2009-10-08 / 20090250231 - Equipment for use in rescue service for making holes in roof etc by cutting | 1 |
Mats Larsson | CH | Daettwil | 2011-02-10 / 20110035067 - SYSTEM AND METHOD FOR CONTROLLING A DISTRIBUTION OF ELECTRICAL POWER | 1 |
Mats Larsson | SE | Kavlinge | 2010-09-30 / 20100245240 - Electronic Device with a Display Unit Being Movable in Relation to a Base Unit | 1 |
Daniel Larsson | DE | Solna | 2013-04-18 / 20130095816 - METHOD AND DEVICE FOR DETERMINING A NUMBER OF MIMO LAYERS | 1 |
Sune Larsson | SE | Svanesund | 2016-03-03 / 20160059440 - EQUIPMENT AND PROCESS FOR PRODUCING POLYMER PELLETS | 3 |
Bjorn Larsson | SE | Billdal | 2016-04-28 / 20160113448 - DISPENSER FOR STORING AND DISPENSING HYGIENE PRODUCTS | 18 |
Marita Larsson | CH | St. Gallen | 2010-04-15 / 20100094324 - LANCING DEVICE | 1 |
Per-Erik Larsson | SE | Lulea | 2012-05-31 / 20120137160 - CERTIFIED GENERIC DATA PROCESSING COMPONENT FOR CRITICAL TASK | 1 |
Mikael Larsson | SE | Nodinge | 2010-10-21 / 20100263950 - COUPLING AND SYNCHRONIZING DEVICE FOR ELECTRIC DRIVE MODULES | 1 |
Bo Larsson | SE | Malmö | 2015-05-28 / 20150146072 - IMAGE FOCUSING | 12 |
Bengt I. Larsson | SE | Skivarp | 2016-03-24 / 20160083243 - Fuel Blending Hose and Fuel Dispensing Unit | 16 |
Staffan Larsson | SE | Goteborg | 2015-10-08 / 20150283643 - Method and Component | 6 |
Peter Christopher Larsson | US | San Diego | 2015-07-23 / 20150205309 - Managing The Effectiveness Of Repairs In Refrigeration Assets | 1 |
Hans F. Larsson | SE | Vastra Frolunda | 2013-01-10 / 20130011274 - COMPRESSED AIR SUPPLY FOR THE OPERATION OF MOVING BED FILTERS | 2 |
Ake Larsson | SE | Jarfalla | 2016-01-07 / 20160001024 - BREATHING APPARATUS WITH VENTILATION STRATEGY TOOL | 8 |
Jörgen Larsson | SE | Linkoping | 2014-03-06 / 20140063037 - FLIGHT DATA DISPLAY | 1 |
Björn Larsson | SE | Billdal | 2013-11-07 / 20130292402 - TISSUE PAPER SYSTEMS | 5 |
Morgan Larsson | NO | Asker | 2015-09-03 / 20150248435 - SHADOWING LOCAL ON-PREMISES INFORMATION TO A CLOUD-BASED COMPUTING SYSTEM | 2 |
David Larsson | US | Apex | 2011-07-28 / 20110181044 - METHOD AND APPARATUS FOR IMPROVING POWER GENERATION IN A THERMAL POWER PLANT | 1 |
Lars Anders Larsson | SE | Malmo | 2016-02-25 / 20160054867 - METHOD OF DISPLAYING SCREEN IN ELECTRONIC DEVICE, AND ELECTRONIC DEVICE THEREFOR | 2 |
Matilda Larsson | SE | Huddinge | 2012-11-29 / 20120302870 - SYSTEM TO QUANTIFY AND VISUALIZE VENTRICULAR ROTATION PATTERN OF THE HEART | 1 |
Mats Larsson | SE | Helsingborg | 2011-10-20 / 20110252922 - METHOD OF PRODUCING A DIFFUSION ALLOYED IRON OR IRON-BASED POWDER, A DIFFUSION ALLOYED POWDER, A COMPOSITION INCLUDING THE DIFFUSION ALLOYED POWDER, AND A COMPACTED AND SINTERED PART PRODUCED FROM THE COMPOSITION | 3 |
Daniel Larsson | SE | Stockholm | 2016-05-19 / 20160142919 - Method and Network Node for Providing Radio Resources for Radio Communication in a Cellular Network | 21 |
Morgan Larsson | SE | Vaestra Froelunda | 2015-06-04 / 20150151490 - METHOD AND APPARATUS FOR PRODUCING THREE-DIMENSIONAL OBJECTS | 2 |
Karl Ruben F. Larsson | US | Cupertino | 2016-03-03 / 20160064141 - MAGNETICALLY DOPED ADHESIVE FOR ENHANCING MAGNETIC COUPLING | 2 |
Mats Larsson | CH | Baden | 2010-08-12 / 20100204843 - DISTRIBUTION CONTROLLER | 3 |
Mats Larsson | CH | Baden-Dattwil | 2015-07-16 / 20150199842 - VISUALIZATION OF THREE-DIMENSIONAL POWER DISTRIBUTION DATA | 9 |
Lena Larsson | SE | Vastra Frolunda | 2015-10-08 / 20150283892 - VEHICLE DRIVE TRAIN CONTROL METHOD | 11 |
Mats Larsson | SE | Malmo | 2009-12-17 / 20090309825 - USER INTERFACE, METHOD, AND COMPUTER PROGRAM FOR CONTROLLING APPARATUS, AND APPARATUS | 1 |
Mats Larsson | CH | Baden-Datwil | 2010-10-21 / 20100264897 - VOLTAGE CONTROL FOR ELECTRIC POWER SYSTEMS | 4 |
Kalle Larsson | SE | Trelleborg | 2009-02-26 / 20090050539 - Screen and Screen Element | 1 |
Jörgen Larsson | SE | Timmele | 2009-07-02 / 20090169339 - Placing Device Building System and Method of Constructing a Multi-Storey Building | 1 |
Torbjorn A. Larsson | US | San Diego | 2009-10-08 / 20090252241 - Spectral shaping for multiband OFDM transmitters with time spreading | 2 |
Anders Larsson | DK | Copenhagen | 2014-01-30 / 20140027510 - Systems and Methods of Managing Inventory in a Medical Facility | 2 |
Magnus Larsson | SE | Sollentuna | 2014-10-09 / 20140301355 - Signalling Mechanism for Multi-Tiered Intra-Band Carrier Aggregation | 8 |
Daniel Larsson | SE | Vallentuna | 2016-05-19 / 20160143014 - CHANNEL ACCESS IN LISTEN BEFORE TALK SYSTEMS | 70 |
Bjorn Larsson | SE | Billdal | 2016-04-28 / 20160113448 - DISPENSER FOR STORING AND DISPENSING HYGIENE PRODUCTS | 18 |
Anders Larsson | SE | Malmo | 2014-10-09 / 20140304651 - ELECTRONIC DEVICE AND METHOD OF DISPLAYING INFORMATION IN RESPONSE TO A GESTURE | 2 |
Anders Larsson | SE | Uppsala | 2013-02-14 / 20130040849 - METHOD AND KIT FOR CANCER DIAGNOSIS | 8 |
Anders Larsson | SE | Stockholm | 2014-08-07 / 20140217747 - ANTI-ICING SYSTEM FOR REDUCING THE ICING OF A VEHICLE ON TRACKS AND METHOD OF REDUCING ICING OF A VEHICLE ON TRACKS | 3 |
Lena Larsson | SE | Vastra Frolunda | 2015-10-08 / 20150283892 - VEHICLE DRIVE TRAIN CONTROL METHOD | 11 |
Anders Larsson | PH | Makati | 2009-12-03 / 20090298501 - Method for avoiding interruptions of push to talk services | 1 |
Olle Larsson | CH | Zug | 2010-01-14 / 20100010286 - Disposal Device and Method of Disposal for Body Fluid Collecting Bags | 1 |
Andreas Larsson | SE | Kalmar | 2015-10-29 / 20150311789 - Determination of Phase Offsets in a Power Supply System Having Multiple Switching Converters | 1 |
Roland Larsson | US | Apex | 2012-11-01 / 20120272602 - BREAK-AWAY MULTI-PURPOSE FLOORING TRANSITION | 2 |
Tommy Larsson | SE | Ludvika | 2014-02-06 / 20140034464 - Tap Changer | 4 |
Peter Larsson | SE | Solna | 2015-08-27 / 20150244449 - INSERTION OF SIGNALS BY AN INTERMEDIATE DEVICE | 55 |
Bruce O. Larsson | US | Dudley | 2014-05-01 / 20140119846 - WRENCH-BASED TAP AND DIE HOLDERS | 1 |
Anders Larsson | SE | Goteborg | 2009-11-12 / 20090281685 - METHOD FOR ARRANGEMENT FOR CALIBRATING A SYSTEM FOR CONTROLLING THRUST AND STEERING IN A WATERCRAFT | 2 |
Johan Larsson | US | 2014-03-27 / 20140083090 - SEA-WAVE POWER GENERATION PLANT | 1 | |
Anders Larsson | SE | Uppsala | 2013-02-14 / 20130040849 - METHOD AND KIT FOR CANCER DIAGNOSIS | 8 |
Anders Larsson | SE | Sodertalje | 2008-12-04 / 20080295799 - Arrangement and Method for a Combustion Engine | 1 |
Bertil Larsson | SE | Vasteras | 2013-05-16 / 20130119819 - Rotor Disk With Spoke Openings | 1 |
Jonas Larsson | SE | Vasteras | 2013-05-16 / 20130119819 - Rotor Disk With Spoke Openings | 1 |
Lennart Larsson | SE | Lund | 2013-03-21 / 20130071639 - COVERING AND METHOD FOR TRAPPING OF EMISSIONS FROM SURFACES | 1 |
Andreas Larsson | SE | Fagersta | 2012-01-12 / 20120009039 - Insert for Milling of Cast Iron | 8 |
Anders Larsson | SE | Torna Hallestad | 2008-11-13 / 20080278099 - Controlling electroluminescent panels in response to cumulative utilization | 1 |
Anders Larsson | SE | Linkoping | 2008-10-16 / 20080255956 - System and Method for Creating Personal Websites | 1 |
Anders Larsson | SE | Linghem | 2008-09-04 / 20080216023 - Method and a system for creating a website guide | 1 |
Anders Larsson | MY | Kuala Lampur | 2014-04-17 / 20140106771 - AVOIDING DISTURBANCE OF RESTRICTED LOCAL ACCESS POINTS IN A WIRELESS NETWORK | 1 |
Bengt I. Larsson | US | 2011-05-05 / 20110100115 - FLUID METER WITH PRESSURE PROTECTION | 1 | |
Daniel Mattias Larsson | US | San Jose | 2009-03-19 / 20090077198 - DYNAMICALLY CONSTRAINED, FORWARD SCHEDULING OVER UNCERTAIN WORKLOADS | 1 |
Michael Larsson | CH | Zug | 2014-06-19 / 20140171917 - Breastpump with Letdown Feature | 16 |
Camilla Larsson | SE | Uppsala | 2015-08-06 / 20150219597 - METHOD OF MANUFACTURING AN ELECTROPHORESIS CASSETTE | 7 |
Kjell Larsson | SE | Uppsala | 2015-05-21 / 20150136605 - ELECTROPHORESIS TRAY AND A METHOD OF RUNNING AN ELECTROPHORESIS EXPERIMENT | 1 |
Nicholas B. Larsson | US | Blornkest | 2014-04-03 / 20140094069 - TELECOMMUNICATIONS WIRING TERMINATION BLOCK | 1 |
Mikael M Larsson | SE | Eslov | 2016-02-25 / 20160051987 - CRUSHER INSPECTION HATCH | 10 |
Uno Larsson | SE | Svenljunga | 2011-04-07 / 20110079593 - FOOD CONTAINER AND METHOD FOR PRODUCING FOOD CONTAINER | 1 |
Kjell Larsson | SE | Hisings Backa | 2010-07-29 / 20100188160 - OSCILLATOR ARRANGEMENT | 1 |
Bjorn Larsson | SE | Goteborg | 2016-05-05 / 20160120377 - STACK OF WEB MATERIAL FOR HYGIENE PRODUCTS | 10 |
Kjell Larsson | SE | Lulea | 2016-04-21 / 20160113010 - METHOD AND NETWORK NODE FOR DETERMINING CHANNEL STATE INFORMATION IN AN UPCOMING TIME SLOT | 11 |
Lars-Goran Larsson | SE | Vallentuna | 2012-12-20 / 20120323753 - CLEARING SYSTEM | 2 |
Mikael Larsson | SE | Noedinge | 2012-06-07 / 20120142474 - DRIVE UNIT, PARTICULARLY REAR DRIVE UNIT, FOR AN ALL-WHEEL DRIVE OF A MOTOR VEHICLE | 1 |
Mats I. Larsson | US | Sunnyvale | 2013-02-14 / 20130037104 - NANOPARTICLE ENHANCED SOLAR-CELL ABSORBER EFFICIENCY | 1 |
Ake Larsson | SE | Jarfalla | 2016-01-07 / 20160001024 - BREATHING APPARATUS WITH VENTILATION STRATEGY TOOL | 8 |
Mikael Larsson | SE | Moindal | 2014-08-21 / 20140230647 - METHOD OF CONTROLLING A WET SCRUBBER USEFUL FOR REMOVING SULPHUR DIOXIDE FROM A PROCESS GAS | 1 |
Michael Larsson | SE | Vanersborg | 2010-09-16 / 20100232874 - ALL WHEEL DRIVE SYSTEM | 1 |
Garrett Larsson | US | San Mateo | 2014-01-16 / 20140020096 - SYSTEM TO PROFILE APPLICATION SOFTWARE | 1 |
Berit Larsson | SE | Danderyd | 2010-04-22 / 20100099994 - IMPLANTABLE HEART ANALYZING DEVICE, SYSTEM AND METHOD | 1 |
Peter Larsson | US | Annapolis | 2014-11-13 / 20140334635 - 7GHz Professional Wireless Microphone System | 1 |
Mikael Larsson | SE | Jonkoping | 2014-10-30 / 20140324194 - Method for Communicating Data Between A Control System of a Power Tool and a Computing Device | 7 |
Svante Larsson | SE | Umea | 2013-08-29 / 20130220485 - CUTTING TOOL | 1 |
Joakim Larsson | SE | Halmstad | 2010-04-08 / 20100086438 - STERILIZATION DEVICE, STERILIZATION PROCESS, VAPORIZING SYSTEM AND USE OF SUCH VAPORIZING SYSTEM | 1 |
Christopher B. Larsson | US | Hurley | 2013-06-27 / 20130167112 - CROSS-PLATFORM VIRTUAL MACHINE AND METHOD | 2 |
Bjorn W. Larsson | US | Sonora | 2009-09-17 / 20090231147 - SYSTEM TO MONITOR A CONSUMABLE PART AND METHOD TO MONITOR PERFORMANCE LIFE AND PREDICT MAINTENANCE THEREOF | 1 |
Caroline Larsson | SE | Nyhamnslage | 2016-04-28 / 20160114392 - IRON-BASED POWDER AND COMPOSITION THEREOF | 2 |
Tomas Larsson | SE | Kungsbacka | 2013-09-19 / 20130239517 - ARRANGEMENT FOR MANUFACTURING OF PORTION PACKETS | 2 |
Marita Larsson | US | Waltham | 2010-01-07 / 20100003217 - Compounds and Pharmaceutical Compositions for the Treatment of Viral Infections | 1 |
Terrance S. Larsson | US | Sherwood | 2010-01-21 / 20100013796 - LIGHT SENSITIVE DISPLAY WITH OBJECT DETECTION CALIBRATION | 2 |
Magnus Larsson | US | Poughkeepsie | 2010-04-01 / 20100082812 - RAPID RESOURCE PROVISIONING WITH AUTOMATED THROTTLING | 1 |
Josef Larsson | US | Des Moines | 2010-09-30 / 20100250649 - Scope-Based Extensibility for Control Surfaces | 2 |
Natalia Lartchouk | US | Wayland | 2009-05-28 / 20090136961 - Novel compositions and methods for identification, assessment, prevention, and therapy of ovarian cancer | 1 |
Peter Larter | GB | Bromsgrove | 2014-04-10 / 20140098210 - APPARATUS AND METHOD | 1 |
Stephen Larter | CA | Calgary | 2010-08-26 / 20100212893 - CATALYTIC DOWN-HOLE UPGRADING OF HEAVY OIL AND OIL SAND BITUMENS | 1 |
Stephen Richard Larter | CA | Calgary | 2014-07-31 / 20140208826 - Reservoir Sampling Tools and Methods | 7 |
Keith Larter | US | Georgetown | 2014-02-20 / 20140050119 - SYSTEM AND METHOD FOR ELECTRICAL DEVICE CONTROL | 1 |
Rémy Lartia | FR | Voiron | 2009-12-24 / 20090314990 - NOVEL TRIPHENYLAMINE DERIVATIVES USEFUL AS FLUOROPHORES IN BIOLOGY, IN PARTICULAR FOR TWO-PHOTON MICROSCOPY | 1 |
Rémy Lartia | FR | Voiron | 2009-12-24 / 20090314990 - NOVEL TRIPHENYLAMINE DERIVATIVES USEFUL AS FLUOROPHORES IN BIOLOGY, IN PARTICULAR FOR TWO-PHOTON MICROSCOPY | 1 |
Rémy Lartia | FR | Voiron | 2009-12-24 / 20090314990 - NOVEL TRIPHENYLAMINE DERIVATIVES USEFUL AS FLUOROPHORES IN BIOLOGY, IN PARTICULAR FOR TWO-PHOTON MICROSCOPY | 1 |
Rémy Lartia | FR | Voiron | 2009-12-24 / 20090314990 - NOVEL TRIPHENYLAMINE DERIVATIVES USEFUL AS FLUOROPHORES IN BIOLOGY, IN PARTICULAR FOR TWO-PHOTON MICROSCOPY | 1 |
Remi Lartia | FR | Voiron | 2011-05-12 / 20110112285 - NOVEL METHOD FOR PREPARING OLIGONUCLEOTIDES COMPRISING A 5-PHOSPHATE MONOESTER OR 5-THIOPHOSPHATE MONOESTER END | 1 |
Carole Lartigue | FR | Des Arenes Bayonne | 2011-03-03 / 20110053273 - METHODS FOR CLONING AND MANIPULATING GENOMES | 2 |
Jean-Francois Lartigue | FR | La Tronche | 2009-07-30 / 20090188171 - POLYMETAL POWDER AND SINTERED COMPONENT PRODUCED BASED ON THIS POWDER | 1 |
Thibault Lartigue | FR | Paris | 2011-12-29 / 20110320152 - INTEGRATED CLOSED-LOOP HYBRIDIZATION DEVICE BUILT IN BY CONSTRUCTION | 1 |
Pauline Lartigue | FR | Argenton | 2014-12-25 / 20140373798 - HEAT EXCHANGER, IN PARTICULAR FOR A VEHICLE COMPRISING A HEAT ENGINE | 1 |
Lydia Lartigue | FR | Bordeaux | 2012-02-16 / 20120040389 - METHOD FOR DEMONSTRATION OF A MOLECULAR EVENT IN A CELL BY MEANS OF FLUORESCENT MARKER PROTEINS | 1 |
Carole Lartigue | US | Gaithersburg | 2011-02-24 / 20110045592 - METHODS OF GENOME INSTALLATION IN A RECIPIENT HOST CELL | 1 |
Norbert Lartigue | FR | Moissy-Cramayel Cedex | 2015-10-08 / 20150285262 - DEVICE AND METHOD FOR CONTROLLING THE PITCH OF BLADES | 1 |
Norbert Lartigue | FR | Le Plessis-Robinson | 2011-09-15 / 20110220043 - CYLINDER HEAD OF AN INTERNAL COMBUSTION ENGINE COMPRISING A COOLING CIRCUIT | 1 |
Catherine Larue | FR | Vaucresson | 2012-02-02 / 20120028824 - METHOD FOR THE IN VITRO DIAGNOSIS OF STROKE | 2 |
John Larue | US | 2010-11-25 / 20100297290 - ALTERNATIVE METHOD FOR RUM PRODUCTION REDUCING POLLUTION AND BYPASSING UPGRADE OF POLLUTION CONTROLS | 1 | |
Albert D Larue | US | Uniontown | 2014-12-25 / 20140373763 - BURNER WITH FLAME STABILIZING/CENTER AIR JET DEVICE FOR LOW QUALITY FUEL | 4 |
John A. Larue | US | Bel Air | 2010-10-28 / 20100272891 - APPARATUS AND METHOD FOR THE PRODUCTION OF CARBON NANOTUBES ON A CONTINUOUSLY MOVING SUBSTRATE | 3 |
Anthony Larue | FR | Chaville | 2013-03-21 / 20130073249 - SYSTEM AND METHOD FOR REAL-TIME DETERMINATION OF A REPETITIVE MOVEMENT PARAMETER | 3 |
Jacob L. Larue | US | Omaha | 2014-02-20 / 20140047766 - CONTROLLED ON-DEMAND IRRIGATION SYSTEM | 2 |
Monica Larue | US | Allentown | 2011-04-14 / 20110087573 - METHOD AND SYSTEM FOR DYNAMICALLY PRODUCING DETAILED TRADE PAYMENT EXPERIENCE FOR ENHANCING CREDIT EVALUATION | 1 |
Marie-Nathalie Larue | FR | Corronsac | 2015-12-03 / 20150346004 - METHOD FOR ADAPTING A DETECTION THRESHOLD OF A CRANKSHAFT SENSOR FOR MOTOR VEHICLE | 2 |
Paul Larue | US | Chesterfield | 2013-09-12 / 20130236354 - Constricting Pressure Valve Apparatus and Methods Thereof | 1 |
Monty Todd Larue | US | Redmond | 2011-11-03 / 20110270824 - COLLABORATIVE SEARCH AND SHARE | 1 |
Gerald D. Larue | US | Torrance | 2014-09-11 / 20140255157 - ANISOTROPIC BEARING SUPPORTS FOR TURBOCHARGERS | 6 |
Donald Bruce Larue | US | Manchester | 2012-11-22 / 20120291518 - Systems and Methods for Remote Testing of a Flow Switch | 1 |
Geoffrey Patrick Larue | US | Gulf Breeze | 2013-06-13 / 20130146539 - MEMBRANE WITH LOCALIZED ASYMMETRIES | 1 |
Denise Larue | US | Hanahan | 2016-01-21 / 20160019454 - J Patrick's Ladder A Machine Learning Enhancement Tool | 1 |
Mark C. Larue | US | Leander | 2014-10-30 / 20140318361 - CARTRIDGE GAS ACTUATED FIREARM HAVING BOLT CARRIER/GAS KEY SEAL | 17 |
Catherine French Larue | FR | Vaucresson | 2009-08-06 / 20090197344 - METHOD FOR THE PREDICTION OF VASCULAR EVENTS AND THE DIAGNOSIS OF ACUTE CORONARY SYNDROME | 1 |
Helene Larue | CA | Quebec | 2009-01-29 / 20090028888 - Cancer Antigen Mage-A9 and Uses Thereof | 1 |
Mark C. Larue | US | Leander | 2014-10-30 / 20140318361 - CARTRIDGE GAS ACTUATED FIREARM HAVING BOLT CARRIER/GAS KEY SEAL | 17 |
James S. Larue | US | Fergus Falls | 2012-09-20 / 20120233843 - MERCHANDISING SYSTEM AND METHOD OF ASSEMBLY | 4 |
Rebecca St. Claire Larue | US | Lauderdale | 2010-09-30 / 20100251395 - USING CYTOSINE DEAMINASES TO DIMINISH RETROELEMENT TRANSFER FROM PIGS TO HUMANS | 2 |
Jon Michael Larue | US | Lake Villa | 2010-08-26 / 20100212827 - Method Of Forming A Container Having An Internal Reservoir | 1 |
Diane K. Larue | US | San Diego | 2016-04-14 / 20160102862 - METHOD FOR MANUFACTURING A MACHINE COMPONENT | 1 |
Geoffrey P. Larue | US | Gulf Breeze | 2015-09-03 / 20150246322 - POROUS POLYMERIC MEMBRANE WITH HIGH VOID VOLUME | 1 |
Robert Larue | US | Binghamton | 2015-11-05 / 20150314150 - Safety Restraint System for an Elevated Worker's Bucket | 1 |
Daniel V. Larue | US | Newbury | 2012-04-05 / 20120080840 - SYSTEM AND METHOD FOR PLAYING A GAME BASED ON A COIN TOSS | 2 |
Kevin Larue | FR | Tours | 2015-01-29 / 20150032285 - Cooling System Management | 2 |
Elizabeth L. Larue | US | Rochester Hills | 2010-05-13 / 20100117385 - Underride Compatibility Apparatus for a Vehicle | 1 |
Matthew Larue | US | Tampa | 2008-09-18 / 20080224409 - Disc-Throwing Target Game | 1 |
Paul Larue | US | Brentwood | 2008-09-18 / 20080228507 - RETAIL PUBLISHING SYSTEM, METHOD, PROGRAM, AND APPARATUS | 1 |
Francois Larue | FR | Neauphle Le Chateau | 2010-05-13 / 20100116940 - METHOD AND DEVICE FOR DETECTING RIME AND/OR RIME CONDITIONS ON A FLYING AIRCRAFT | 1 |
Lionel Larue | FR | Bures Sur Yvette | 2015-01-08 / 20150010485 - USE OF MICRORNA MOLECULES TO INFLUENCE SKIN PIGMENTATION | 1 |
Lane R. Larue | US | Seattle | 2015-03-26 / 20150089616 - TECHNIQUES FOR USER AUTHENTICATION | 1 |
Kristen Larue | US | Tustin | 2008-09-11 / 20080221418 - NONINVASIVE MULTI-PARAMETER PATIENT MONITOR | 1 |
Michelle Larue | US | Roswell | / - | 1 |
David K. Larue | US | Newport | 2010-01-14 / 20100010796 - LOCATION OF BYPASSED HYDROCARBONS | 1 |
John Philip Larue | US | Little Compton | 2012-08-16 / 20120205866 - MANIPULATIVE PLAY PANEL | 1 |
James Larue | US | Hanahan | 2016-01-21 / 20160019454 - J Patrick's Ladder A Machine Learning Enhancement Tool | 1 |
Bruce Larue | US | Manchester | 2014-05-22 / 20140137627 - Systems and Methods for Remote Testing of a Flow Switch | 1 |
Clayton T. Larue | US | Chesterfield | 2016-04-21 / 20160108422 - HERBICIDE TOLERANCE GENES AND METHODS OF USE THEREOF | 1 |
Troy Larue | US | Dublin | 2014-06-12 / 20140161027 - Rogue Wireless Access Point Detection | 1 |
Jon M. Larue | US | Lake Villa | 2011-08-04 / 20110185779 - TOOL BOX LOCKING MECHANISIMS FOR REMOTE ACTIVATION | 1 |
Philippe Larue | FR | Charenton-Le-Pont | 2013-04-04 / 20130084778 - Process for Controlling a Lens Manufacturing Process | 1 |
George S. La Rue | US | Pullman | 2010-02-11 / 20100036211 - SYSTEMS AND METHODS FOR MEASURING PHYSIOLOGICAL PARAMETERS OF A BODY | 1 |
William W. Larue, Jr. | US | Leawood | 2013-01-17 / 20130018644 - System and Method For Controlling Granularity of Transaction Recording In Discrete Event SimulationAANM Motel; VincentAACI GrenobleAACO FRAAGP Motel; Vincent Grenoble FRAANM Bhatnagar; NeetiAACI San JoseAAST CAAACO USAAGP Bhatnagar; Neeti San Jose CA USAANM Frazier; George F.AACI LawrenceAAST KSAACO USAAGP Frazier; George F. Lawrence KS USAANM LaRue, JR.; William W.AACI LeawoodAAST KSAACO USAAGP LaRue, JR.; William W. Leawood KS US | 2 |
Claude Laruelle | FR | Villeneuve-Loubet | 2016-03-17 / 20160074463 - USE OF THE PAT NONAPEPTIDE IN THE TREATMENT AND PREVENTION OF NEURODEGENERATIVE DISEASES | 3 |
Marc Laruelle | US | Scarsdale | 2011-04-28 / 20110097274 - Carbon-11 and fluorine-18 labeled radioligands for positron emission tomography (PET) imaging for the brain serotonin transporters | 1 |
Stephane Laruelle | FR | Saveuse | 2015-11-05 / 20150315155 - FIVE-MEMBERED CYCLIC ANION USE THEREOF AS AN ELECTROLYTE | 4 |
Claude Laruelle | FR | Nice Cedex | 2011-04-28 / 20110098223 - USE OF THE PAT NANOPEPTIDE IN THE TREATMENT OF AUTOIMMUNE DISEASES | 1 |
Frederic Laruelle | US | Daly City | 2009-07-02 / 20090171749 - Method for Dynamic Advertisement Placement Based on Consumer and Response Capability Statistics | 1 |
Frederic Laruelle | FR | Antibes | 2014-10-02 / 20140297337 - COMMUNITY TRAVEL BOOKING | 1 |
Elodie Laruelle | FR | Aix Les Bains | 2015-10-15 / 20150296647 - ELECTRICAL EQUIPMENT INCLUDING A CONDUCTOR MOUNTING BETWEEN TWO CASING PORTIONS | 1 |
Marina Victorovna Larukova | RU | Moskovskaya Obl | 2008-09-04 / 20080214671 - Dicarbonic Acid Derivatives, Metastasis Inhibitors and Agents Increasing Chemotherapeutic Activity of Anti-Tumor Preparations, Method for Enhancing the Cytostatic Efficiency and Metastasis Process Inhibiting Method | 1 |
Marina Victorovna Larukova | RU | Noginsk | 2009-11-26 / 20090292018 - Dicarbonic Acid Derivatives, Metastasis Inhibitors and Agents Increasing Chemotherapeutic Activity of Anti-Tumor Preparations, Method for Enhancing the Cytostatic Efficiency and Metastasis Process Inhibiting Method | 1 |
Koldo Larumbe Fernandino | ES | Navarra | 2015-07-30 / 20150211251 - PROCESS AND UNIT FOR THE ATTACHMENT OF A WIND TURBINE'S TOWER TO A FOUNDATION AND WIND TURBINE INCORPORATING SAID UNIT | 2 |
James R. Larus | CH | Lusanne | 2015-09-17 / 20150262064 - PARALLEL DECISION TREE PROCESSOR ARCHITECTURE | 3 |
James Larus | US | Mercer Island | 2012-12-20 / 20120324196 - MEMORY MANAGER WITH ENHANCED APPLICATION METADATA | 3 |
Mark B. Larus | US | San Francisco | 2015-10-22 / 20150300832 - Hierarchy of Tools for Navigation | 3 |
Jim Larus | US | Mercer Island | 2011-11-17 / 20110283135 - MANAGING MEMORY FAULTS | 1 |
James R. Larus | US | Mercer Island | 2014-12-11 / 20140365402 - DATA CENTER SYSTEM THAT ACCOMMODATES EPISODIC COMPUTATION | 4 |
Amedeo Larussi | US | Oxnard | 2015-02-05 / 20150035696 - OPTIMIZED MONOTONIC RADIATION PATTERN FIT WITH AMBIGUITY RESOLUTION | 2 |
Joseph G. Larusso | US | Roslindale | 2012-12-27 / 20120330759 - ENERGY SYSTEMS | 1 |
Nicholas F. Larusso | US | Rochester | 2016-04-21 / 20160106709 - VITAMIN C AND CHROMIUM-FREE VITAMIN K, AND COMPOSITIONS THEREOF FOR TREATING AN NFKB-MEDIATED CONDITION OR DISEASE | 8 |
Nicholas F. Larusso | US | Rochester | 2016-04-21 / 20160106709 - VITAMIN C AND CHROMIUM-FREE VITAMIN K, AND COMPOSITIONS THEREOF FOR TREATING AN NFKB-MEDIATED CONDITION OR DISEASE | 8 |
Fridrik Larusson | US | Seattle | 2015-12-17 / 20150359708 - AFFIXED GROUPS OF PHARMACEUTICAL VIALS INCLUDING FRANGIBLE CONNECTORS | 4 |
Johann A. Larusson | US | Phoenix | 2016-05-05 / 20160127244 - SYSTEM AND METHOD FOR INCREASING DATA TRANSMISSION RATES THROUGH A CONTENT DISTRIBUTION NETWORK WITH CUSTOMIZED AGGREGATIONS | 1 |
Arnar Freyr Larusson | US | Hanover | 2015-11-12 / 20150321339 - SOFT EXOSUIT FOR ASSISTANCE WITH HUMAN MOTION | 2 |
Arnar Freyr Larusson | US | Cambridge | 2016-02-11 / 20160038083 - GARMENT INCLUDING INTEGRATED SENSOR COMPONENTS AND FEEDBACK COMPONENTS | 1 |
Johann A. Larusson | US | Waltham | 2016-03-10 / 20160071019 - NETWORK-PROBABILITY RECOMMENDATION SYSTEM | 4 |
Samuli Larvala | FI | Helsinki | 2012-11-15 / 20120291131 - Malware detection | 2 |
Joakim Larvall | SE | Goeteborg | 2014-11-13 / 20140334946 - LEAKAGE DETECTION SYSTEM AND METHOD FOR FUEL TANK SYSTEMS | 1 |
Shawn Larvenz | US | Ramona | 2016-05-05 / 20160120448 - SYSTEMS AND METHODS FOR MONITORING AND MANAGING LIFE OF A BATTERY IN AN ANALYTE SENSOR SYSTEM WORN BY A USER | 22 |
Robert S. Larvenz | US | Rohnert Park | 2010-03-25 / 20100074614 - METHOD AND APPARATUS FOR CORRECTING FAULTS IN A PASSIVE OPTICAL NETWORK | 5 |
Shawn Larvenz | US | Ramona | 2016-05-05 / 20160120448 - SYSTEMS AND METHODS FOR MONITORING AND MANAGING LIFE OF A BATTERY IN AN ANALYTE SENSOR SYSTEM WORN BY A USER | 22 |
Philippe Larvet | FR | Forges | 2009-07-09 / 20090178023 - DEVICE AND METHOD FOR BUILDING COMPILABLE AND EXECUTABLE APPLICATIONS FROM SPECIFICATIONS EXPRESSED BY CLASSES | 1 |
Philippe Larvet | FR | Lamonzie-M. | 2013-12-05 / 20130326336 - GENERATING SEMANTIC STRUCTURED DOCUMENTS FROM TEXT DOCUMENTS | 1 |
Philippe Larvet | FR | Forges Les Baines | 2010-07-15 / 20100179969 - DEVICE AND METHOD FOR AUTOMATICALLY GENERATING ONTOLOGIES FROM TERM DEFINITIONS CONTAINED INTO A DICTIONARY | 1 |
Philippe Larvet | FR | Forges Les Bains | 2012-02-23 / 20120047485 - METHOD FOR ASSISTING IN THE DEVELOPMENT OR USE OF A COMPLEX SYSTEM | 4 |
Banning Gray Lary | US | Miami | 2012-08-09 / 20120198663 - Zipper lubricating device | 6 |
Mark Lary | US | Littleton | 2014-02-13 / 20140041436 - BREATH ALCOHOL TESTER CALIBRATION STATION | 1 |
Richard Franklin Lary | US | Colorado Springs | 2012-09-20 / 20120239859 - APPLICATION PROFILING IN A DATA STORAGE ARRAY | 3 |
Todd P. Lary | US | Homestead | 2012-08-09 / 20120198663 - Zipper lubricating device | 5 |
Todd P. Lary | US | Miami | 2008-12-04 / 20080299605 - USEFUL SPECIMEN TRANSPORT APPARATUS WITH INTEGRAL CAPABILITY TO ALLOW THREE DIMENSIONAL X-RAY IMAGES | 1 |
Banning G. Lary | US | Miami | 2011-06-23 / 20110152754 - Bone graft applicator | 1 |
Richard A. Lary | US | Tualatin | 2008-10-23 / 20080263129 - Aggregating service processors as a cluster | 1 |
Atanta Lea Lary | US | Chesterfield | 2011-07-14 / 20110171217 - STABLE LIQUID ANTIBODY FORMULATION | 1 |
David M. Lary | US | Tallahassee | / - | 1 |
Jenifer E. Lary | US | Hinesburg | 2014-12-18 / 20140368292 - MICRO-ELECTRO-MECHANICAL SYSTEM (MEMS) STRUCTURE AND DESIGN STRUCTURES | 6 |
Nora Laryea | DE | Saarbruecken | 2012-02-02 / 20120029143 - SILANE COATING MATERIAL AND A PROCESS TO PRODUCE SILANE COATING | 4 |
Michael Louis Larzelere | US | Port Huron | 2015-02-12 / 20150042135 - Chair with ball-casters | 1 |
David Larzul | FR | Meyzieu | 2014-12-04 / 20140356500 - CARTRIDGE EXTRACTION DEVICE | 10 |
Allan S. Las | US | Bellevue | 2015-05-07 / 20150126569 - QUATERNARY FUNGICIDAL MIXTURE | 1 |
Ricardo Lasa | US | Tampa | 2008-12-25 / 20080319950 - SYSTEM FOR BUILDING A WEBSITE | 2 |
Andoni Aramburu Lasa | ES | Zarautz (gipuzkoa) | 2011-12-08 / 20110300783 - MACHINE FOR GRINDING DIES | 2 |
Alexis Musachio Lasa | CU | La Habana | 2008-10-16 / 20080254050 - Antiangiogenic active immunotherapy | 1 |
Iñigo Lasa | ES | Navarra | 2011-10-27 / 20110262480 - Method for producing multiple modifications in the chromosome of gram-negative bacteria and salmonella strains which are deficient in c-di-GMP synthesis obtained by said method | 1 |
Alexis Musacchio Lasa | CU | Provincia La Habana | 2009-08-27 / 20090215730 - Antineoplastic compounds and pharmaceutical compositions thereof | 1 |
Jose Maria Lasa Elexpuru | ES | Onati (gipuzkoa) | 2009-03-26 / 20090078901 - ELECTROMAGNETIC SAFETY VALVE | 1 |
Richard John Lasaga | CA | Woodbridge | 2015-03-19 / 20150076425 - Hand Tool for Removing Nails | 1 |
Adriano Lasagna | IT | Genova | 2015-02-05 / 20150036949 - FLOOD PROTECTION DEVICE FOR PREMISES | 1 |
Cesare Lasagni | IT | Reggio Emilia | 2015-12-03 / 20150351226 - PROCEDURE FOR THE MANUFACTURE AND ASSEMBLY OF ELECTRONIC BOARDS AND ELECTRONIC DEVICE THUS OBTAINABLE | 3 |
Anthony F. Lasala | US | West Hartford | 2009-12-31 / 20090321289 - GOLF CLUB PROTECTOR WITH SENSING DEVICE AND ALERT | 1 |
Donald Lasala | US | Seaford | 2016-03-31 / 20160090192 - SMART PASSENGER SERVICE UNIT | 2 |
William Kater Lasala | US | Mason | 2015-09-17 / 20150259188 - VENTED TAP DISPENSER FOR LIQUID | 3 |
Anthony Lasala | US | West Hartford | 2015-10-08 / 20150283448 - Golf Backswing Training Aid and Alert | 1 |
Gabriel Perez Lasala | US | Covington | 2009-02-05 / 20090035286 - Intracoronary, intracardiac, or intravenous infusion of a mixture of autologous bone marrow derived mononuclear cells and autologous bone marrow derived mesenchymal stem cells for utilization and rescue of infarcted myocardium | 1 |
Gabriel Parenz Lasala | US | Covington | 2009-11-19 / 20090285787 - Intracoronary, intracardia, or intravenous infusion of a mixture of autologous bone marrow derived mononuclear cells and autologous bone marrow derived mesenchymal stem cells for utilization and rescue of infarcted myocardium | 1 |
Gabriel P. Lasala | US | Covington | 2011-02-24 / 20110044950 - Infusion of a Mixture of Autologous Bone Marrow-Derived Mononuclear Cells and Autologous or Allogeneic Bone Marrow-Derived Mesenchymal Stem Cells for Treating Myocardial and/or Cardiovascular Disorders | 1 |
Michael Adam Lasala | US | San Francisco | 2011-08-04 / 20110190102 - HEALTH AND FITNESS DEVICE | 2 |
Ernesto Lasalandra | IT | San Donato Milanese | 2015-10-29 / 20150308829 - MICROELECTROMECHANICAL GYROSCOPE WITH OPEN LOOP READING DEVICE AND CONTROL METHOD | 6 |
Ernesto Lasalandra | IT | S. Donato Milanese | 2015-10-08 / 20150284243 - TEMPERATURE-COMPENSATED MICRO-ELECTROMECHANICAL DEVICE, AND METHOD OF TEMPERATURE COMPENSATION IN A MICRO-ELECTROMECHANICAL DEVICE | 4 |
Jose A. Lasalde | US | Sj | 2014-01-02 / 20140005281 - Method of Predicting Increased Risk of Suffering Statin-induced Adverse Drug Reactions | 1 |
Jerry Lasalle | US | Murrysville | 2016-03-10 / 20160068981 - ANODE APPARATUS | 1 |
Alain Lasalle | FR | Vaudoeuvre-Les-Nancy | 2010-07-01 / 20100163490 - MODULAR CHROMATOGRAPHY DEVICE | 1 |
Ryan M. Lasalle | US | Alexandria | 2014-09-18 / 20140283048 - DATA TREND ANALYSIS | 1 |
Greg Lasalle | US | San Francisco | 2015-10-22 / 20150302627 - APPARATUS AND METHOD FOR PERFORMING MOTION CAPTURE USING A RANDOM PATTERN ON CAPTURE SURFACES | 2 |
Louis Lasalle | US | Sunnyvale | 2015-01-15 / 20150019972 - Media-Editing Application with Anchored Timeline | 7 |
Carol Lasalle | US | Redwood City | 2009-02-12 / 20090043373 - VASCULAR IMPLANTS AND METHODS OF FABRICATING THE SAME | 1 |
Sean P. Lasalle | US | Spokane | 2014-10-16 / 20140310016 - Medical Treatment Methods | 3 |
Robert K. Lasalle | US | Fort Worth | 2015-12-17 / 20150359643 - Polycentric Prosthetic Knee | 1 |
Dominique Welle Lasalle | US | St. Paul | 2015-03-05 / 20150066157 - Parallel Processing with Cooperative Multitasking | 1 |
Michael E. Lasalle | US | Colelgeville | 2010-12-09 / 20100310798 - INSULATION PACKAGED WITH ADDITIVE | 1 |
David L. Lasalle | US | Wrentham | 2012-12-20 / 20120323244 - SYSTEM AND METHOD FOR BONE PREPARATION FOR AN IMPLANT | 1 |
Ryan Matthew Lasalle | US | Chicago | 2011-08-18 / 20110202525 - KNOWLEDGE DISCOVERY SYSTEM WITH USER INTERACTIVE ANALYSIS VIEW FOR ANALYZING AND GENERATING RELATIONSHIPS | 2 |
Greg Lasalle | US | San Mateo | 2010-09-16 / 20100231692 - System and method for performing motion capture and image reconstruction with transparent makeup | 1 |
Anthony Lasalle | US | Overland Park | 2012-04-19 / 20120094573 - APPARATUS FOR DISTRIBUTING SCALE BALLAST ON A MODEL RAILROAD TRACK | 1 |
John Francis Lasalvia | US | Las Vegas | 2014-08-21 / 20140235328 - PERCEIVED SKILL GAME AND METHOD | 2 |
John F. Lasalvia | US | Las Vegas | 2014-10-02 / 20140295970 - MODIFYING PRESENTATION OF THREE-DIMENSIONAL, WAGERING-GAME CONTENT | 6 |
Luis Lasalvia | US | White Plains | 2015-09-10 / 20150253314 - CYSTATIN C AND GALECTIN-3 AS BIOMARKERS FOR PULMONARY ARTERIAL HYPERTENSION | 5 |
John Lasalvia | US | Las Vegas | 2014-04-03 / 20140094255 - SYSTEM AND METHOD FOR DISPLAYING AN EXPLODING ICON | 1 |
Jose Alexandre La Salvia | BR | Sao Paulo | 2015-06-11 / 20150162670 - Insulation Piercing Connectors and Methods and Connections Including Same | 1 |
Jose Alexandre La Salvia | BR | Sao Jose Dos Campos | 2014-09-18 / 20140273599 - Electrical Connectors and Methods for Using Same | 5 |
Aitor Lasa Moran | ES | Donostia-San Sebastian | 2011-02-03 / 20110024336 - AUTOMATIC METHOD AND SYSTEM FOR THE DETERMINATION AND CLASSIFICATION OF FOODS | 1 |
Clemens J. M. Lasance | NL | Nuenen | 2011-11-03 / 20110266580 - LIGHT SOURCE COMPRISING A LIGHT RECYCLING DEVICE AND CORRESPONDING LIGHT RECYCLING DEVICE | 1 |
Clemens Johannes Maria Lasance | NL | Nijenen | 2011-05-26 / 20110122579 - COOLING DEVICE FOR COOLING A SEMICONDUCTOR DIE | 1 |
Clemens Johannes Maria Lasance | NL | Nuenen | 2011-07-07 / 20110164383 - DEVICE PROVIDED WITH A GAP-LIKE SPACE AND A SYNTHETIC JET GENERATOR COUPLED THERE-TO | 2 |
Clemens Johannes Maria Lasance | NL | Eindhoven | 2010-12-23 / 20100320896 - LIGHTING SYSTEM, LIGHT SOURCE AND ELECTRODE DEVICE | 4 |
Clemens J.m. Lasance | NL | Eindhoven | 2009-01-08 / 20090008064 - Cooling System for Electronic Substrates | 1 |
Pongsak Lasang | SG | Singapore | 2016-05-05 / 20160125243 - HUMAN BODY PART DETECTION SYSTEM AND HUMAN BODY PART DETECTION METHOD | 10 |
Pongsak Lasang | SG | Singapore | 2016-05-05 / 20160125243 - HUMAN BODY PART DETECTION SYSTEM AND HUMAN BODY PART DETECTION METHOD | 10 |
Isabel Maria Lasanta Carrasco | ES | Madrid | 2014-05-15 / 20140130698 - ECOLOGICAL AMMUNITION | 1 |
Isabel Lasanta Carrasco | ES | Madrid | 2011-01-27 / 20110017354 - MATERIALS FOR THE PRODUCTION OF ECOLOGICAL AMMUNITION AND OTHER APPLICATIONS | 1 |
Wayne A. Lasante | US | Littleton | 2015-09-03 / 20150249029 - LOAD STATION | 1 |
Ryan S. Lasante | US | Littleton | 2013-01-17 / 20130018867 - METHODS AND APPARATUS FOR INITIATING AN ACTIONAANM Regan; Marc W.AACI CambridgeAAST MAAACO USAAGP Regan; Marc W. Cambridge MA USAANM Sejnoha; VladimirAACI LexingtonAAST MAAACO USAAGP Sejnoha; Vladimir Lexington MA USAANM Evermann; GunnarAACI BostonAAST MAAACO USAAGP Evermann; Gunnar Boston MA USAANM Brown; Sean P.AACI San FranciscoAAST CAAACO USAAGP Brown; Sean P. San Francisco CA USAANM Laverty; Stephen W.AACI SomervilleAAST MAAACO USAAGP Laverty; Stephen W. Somerville MA USAANM Slater; Jeremy A.AACI GrotonAAST MAAACO USAAGP Slater; Jeremy A. Groton MA USAANM Watson; John R.AACI BostonAAST MAAACO USAAGP Watson; John R. Boston MA USAANM Lyons; Peter K.AACI ChelmsfordAAST MAAACO USAAGP Lyons; Peter K. Chelmsford MA USAANM LaSante; Ryan S.AACI LittletonAAST MAAACO USAAGP LaSante; Ryan S. Littleton MA US | 3 |
Marcio Lasaro | US | Maple Shade | 2009-10-01 / 20090246220 - CONSTRUCTS FOR ENHANCING IMMUNE RESPONSES | 1 |
Jukka Lasaroff | FI | Joensuu | 2015-07-30 / 20150211261 - PADLOCK | 2 |
Harri Aukusti Lasarov | FI | Espoo | 2009-01-01 / 20090004557 - Protecting a functional component and a protected functional component | 1 |
Harri A. Lasarov | US | Sunnyvale | 2013-12-12 / 20130330495 - MULTILAYER 3D GLASS WINDOW WITH EMBEDDED FUNCTIONS | 1 |
Harri Aukusti Lasarov | US | Sunnyvale | 2014-01-02 / 20140000747 - TUBE-SHAPED PART AND AN ASSOCIATED METHOD OF MANUFACTURE | 1 |
Harri Lasarov | US | Sunnyvale | 2014-05-08 / 20140126172 - PORTABLE ELECTRONIC DEVICE BODY HAVING LASER PERFORATION APERTURES AND ASSOCIATED FABRICATION METHOD | 2 |
Harri Lasarov | FI | Espoo | 2010-11-11 / 20100284552 - Mounting Components In Electronic Devices | 2 |
Harri A. Lasarov | FI | Espoo | 2010-10-21 / 20100265148 - APPARATUS, METHOD AND COMPUTER PROGRAM FOR WIRELESS COMMUNICATION | 3 |
Judd Lasarow | US | Fort Lauderdale | 2011-07-28 / 20110184814 - NETWORK ADVERTISING METHODS AND APPARATUS | 1 |
Juan JosÉ Lasarte Sagastibelza | ES | Pamplona | 2012-09-20 / 20120237535 - Therapeutic Compositions For The Treatment of HPV-Induced Diseases | 3 |
Juan JosÉ Lasarte Sagastibelza | ES | Pamplona | 2010-09-02 / 20100222280 - PEPTIDES WITH THE CAPACITY TO BIND TO TRANSFORMING GROWTH FACTOR beta 1 (TGF-beta 1) | 1 |
Juan Jose Lasarte Sagastibelza | ES | Navarra | 2014-02-27 / 20140056943 - IMMUNO-STIMULANT COMBINATION FOR PROPHYLAXIS AND TREATMENT OF HEPATITIS C | 4 |
Miles Lasater | US | New Haven | 2014-03-20 / 20140081730 - METHOD AND APPARATUS FOR PROVIDING A BENEFIT DURING A TRANSACTION FOR USE DURING A LATER TRANSACTION | 2 |
Matt A. Lasater | US | Pflugerville | 2013-02-07 / 20130032710 - Moldable Ceramics for Mass Spectrometry Applications | 2 |
Jeffrey B. Lasater | US | Houston | 2016-04-14 / 20160102501 - STEERING ASSEMBLY FOR DIRECTIONAL DRILLING OF A WELLBORE | 3 |
Timothy Lasater | US | Mckinney | 2010-06-24 / 20100155443 - UTILITY CARRIER APPARATUS FOR MOTORCYCLES | 1 |
Kristen Elizabeth Lasater | US | Newport | 2013-11-07 / 20130296741 - ANKLE-FOOT ORTHOTIC DEVICES WITH INTEGRATED VIBROTACTILE BIOFEEDBACK AND RELATED METHODS | 1 |
Robert Lasater | US | Menlo Park | 2016-05-12 / 20160134564 - NON-TRANSPARENT BRIDGE METHOD AND APPARATUS FOR CONFIGURING HIGH-DIMENSIONAL PCI-EXPRESS NETWORKS | 3 |
Nicolas Lasbistes | GB | Amersham | 2009-02-26 / 20090053142 - CONTRAST AGENTS | 1 |
Nicolas Lasbistes | GB | Buckinghamshire | 2010-09-16 / 20100234611 - Fluorination Process of Anilide Derivatives and Benzothiazole Fluorinate Derivatives as in VIVO Imaging Agents | 1 |
Nicholas Lasbistes | GB | Surrey | 2013-08-29 / 20130225829 - FLUORINATION PROCESS | 1 |
Nicolas Lasbistes | GB | Surrey | 2015-11-19 / 20150328344 - Fluorination Process | 1 |
John Joseph La Scala | US | Bear | 2012-02-16 / 20120041124 - COMPOSITE REPAIR RESINS CONTAINING MINIMAL HAZARDOUS AIR POLLUTANTS AND VOLATILE ORGANIC COMPOUND | 2 |
Amedeo La Scala | IT | Caltanissetta | 2011-03-10 / 20110060786 - DEVICE FOR COMPUTING QUOTIENTS, FOR EXAMPLE FOR CONVERTING LOGICAL ADDRESSES INTO PHYSICAL ADDRESSES | 2 |
John Joseph La Scala | US | Bel Air | 2009-01-15 / 20090018287 - Fatty Acid Monomers To Reduce Emissions and Toughen Polymers | 1 |
Jenifer Lascano | US | Odessa | 2015-01-15 / 20150013987 - METHOD FOR REDUCING SULFIDE IN OILFIELD WASTE WATER AND MAKING TREATED WATER | 1 |
Tristan Lascar | FR | Cap D'Ail | 2012-04-26 / 20120101583 - SET OF RECONSTRUCTION OF A FRACTURED SHOULDER JOINT | 2 |
Lance Lascari | US | Rochester | 2010-09-09 / 20100226259 - INTELLIGENT ROUTER FOR WIRELESS SENSOR NETWORK | 1 |
Lance D. Lascari | US | Rochester | 2016-04-21 / 20160112074 - WIRELESS RADIO SYSTEM OPTIMIZATION BY PERSISTENT SPECTRUM ANALYSIS | 6 |
Edmond Lascaris | AU | Bundoora | 2010-12-09 / 20100307951 - Starch Nanocomposite Materials | 2 |
Francois Lascelles | CA | Kelowna | 2014-11-27 / 20140351316 - SYSTEM AND METHOD FOR SECURING WEB SERVICES | 1 |
Russell A. Lascelles | US | Cincinnati | / - | 1 |
James Lascelles | US | Ipava | 2013-06-27 / 20130160684 - PADDLE SEALER | 1 |
Robert P. Lascelles | US | York | 2015-01-22 / 20150024641 - REDUCED DIAMETER HYPERBOLOID ELECTRICAL CONTACT | 1 |
Jim Lascelles | US | Ipava | 2008-12-25 / 20080314301 - Fertilizer Coulter With Self Sealing Knife | 1 |
James D. Lascelles | US | Ipava | 2012-12-27 / 20120325133 - PADDLE CLOSING WHEEL | 1 |
Dominique Lascelles | CA | Mississauga | 2015-12-17 / 20150360238 - CONDITIONING OF THE ORE IN THE COMMINUTION STEP AND RECOVERY OF DESIRED METAL VALUES BY FLOTATION | 1 |
Joshua D. Lasceski | US | Harrison Township | 2010-02-04 / 20100024924 - RECOVERY HEAT TREATMENT TO IMPROVE FORMABILITY OF MAGNESIUM ALLOYS | 2 |
Joshua D. Lasceski | US | Clinton Township | 2012-06-21 / 20120152481 - METHOD OF SUPPORTING TUBING STRUCTURES DURING OVERCASTING | 1 |
Jonathan Lasch | US | North Brook | 2010-09-23 / 20100239110 - Systems and Methods for Optimizing an Audio Communication System | 1 |
Jonathan Lasch | US | Vernon Hills | 2011-03-03 / 20110055133 - Systems and Methods for Analyzing Communication Options | 1 |
Michael Lasch | US | San Antonio | / - | 1 |
Warren Frank Lasch | US | Johns Island | 2014-07-10 / 20140195351 - KIOSK NETWORK SYSTEM | 1 |
Jonathan Lasch | US | Northbrook | 2012-08-16 / 20120210109 - HARDWARE RESET REASON | 2 |
Jonathan Gregory Lasch | US | Los Angeles | 2015-10-22 / 20150297413 - ADHESIVE ARTICLES | 1 |
James Lasch | US | Oakdale | 2016-05-05 / 20160122464 - SOLVENT FREE POLYISOBUTYLENE BASED POLYURETHANES | 2 |
Ellen Lasch | US | New York | 2011-12-29 / 20110315775 - INFRARED BLOCKING ARTICLE | 8 |
James Edward Lasch | US | Oakdale | 2011-05-19 / 20110117266 - Medical Device Coating System | 1 |
Kathryn Lasch | US | West Newton | 2012-08-16 / 20120208899 - Tool for Assessment of Symptoms of Irritable Bowel Syndrome | 1 |
Ellen Lasch | US | New York | 2011-12-29 / 20110315775 - INFRARED BLOCKING ARTICLE | 8 |
Nancy L. Lasch | US | Cleveland | 2009-09-24 / 20090236055 - FABRIC HOLDING VALANCE | 1 |
André Laschewsky | DE | Potsdam | 2015-10-01 / 20150275146 - POLYMER ACTIVE INGREDIENTS WHICH IMPROVE PRIMARY DETERGENT POWER | 9 |
André Laschewsky | DE | Potsdam | 2015-10-01 / 20150275146 - POLYMER ACTIVE INGREDIENTS WHICH IMPROVE PRIMARY DETERGENT POWER | 9 |
André Laschewsky | DE | Potsdam | 2012-01-26 / 20120022203 - PROCESS FOR PREPARING A POLYMER DISPERSION AND A POLYMER DISPERSION | 4 |
Cecilia Laschi | IT | Livorno | 2014-03-27 / 20140083230 - ROBOT HAVING SOFT ARMS FOR LOCOMOTION AND GRIP PURPOSES | 1 |
Cecilia Laschi | IT | Follonica | 2010-09-16 / 20100235145 - METHODS AND SYSTEMS FOR DATA PROCESSING AND THEIR APPLICATIONS | 1 |
Cecilia Laschi | IT | Livorno (li) | 2013-07-04 / 20130167683 - BIO-INSPIRED CONTINUOUS ROBOTIC LIMB | 1 |
Gerd Laschinski | DE | Oberursel | 2014-10-02 / 20140290452 - Male Dry Shaver | 5 |
Frank Laschinski | DE | Leverkusen | 2012-03-22 / 20120071662 - PREPARING AMINOARYLALKYL COMPOUNDS | 1 |
Joachim Laschinski | DE | Kassel | 2015-10-01 / 20150280423 - METHOD AND DEVICE FOR PROTECTING SEVERAL STRINGS OF A PHOTOVOLTAIC GENERATOR FROM REVERSE CURRENTS | 11 |
Joachim Laschinski | DE | Kassel | 2015-10-01 / 20150280423 - METHOD AND DEVICE FOR PROTECTING SEVERAL STRINGS OF A PHOTOVOLTAIC GENERATOR FROM REVERSE CURRENTS | 11 |
Robyn Lasci | CA | Sudbury | 2013-01-24 / 20130019367 - GARDENING GLOVE HAVING A CUTTING TOOL | 1 |
Christopher D. Lascola | US | Durham | 2009-04-02 / 20090088578 - NUCLEAR MAGNETIC RESONANCE IMAGING OF SELECTIVE SMALL MOLECULE DRUGS AS CONTRAST AGENTS | 1 |
Keith G. Lascotte | US | Maplewood | 2014-10-16 / 20140308366 - SULFOPEROXYCARBOXYLIC ACIDS, THEIR PREPARATION AND METHODS OF USE AS BLEACHING AND ANTIMICROBIAL AGENTS | 8 |
Keith G. Lascotte | US | Maplewood | 2014-10-16 / 20140308366 - SULFOPEROXYCARBOXYLIC ACIDS, THEIR PREPARATION AND METHODS OF USE AS BLEACHING AND ANTIMICROBIAL AGENTS | 8 |
Walter Stephen Lasecki | US | Woodbridge | 2014-01-16 / 20140015749 - CLOSED-LOOP CROWD CONTROL OF EXISTING INTERFACE | 1 |
Jonathan R. Lasecki | US | Strasburg | 2015-12-17 / 20150360870 - CONVEYOR BELT WITH ALIGNMENT FEATURES | 9 |
David W. Lasecki | US | Aurora | 2014-03-13 / 20140069828 - GIFT-GIVING ASSEMBLY | 1 |
David W. Lasecki | US | Houston | 2015-06-04 / 20150154375 - SYSTEMS AND METHODS FOR OPTIMIZING DRUG THERAPIES | 1 |
Jonathan R. Lasecki | US | Strasburg | 2015-12-17 / 20150360870 - CONVEYOR BELT WITH ALIGNMENT FEATURES | 9 |
David W. Lasecki | US | Cleveland | 2016-02-04 / 20160034667 - TAILORED DRUG THERAPIES AND METHODS AND SYSTEMS FOR DEVELOPING SAME | 2 |
Randy Lasee | US | Sobeiski | 2014-08-21 / 20140231447 - PRIZE DISPENSER AND PRIZE DISPENSING HOOK ASSEMBLY THEREFOR | 1 |
Thomas C. Lasee | US | Minneapolis | 2014-03-27 / 20140087920 - Foldable Parallel Bar Apparatus | 1 |
Jack Lasee | US | Abbotsford | 2012-01-12 / 20120006711 - DEVICE FOR HOLDING ELECTRON MICROSCOPE GRIDS AND OTHER MATERIALS | 1 |
Jack C. Lasee | US | Abbotsford | 2014-03-06 / 20140059806 - CASEMENT WINDOW HINGE WITH REDUCED SASH-SAG | 2 |
Jack C. La See | US | Abbotsford | 2013-09-12 / 20130232727 - Casement Window Hinge With Reduced Sash-Sag | 3 |
Jaroslaw Lasek | PL | Bielsko-Biala | 2010-06-10 / 20100140878 - SLIDING RING | 1 |
John B. Lasekan | US | Worthington | 2015-05-14 / 20150132466 - NUTRITIONAL PRODUCTS INCLUDING A NOVEL FAT SYSTEM INCLUDING FATTY ACIDS | 2 |
Daniela Laselva | DK | Aalborg | 2008-09-18 / 20080225729 - Apparatus, methods and computer program products implementing fast bearer prioritization in a MAC-HS packet scheduler based on required activity detection | 2 |
Daniela Laselva | DK | Klarup | 2015-12-10 / 20150358893 - METHODS AND APPARATUS FOR ACCESS NETWORK SELECTION | 7 |
Joan Lasenby | GB | Hardwick | 2014-05-22 / 20140140592 - METHOD AND APPARATUS FOR MONITORING AN OBJECT | 2 |
Joan Lasenby | GB | Cambridge | 2015-10-29 / 20150305657 - Analysis of Breathing Data | 1 |
Kevin Lasenby | CA | Waterloo | 2014-07-31 / 20140215397 - Apparatus and Method Pertaining to Predicted-Text Derivatives | 1 |
Peter Joel Lasensky | US | San Diego | 2010-06-10 / 20100144329 - SYSTEMS AND METHODS FOR COMMUNICATING USING VOICE MESSAGES | 2 |
Peter Lasensky | US | San Diego | 2015-12-03 / 20150350447 - UBIQUITOUS VOICE MESSAGING | 4 |
Peter J. Lasensky | US | San Diego | 2009-11-12 / 20090280754 - SYSTEMS AND METHODS FOR PUSH-TO-TALK WIRELESS APPLICATIONS | 1 |
Brian J. Laser | US | Munroe Falls | 2008-09-11 / 20080218977 - Cycling Led Heat Spreader | 1 |
Vadim Laser | US | Maineville | 2011-10-06 / 20110245609 - VIDEO ADAPTER FOR LARYNGOSCOPE | 2 |
Mark Laser | US | Norwich | 2009-09-03 / 20090221042 - Process for the Treatment of Lignocellulosic Biomass | 1 |
Carsten Laser | DE | Weyhe | 2015-05-07 / 20150123354 - SEALING SURFACE, IN PARTICULAR FOR A VACUUM CHAMBER OF A MASS SPECTROMETER AND METHOD OF MANUFACTURING SUCH A SEALING SURFACE | 2 |
Helmut Laser | DE | Berlin | 2016-05-12 / 20160128550 - ADAPTER FOR ENDOSCOPY | 2 |
Harold Laser | CA | Hamilton | 2015-05-28 / 20150148279 - METHOD OF REMOVING POLYMERIC CONTAMINANTS FROM PAPERMAKING SURFACES | 2 |
Bernd Laser | DE | Weyhe | 2015-05-07 / 20150123354 - SEALING SURFACE, IN PARTICULAR FOR A VACUUM CHAMBER OF A MASS SPECTROMETER AND METHOD OF MANUFACTURING SUCH A SEALING SURFACE | 2 |
Marie R. Laser | US | Poughkeepsie | 2013-04-25 / 20130104227 - ADVANCED AUTHENTICATION TECHNOLOGY FOR COMPUTING DEVICES | 16 |
Jack W. Lasersohn | US | East Hampton | 2016-03-31 / 20160092879 - Switch Server System Interoperable With Mobile Devices Providing Secure Communications For Transactions | 2 |
Jonathan D. Laserson | US | Menlo Park | 2012-05-03 / 20120109683 - METHOD AND SYSTEM FOR OUTCOME BASED REFERRAL USING HEALTHCARE DATA OF PATIENT AND PHYSICIAN POPULATIONS | 1 |
Gilles Lasfargues | FR | Grenoble | 2013-12-26 / 20130340991 - LAYOUT FOR ELECTRONIC COMPONENT TO BE COOLED, CHAMBER CONTAINING THE LAYOUT, VACUUM COOLING SYSTEM INCLUDING CHAMBER, METHOD OF USING THE VACUUM COOLING SYSTEM | 3 |
Leandre Lasfargues | FR | Maisons Alfort | 2011-09-22 / 20110226792 - DEVICE FOR PACKAGING AND DISPENSING A PRODUCT | 2 |
Sarah Lasfargues | FR | Lyon | 2012-11-29 / 20120301665 - SANDWICH PANELS | 1 |
Léandre Lasfargues | FR | Paris | 2011-03-24 / 20110068127 - DISPENSER FOR DISPENSING COSMETIC PRODUCT ONTO A RECEIVING SURFACE, DISPENSING DEVICE AND ASSOCIATED METHOD | 1 |
Léandre Lasfargues | FR | Paris | 2011-03-24 / 20110068127 - DISPENSER FOR DISPENSING COSMETIC PRODUCT ONTO A RECEIVING SURFACE, DISPENSING DEVICE AND ASSOCIATED METHOD | 2 |
Cedric Lasfargues | US | Castro Valley | 2014-03-06 / 20140060792 - Method and Apparatus to Reduce Thermal Stress by Regulation and Control of Lamp Operating Temperatures | 1 |
David M. Lash | US | Waltham | 2011-06-09 / 20110134914 - FACSIMILE PASSTHROUGH SILENCE SUPPRESSION | 1 |
Steven Lash | US | Rancho Santa Fe | 2011-12-22 / 20110313785 - SATORI INTEGRATED HEALTH & FINANCIAL BENEFITS SYSTEM AND METHOD | 1 |
Irvin Matas Lash | US | Pennsburg | 2011-08-18 / 20110200537 - LASER MARKED DOSAGE FORMS | 3 |
Verla Gail Lash | US | Abington | 2009-03-26 / 20090078215 - Non-medical in home monitoring method for diabetic cats and dogs | 1 |
Craig Lash | US | Novi | 2009-05-07 / 20090119056 - PHYSICS-BASED OIL TEMPERATURE MODEL | 1 |
Glen Ray Lash | US | Cincinnati | 2011-12-29 / 20110319855 - ABSORBENT ARTICLE HAVING AN IMPROVED LEG CUFF | 1 |
Leanne Lash | US | Grandville | 2009-05-07 / 20090118358 - Kainate Receptor-Selective Epimeric Analogs of Dysiherbaine | 1 |
Thomas E. Lash | US | Shaker Heights | 2009-09-24 / 20090235985 - CONCENTRATORS FOR SOLAR POWER GENERATING SYSTEMS | 1 |
John Lash | US | Hoffman Estates | 2012-09-06 / 20120226764 - SYSTEMS AND METHODS FOR PROVIDING SMART APPLIANCES | 1 |
Robert Evan Lash | US | Redwood City | 2013-04-18 / 20130096401 - WIRELESS DISPOSABLE SHOCK TRAUMA MONITORING DEVICE | 1 |
Robert E. Lash | US | Redwood City | 2015-05-07 / 20150126832 - Noninvasive Sensor Housing | 7 |
James K. Lash | US | Rhome | 2016-05-19 / 20160138715 - System and Apparatus for Aerodynamically Sealing Surfaces | 1 |
Timothy Lash | US | Hillsborough | 2013-07-04 / 20130172990 - METHOD AND SYSTEM FOR PLICATING TISSUE IN A MINIMALLY INVASIVE MEDICAL PROCEDURE FOR THE TREATMENT OF MITRAL VALVE REGURGITATION | 3 |
Steven Lash | US | San Diego | 2013-11-14 / 20130304495 - INTEGRATED HEALTH & FINANCIAL BENEFITS SYSTEM AND METHOD | 6 |
Ceata E. Lash | US | Romeoville | 2015-10-08 / 20150282583 - DEVICE FOR CLAMPING THICK, TEXTURED OR COILY HAIR | 1 |
Charles C. Lash | US | Apollo | 2015-09-24 / 20150267536 - TELESCOPIC MINE ROOF SUPPORT | 3 |
James R. Lash | US | Artesia | 2016-03-24 / 20160083980 - RESTRAINT DEVICE | 4 |
Annette Lasham | NZ | Auckland | 2012-05-31 / 20120137385 - TRANSCRIPTION FACTORS | 2 |
Christine R. Lashbrook | US | Whitmore Lake | 2013-05-09 / 20130116570 - LOCAL COMPRESSION DURING AUTOMATED ULTRASOUND SCANNING AND METHODS OF ACOUSTIC COUPLING | 1 |
Lynn Lashbrook | US | Dearborn | 2010-05-27 / 20100127536 - SYSTEM TO ESTABLISH A TRIM EDGE FOR A VEHICLE ROOF WINDOW | 2 |
Larry David Lashbrook | US | Fort Lauderdale | 2012-05-03 / 20120103171 - Bridge for a Stringed Musical Instrument | 1 |
Christopher J. Lasher | US | Ridgewood | 2010-06-17 / 20100152884 - AUTOMATED PRESCRIPTION FILLING SYSTEM/METHOD WITH AUTOMATED LABELING AND PACKAGING SYSTEM/METHOD AND AUTOMATED ORDER CONSOLIDATION SYSTEM/METHOD | 3 |
Dana Lasher | US | Morgan Hill | 2015-12-03 / 20150350538 - Use of Wireless Connection loss to Facilitate Identifying and recording Video Capture Location | 1 |
Richard Allen Lasher | US | Farmington | 2016-03-31 / 20160089484 - Pressure Output Device For Extracorporeal Hemodialysis Machine | 1 |
David Lasher | US | Vista | 2016-03-17 / 20160079780 - Portable Recharging Station With Shaded Seating and Method | 1 |
Vincent Lasher | US | West Milton | 2010-05-27 / 20100131157 - VEHICLE AND VEHICLE ATTACHMENT | 1 |
Mark R. Lasher | US | Colchester | 2013-03-07 / 20130061193 - IMPLEMENTING ENHANCED CLOCK TREE DISTRIBUTIONS TO DECOUPLE ACROSS N-LEVEL HIERARCHICAL ENTITIES | 3 |
Stephen Lasher | US | Warwick | 2015-12-10 / 20150353036 - MULTIPLE VEHICULAR CHARGE SOURCES AND LOADS | 2 |
Jeffry T. Lasher | US | Bloomington | 2014-06-19 / 20140171981 - PORT CLOSURE DEVICE | 1 |
Richard Lasher | US | Salt Lake City | 2013-12-19 / 20130338644 - Disinfecting caps having sealing features and related systems and methods | 2 |
David G. Lasher | US | Debary | 2015-08-20 / 20150232275 - CONVEYOR SYSTEM AND ASSOCIATED PRODUCT CARRIER | 1 |
Brian J. Lasher | US | Bellaire | 2015-09-17 / 20150260791 - 1149.1TAP LINKING MODULES | 7 |
Dana A. Lasher | US | Morgan Hill | 2016-05-19 / 20160142671 - Systems And Methods For Generating A Video Clip and Associated Closed-Captioning Data | 2 |
Brandon Lasher | US | Frederick | 2014-03-20 / 20140080435 - AUTOMATIC GAIN CONTROL ALGORITHM FOR RECEIVER ARCHITECTURE | 1 |
Thomas W. Lasher | US | Adrian | 2012-05-03 / 20120104198 - MAGNETIC ORGANIZER | 2 |
Brian J. Lasher | US | Houston | 2013-05-30 / 20130139017 - 1149.1 TAP LINKING MODULES | 1 |
Juan C. Lasheras | US | La Jolla | 2009-10-29 / 20090270955 - THERAPEUTIC HEATING AND COOLING VIA TEMPERATURE MANAGEMENT OF A COLON-INSERTED DUAL BALLOON | 1 |
Koroush Lashgari | SE | Solna | 2009-07-09 / 20090177049 - Piezoelectric film | 1 |
Kourosh Lashgari | US | Redmond | 2012-03-22 / 20120072950 - System and Method for Independent Media Auditing and Media Serving for Marketing Campaigns Presented Via Media Devices in Public Places | 2 |
Koroush Lashgari | SE | Sundyberg | 2012-11-15 / 20120289807 - PIEZOELECTRIC FILM | 3 |
Sina Lashgari | US | Ithaca | 2015-11-12 / 20150327181 - MAXIMUM PATHLOSS MEASUREMENT FOR BROADCAST COMMUNICATION | 1 |
Tatiana Aleksandrovna Lashina | NL | Eindhoven | 2016-04-14 / 20160102823 - LIGHT-EMITTING PANEL | 26 |
Tatiana A. Lashina | NL | Endhoven | 2009-11-19 / 20090284187 - LIGHT CONDITION RECORDER SYSTEM AND METHOD | 1 |
Tatiana Aleksandrovna Lashina | NL | Eindhoven | 2016-04-14 / 20160102823 - LIGHT-EMITTING PANEL | 26 |
Tatiana Lashina | NL | Eindhoven | 2015-01-15 / 20150015165 - METHODS AND APPARATUS FOR CONFIGURATION OF CONTROL DEVICES | 5 |
Tatiana A. Lashina | NL | Eindhoven | 2009-05-28 / 20090135162 - System and Method For Detecting the Location, Size and Shape of Multiple Objects That Interact With a Touch Screen Display | 2 |
Charles Mark Lashinske | US | Phoenix | 2015-11-26 / 20150340811 - ELECTRICAL CONNECTOR AND SYSTEM THEREFOR | 2 |
Charles Mark Lashinske | US | Phoenis | 2015-11-26 / 20150335179 - HANGING DEVICE AND METHOD THEREFOR | 1 |
Robert D. Lashinski | US | Sebastopol | 2010-06-03 / 20100137835 - DEPLOYMENT SYSTEM FOR MYOCARDIAL CELLULAR MATERIAL | 1 |
Randall T. Lashinski | US | Santa Rosa | 2014-06-12 / 20140163667 - STENTLESS AORTIC VALVE REPLACEMENT WITH HIGH RADIAL STRENGTH | 12 |
Randall Lashinski | US | Santa Rosa | 2014-07-17 / 20140200396 - MINIMALLY INVASIVE BREAST LIFT METHOD WITH A SUPERIOR TISSUE SUPPORT AND AN INFERIOR ANCHOR | 6 |
Randall T. Lashinski | US | Windsor | 2015-11-26 / 20150335416 - METHOD OF ISOLATING THE CEREBRAL CIRCULATION DURING A CARDIAC PROCEDURE | 16 |
Randall T. Lashinski | US | Santa Rosa | 2014-06-12 / 20140163667 - STENTLESS AORTIC VALVE REPLACEMENT WITH HIGH RADIAL STRENGTH | 12 |
Randy Lashinski | US | Santa Rosa | 2015-11-19 / 20150327988 - MINIMALLY INVASIVE TISSUE SUPPORT | 3 |
Randall Lashinski | US | Windsor | 2016-02-11 / 20160038285 - SYSTEMS AND METHODS FOR RESHAPING A HEART VALVE | 2 |
Randall T. Lashinski | US | Windsor | 2015-11-26 / 20150335416 - METHOD OF ISOLATING THE CEREBRAL CIRCULATION DURING A CARDIAC PROCEDURE | 16 |
Matthew Ryan Lashinsky | US | Lexington | 2010-04-15 / 20100091340 - SCAN BAR FOR SCANNING MEDIA SHEET IN IMAGE SCANNING DEVICE AND METHOD THEREOF | 1 |
Yezdi Z. Lashkari | US | Seattle | 2012-05-03 / 20120109899 - CONFIGURING A DATA PROTECTION SYSTEM | 3 |
Kameran Lashkari | US | Natic | 2009-09-24 / 20090238800 - ISOLATION AND THERAPEUTIC APPLICATION OF ADULT RETINAL STEM CELLS COLLECTED FROM EXTRA-RETINAL TISSUES | 1 |
Hassan Lashkari | US | Palo Alto | 2014-11-20 / 20140342672 - METHODS AND APPARATUS FOR DYNAMIC WIRELESS DEVICE COEXISTENCE | 5 |
Khosrow Lashkari | US | Palo Alto | 2016-02-18 / 20160050489 - SYSTEMS AND METHODS FOR NONLINEAR ECHO CANCELLATION | 1 |
Yezdi Z. Lashkari | US | San Francisco | 2014-08-07 / 20140223227 - METHOD, SYSTEM, AND APPARATUS FOR PROVIDING ALERT SYNTHESIS IN A DATA PROTECTION SYSTEM | 2 |
Siamak Lashkari | CA | Vancouver | 2015-12-03 / 20150343330 - MEMBRANE SEPARATION AT HIGH TEMPERATURE DIFFERENTIAL | 3 |
Kameran Lashkari | US | Boston | 2016-03-24 / 20160084853 - BIOMARKERS FOR AGE-RELATED MACULAR DEGENERATION (AMD) | 7 |
Bahman Lashkari | CA | Toronto | 2013-04-25 / 20130102865 - SYSTEMS AND METHODS FOR FREQUENCY-DOMAIN PHOTOACOUSTIC PHASED ARRAY IMAGING | 1 |
Navid Lashkarian | US | Pleasanton | 2013-10-31 / 20130287076 - CALIBRATION OF COMMUNICATION PROCESSING PATH | 1 |
Andrew Lashley | US | Grand Prairie | 2012-08-09 / 20120198976 - LINEAR SAW WITH STAB-CUT BEVEL CAPABILITY | 2 |
David G. Lashley | US | Cartersville | 2009-06-04 / 20090141918 - Wireless listening system | 1 |
Roy Jeffery Lashley | US | Muskogee | 2009-05-28 / 20090133616 - Truck Bed Stake Hole Assembly | 1 |
Scott David Lashley | US | Portland | 2009-02-12 / 20090043863 - SYSTEM USING VIRTUAL REPLICATED TABLES IN A CLUSTER DATABASE MANAGEMENT SYSTEM | 3 |
Scott D. Lashley | US | Portland | 2015-07-02 / 20150186301 - BUILDING AN UNDO LOG FOR IN-MEMORY BLOCKS OF DATA | 4 |
Maurice W. Lashley | US | Lawrenceville | 2014-06-26 / 20140175712 - CELLULAR CERAMICS APPARATUS AND METHODS OF PRODUCTION | 2 |
Stephanie Marie Lashley | US | Parkville | 2014-08-21 / 20140232813 - USING METADATA FOR VIDEO MESSAGE MODIFICATIONS AMONG WIRELESS COMMUNICATION DEVICES | 1 |
Michael H. Lashley | CA | Chateauguay | 2012-12-06 / 20120311205 - MESSAGE FLOW REROUTING FOR SELF-DISRUPTING NETWORK ELEMENT | 6 |
Steve E. Lashley, Sr. | US | Naples | 2009-05-28 / 20090134635 - DOOR BOLT ASSEMBLY | 1 |
David S. Lashmore | US | Lebanon | 2016-03-24 / 20160086695 - Electrically and Thermally Non-Metallic Conductive Nanostructure-Based Adapters | 31 |
Christopher D. Lashua | US | Gardner | 2016-05-19 / 20160136833 - HIGH SPEED TAVERSING SHEAR | 3 |
Christopher Lashua | US | Gardner | 2013-03-28 / 20130075229 - MODULAR TRIPPER FOR ROLLING MILL LAYING HEAD | 4 |
Chris Lashua | US | Gardner | 2013-04-04 / 20130081254 - BORONIZED LAYING PIPE | 1 |
Jason S. Lashure | US | Marcy | 2010-12-02 / 20100302014 - SYSTEM AND METHOD FOR LOCATING A TARGET WIRELESS DEVICE | 1 |
Samo Lasic | SE | Lund | 2015-05-14 / 20150130458 - ANALYSIS FOR QUANTIFYING MICROSCOPIC DIFFUSION ANISOTROPY | 2 |
Alenka Lasic | SI | Ljubljana | 2011-03-17 / 20110064791 - Self forming, thermodynamically stable liposomes and their applications | 1 |
Dan D. Lasic | US | Newark | 2011-03-17 / 20110064791 - Self forming, thermodynamically stable liposomes and their applications | 1 |
Thierry Lasic | FR | Mandelieu | 2010-07-15 / 20100177414 - Device for Correcting Optical Defects of a Telescope Mirror | 1 |
John Beavis Lasich | AU | Balwyn | 2013-09-19 / 20130240017 - PHOTOVOLTAIC CELL MODULE HEAT EXTRACTION ASSEMBLY | 3 |
John Beavis Lasich | AU | Victoria | 2011-11-24 / 20110284077 - PROTECTION APPARATUS FOR A SOLAR RECEIVER | 2 |
John Beavis Lasich | AU | Deepdene, Victoria | 2015-12-31 / 20150380588 - A Cell Module | 1 |
John Beavis Lasich | AU | Deepdene | 2014-01-23 / 20140020733 - PHOTOVOLTAIC DEVICE FOR A CLOSELY PACKED ARRAY | 2 |
John B. Lasich | AU | Balwyn | 2013-11-07 / 20130291858 - HEAT TRANSFER SYSTEM USING SOLAR ENERGY | 1 |
Andrea J. Lasichak | US | Gregory | 2014-03-20 / 20140076137 - AMMUNITION FEED SYSTEM FOR FIREARM | 4 |
Martin Lasinger | DE | Sauerlach | 2011-06-09 / 20110135864 - ADHESIVE TAB FOR A TABBER | 2 |
Franz Lasinger | AT | Walding | 2008-11-20 / 20080282841 - Method and Device for Charging Feedstock | 1 |
Guenter Lasinger | AT | Gallneukirchen | 2011-03-31 / 20110077750 - METHOD FOR OPERATING AN ELECTRICALLY CONTROLLABLE TECHNICAL DEVICE AS WELL AS A CORRESPONDING CONTROL DEVICE | 1 |
Andrzej Lasinski | CA | Mississauga | 2016-03-03 / 20160062101 - METHOD AND APPARATUS FOR SMALL AND LARGE FORMAT HISTOLOGY SAMPLE EXAMINATION | 1 |
Michael Lasinski | US | Chicago | 2010-07-01 / 20100169127 - System and method for managing intellectual property-based risks | 1 |
Michael J. Lasinski | US | Chicago | 2011-12-01 / 20110295757 - INTELLECTUAL PROPERTY TRADING EXCHANGE | 5 |
Michael J. Lasinski | US | Ann Arbor | 2010-10-14 / 20100262530 - INTELLECTUAL PROPERTY TRADING EXCHANGE | 1 |
Michael Lasinski | US | Ann Arbor | 2013-12-19 / 20130339063 - SYSTEM AND METHODS FOR MANAGING INTELLECTUAL PROPERTY-BASED RISKS | 2 |
John F. Lasinski | US | Fenton | 2015-06-25 / 20150177110 - Small Object Distribution Automation | 1 |
Frank La Sita | US | Setauket | 2016-03-10 / 20160073429 - SYSTEMS AND METHODS FOR FRACTIONAL CARRIER SENSE MULTIPLE ACCESS WITH COLLISION AVOIDANCE (CSMA/CA) FOR WLANS | 8 |
Jon Bradley Lasiter | US | Stockton | 2016-04-21 / 20160107194 - THREE-PORT PIEZOELECTRIC ULTRASONIC TRANSDUCER | 17 |
Jon B. Lasiter | US | Stockton | / - | 1 |
Nicole A. Lasiuk | US | Niskayuna | 2009-01-15 / 20090017327 - FRETTING AND WHISKER RESISTANT COATING SYSTEM AND METHOD | 1 |
Brian W. Lasiuk | US | Spring | 2010-03-04 / 20100053622 - APPLICATION OF VISBREAKER ANALYSIS TOOLS TO OPTIMIZE PERFORMANCE | 1 |
Brian Walter Lasiuk | US | Waukesha | 2010-07-01 / 20100163469 - CONTROL SYSTEM FOR MONITORING LOCALIZED CORROSION IN AN INDUSTRIAL WATER SYSTEM | 2 |
Emilie Lasjaunias | FR | Magny En Vexin | 2014-03-27 / 20140086861 - HYDROPHILIC TREATED PIGMENTS DISPERSIBLE IN A COSMETIC COMPOSITION | 1 |
Assaf Lask | IL | Rehovot | 2014-07-31 / 20140212398 - ANTI THIRD PARTY CENTRAL MEMORY T CELLS, METHODS OF PRODUCING SAME AND USE OF SAME IN TRANSPLANTATION AND DISEASE TREATMENT | 2 |
James A. Laska | US | Cary | 2010-12-02 / 20100306566 - SYSTEMS AND METHODS FOR POWER MANAGEMENT IN MANAGED NETWORK HAVING HARDWARE-BASED AND VIRTUAL RESOURCES | 1 |
Daniel Laska | US | Portland | 2010-02-04 / 20100024253 - METHOD OF MAKING FOOTWEAR | 1 |
James Laska | US | Cary | 2011-09-29 / 20110239214 - Mechanism for Utilizing a Virtual Machine Cloud for Automated Test System Deployment | 4 |
Bernd Laska | DE | Herzogenaurach | 2010-07-29 / 20100191432 - Method for braking electrically driven vehicles | 1 |
Jason N. Laska | US | Houston | 2012-08-23 / 20120213270 - Method and Apparatus for Compressive Imaging Device | 7 |
Jason A. Laska | US | Knoxville | 2015-04-16 / 20150106927 - REAL-TIME DETECTION AND CLASSIFICATION OF ANOMALOUS EVENTS IN STREAMING DATA | 1 |
Timothy Eaton Laska | US | Loveland | 2008-12-04 / 20080298171 - Process for Mixing and Screening Liquid Compositions | 1 |
Jason N. Laska | US | San Francisco | 2016-03-31 / 20160093338 - Method and System for Categorizing Detected Motion Events | 8 |
Jason A. Laska | US | Oak Ridge | 2015-06-11 / 20150161394 - DETECTION OF ANOMALOUS EVENTS | 1 |
Mick Laska | US | San Anselmo | 2012-04-12 / 20120085295 - Word shaped pet toy | 1 |
Sharon Kay Laska | US | Sequim | 2015-12-31 / 20150374600 - METHODS AND PREPARATIONS THAT TREAT HUMAN HAIR LOSS | 1 |
Timothy E. Laska | US | Loveland | 2016-05-12 / 20160130510 - DISTILLATION OF USED MOTOR OIL WITH DISTILLATE VAPORS | 5 |
Brady Nicholas Laska | CA | Arnprior | 2014-11-13 / 20140335917 - DUAL BEAMFORM AUDIO ECHO REDUCTION | 2 |
Jason N. Laska | US | San Francisco | 2016-03-31 / 20160093338 - Method and System for Categorizing Detected Motion Events | 8 |
Brady Nicholas Laska | CA | Ottawa | 2014-08-21 / 20140235173 - Methods And Apparatus For Improving Audio Quality Using An Acoustic Leak Compensation System In A Mobile Device | 1 |
Casimir F. Laska | US | Brookfield | 2009-10-29 / 20090271030 - POSTAL STAMP TRACKING SYSTEM AND METHOD | 1 |
Thomas Laska | DE | Muenchen | 2010-08-26 / 20100213613 - ARRANGEMENT FOR ELECTRICALLY CONNECTING SEMICONDUCTOR CIRCUIT ARRANGEMENTS TO AN EXTERNAL CONTACT DEVICE AND METHOD FOR PRODUCING THE SAME | 1 |
Joy Laskar | US | Los Altos | 2014-06-12 / 20140162575 - HIGHLY INTEGRATED MILLIMETER-WAVE SOC LAYOUT TECHNIQUES FOR IMPROVED PERFORMANCE AND MODELING ACCURACY | 8 |
Christina Joy Laskar | US | Cliffside Park | 2013-01-17 / 20130018325 - FLANGE EXTENDER FOR USE WITH AN INJECTION DEVICE AND METHOD OF ASSEMBLYAANM Schiller; EricAACI WestfieldAAST NJAACO USAAGP Schiller; Eric Westfield NJ USAANM Laskar; Christina JoyAACI Cliffside ParkAAST NJAACO USAAGP Laskar; Christina Joy Cliffside Park NJ USAANM Aon; MitaliAACI Princeton JunctionAAST NJAACO USAAGP Aon; Mitali Princeton Junction NJ USAANM Caizza; RichardAACI VernonAAST NJAACO USAAGP Caizza; Richard Vernon NJ USAANM Bell; JonAACI WaldwickAAST NJAACO USAAGP Bell; Jon Waldwick NJ US | 1 |
Pallav Laskar | US | San Jose | 2015-12-24 / 20150371341 - MANAGING SOCIAL FEED ASSIGNMENT | 1 |
Bill Laskar | US | Windfall | 2014-01-16 / 20140020128 - MOLECULAR MARKERS FOR VARIOUS TRAITS IN WHEAT AND METHODS OF USE | 1 |
William J. Laskar | US | Tipton | 2010-06-24 / 20100162425 - WHEAT VARIETY 25R39 | 2 |
Joy Laskar | US | Los Altos | 2014-06-12 / 20140162575 - HIGHLY INTEGRATED MILLIMETER-WAVE SOC LAYOUT TECHNIQUES FOR IMPROVED PERFORMANCE AND MODELING ACCURACY | 8 |
Joy Laskar | US | 2010-08-05 / 20100197233 - Method and System for Automatic Control in an Interference Cancellation Device | 1 | |
Joy Laskar | US | Marietta | 2014-04-10 / 20140098909 - Multi-Gigabit Millimeter Wave Receiver System And Demodulator System | 29 |
Dhrubojyoti Dey Laskar | US | Richland | 2015-04-09 / 20150099868 - APPARATUS AND PROCESS FOR PREPARING REACTIVE LIGNIN WITH HIGH YIELD FROM PLANT BIOMASS FOR PRODUCTION OF FUELS AND CHEMICALS | 1 |
Joy Laskar | US | Atlanta | 2011-12-01 / 20110291872 - MULTI-GIGABIT ANALOG TO DIGITAL CONVERTER | 1 |
William Joseph Laskar | US | Tipton | 2013-05-09 / 20130117874 - Wheat variety XW10Q | 14 |
Evangelos Trifon Laskaris | US | Shenectady | 2011-05-05 / 20110101982 - CRYOGENIC SYSTEM AND METHOD FOR SUPERCONDUCTING MAGNETS | 1 |
Konstantinos Laskaris | US | San Mateo | 2015-05-07 / 20150123511 - Flux Shield for Electric Motor | 1 |
Lucas George Laskaris | US | Tampa | 2012-07-26 / 20120192059 - Method and System to Convert Visually Orientated Objects to Embedded Text | 1 |
Evangelos Trifon Laskaris | US | Niskayuna | 2015-03-19 / 20150076271 - SYSTEMS FOR PRODUCING PRECISION MAGNETIC COIL WINDINGS | 2 |
Evengelos Trifon Laskaris | US | Schenectady | 2010-02-11 / 20100033037 - Shielding of superconducting field coil in homopolar inductor alternator | 1 |
Evangelos Trifon Laskaris | US | Schenectady | 2016-02-25 / 20160054406 - SYSTEM FOR REDUCING THERMAL SHIELD VIBRATIONS | 27 |
Michael A. Laskaris | US | Collegeville | 2015-07-02 / 20150184661 - Transportable High Vertical-Lift Emergency Water Pumping System | 6 |
Evangelos T. Laskaris | US | Schenectady | 2010-11-04 / 20100277170 - HEAT PIPE COOLED SUERCONDUCTING MAGNETS WITH CERAMIC COIL FORMS | 3 |
Evangelos Laskaris | US | Niskayuna | 2011-11-24 / 20110285219 - Electrical Machine with Superconducting Armature Coils and Other Components | 1 |
Stylianos Laskaris | GR | Kifisia | 2015-03-19 / 20150076281 - NEUTRAL BUOYANCY CRAFT | 1 |
Vladislav Nikolaevich Laskavy | RU | Saratov | 2012-10-04 / 20120252903 - CHOLESTEROL CONTROL AGENT | 4 |
Vladislav Nikolaevich Laskavyj | DE | Wegberg | 2009-08-13 / 20090203800 - Cytostatic Composition | 1 |
Richard Frank Laske | US | Akron | 2011-06-23 / 20110146871 - SELF-SUPPORTING PNEUMATIC TIRE | 2 |
Lawrence L. Laske | US | Libertyville | 2009-11-19 / 20090283055 - FASTENERS AND RECEIVING UNITS FOR ANIMAL RESTRAINT SYSTEM | 2 |
Louis L. Laske | US | Libertyville | 2012-12-06 / 20120308166 - EASY OPEN FLEXIBLE CONTAINER | 1 |
Matthias Laske | DE | Ulm | 2010-02-18 / 20100038511 - Mounting Device and Method for Producing | 2 |
Christopher Laske | DE | Erlangen | 2010-04-22 / 20100097134 - APPARATUS FOR GENERATING A CORRECTION SIGNAL | 1 |
Frank Laske | DE | Weilburg | 2015-09-03 / 20150248756 - METHOD FOR MEASURING POSITIONS OF STRUCTURES ON A MASK AND THEREBY DETERMINING MASK MANUFACTURING ERRORS | 3 |
Matthias Laske | DE | Juelich | 2012-01-12 / 20120006959 - MOUNTING DEVICE | 1 |
Evan Laske | US | Troy | 2013-08-29 / 20130226350 - CONTROL OF A GLOVE-BASED GRASP ASSIST DEVICE | 1 |
Frank Laske | DE | Weilmuenster | 2016-03-17 / 20160078609 - METHOD FOR CORRECTING POSITION MEASUREMENTS FOR OPTICAL ERRORS AND METHOD FOR DETERMINING MASK WRITER ERRORS | 4 |
Timothy G. Laske | US | Shoreview | 2015-04-30 / 20150119868 - FEEDBACK SYSTEM FOR CRYOABLATION OF CARDIAC TISSUE | 30 |
Robert B. Lasken | US | Schaumburg | 2013-07-11 / 20130177142 - INTERNATIONAL ORIGIN DEPENDENT CUSTOMIZED ROUTING OF CALLS TO TOLL-FREE NUMBERS | 2 |
Joseph M. Lasker | US | New York | 2009-04-23 / 20090103102 - SYSTEMS AND METHODS FOR DIGITAL DETECTION OF A TOMOGRAPHIC SIGNAL | 1 |
Kory Lasker | US | Minneapolis | 2010-12-02 / 20100306375 - Method and System for Verifying Modem Status | 1 |
Zephrin I. Lasker | US | Brooklyn | 2014-07-10 / 20140195332 - ADVERTISING CAMPAIGN PLANNER FOR OPTIMUM LEAD DELIVERY AND QUALITY TO ADVERTISERS WITH PARETO-OPTIMAL PRICING BETWEEN ADVERTISERS AND PUBLISHERS | 3 |
George Lasker | US | Claremont | 2011-01-06 / 20110000182 - Uncoupled, thermal-compressor, gas-turbine engine | 1 |
Israel Lasker | IL | Kfar Saba | 2010-09-30 / 20100247116 - Error Correction in Printing Systems | 1 |
Corey Lee Lasker | US | Conway | 2015-11-05 / 20150314160 - Diamond roller | 1 |
Sigmund E. Lasker | US | New York | 2009-11-05 / 20090275543 - Modified Glycosaminoglycans, Pharmaceutical Compositions and Methods for Oral Delivery Thereof | 1 |
Durwin Keith Lasker | US | Conway | 2014-02-13 / 20140043158 - Debo alarm system second phase (D.A.S.S.P.) | 2 |
Yonah Lasker | IL | Jerusalem | 2008-11-27 / 20080291831 - Dynamic Management of Wireless Transmissions | 1 |
Ronald A. Laskey | GB | Cambridge | 2013-10-17 / 20130273577 - DETECTION OF DYSPLASTIC OR NEOPLASTIC CELLS USING ANTI-MCM3 ANTIBODIES | 3 |
Ryan W. Laskey | US | Lambertville | 2015-12-10 / 20150354623 - HYDROFORMED DRIVESHAFT TUBE WITH SECONDARY SHAPE | 3 |
Ronald Alfred Laskey | GB | Cambridge | 2015-08-06 / 20150219659 - ASSAY | 2 |
Michael Laskey | US | Redford | 2009-10-01 / 20090242441 - Paint Brush Protection Device | 1 |
Alexander D. Laskey | US | Berkeley | 2011-01-27 / 20110023045 - Targeted communication to resource consumers | 2 |
Pechluck S. Laskey | US | Portland | 2014-06-19 / 20140168053 - TEST AND MEASUREMENT INSTRUMENT USER INTERFACE WITH MOVE MODE | 1 |
Alexander Laskey | US | Washington | 2015-10-29 / 20150310463 - SOLAR CUSTOMER ACQUISITION AND SOLAR LEAD QUALIFICATION | 1 |
Louis L. Laskey, Jr. | US | Cranberry Twp. | 2016-04-28 / 20160113840 - DIAGNOSTIC AND THERAPEUTIC TREATMENT DEVICE, AND RELATED SYSTEMS AND METHODS OF UTILIZING SUCH A DEVICE | 1 |
Louis L. Laskey, Jr. | US | Prospect | 2015-03-19 / 20150080990 - SYSTEM AND METHOD FOR TREATING ANIMALS | 3 |
Stephen J. Laski | US | Howell | 2012-05-03 / 20120104122 - Long Reach Impingement Nozzle For Use In Robotic Water Cleaning Systems | 2 |
Joseph Laski | US | Stoneham | 2015-08-27 / 20150243840 - Strain Relief Superlattices and Optoelectronic Devices Including the Same | 4 |
James C. Laski | US | Bourbonnais | 2011-06-09 / 20110132484 - Valve Assemblies Including Electrically Actuated Valves | 2 |
David Aaron Laskin | US | Purchase | 2014-12-18 / 20140372291 - MULTI-PARTY TRANSACTION PAYMENT NETWORK BRIDGE APPARATUS AND METHOD | 2 |
Jeffrey Laskin | US | Piscataway | 2012-01-12 / 20120010168 - Unique Dual-Action Therapeutics | 1 |
Ekaterina Laskin | CA | Toronto | 2011-01-20 / 20110014880 - SYSTEM AND METHOD FOR A SINGLE CHIP DIRECT CONVERSION TRANSCEIVER IN SILICON | 2 |
Nikolai Laskin | CA | Toronto | 2011-09-15 / 20110224922 - Method for preprocessing vibro-sensor signals for engine diagnostics and device for carrying out thereof | 1 |
Julia Laskin | US | Richland | 2011-09-15 / 20110220784 - FOCUSED ANALYTE SPRAY EMISSION APPARATUS AND PROCESS FOR MASS SPECTROMETRIC ANALYSIS | 2 |
Alexander Laskin | US | Richland | 2011-09-15 / 20110220784 - FOCUSED ANALYTE SPRAY EMISSION APPARATUS AND PROCESS FOR MASS SPECTROMETRIC ANALYSIS | 1 |
Katya Laskin | CA | Thornhill | 2013-06-13 / 20130147566 - VARACTOR VOLTAGE CONTROLLED OSCILLATOR (VCO) PROVIDING INDEPENDENT COARSE AND FINE FREQUENCY TUNING | 2 |
Hardy A. Laskin | US | Phoenix | 2016-01-21 / 20160015159 - CIGAR HOLDER AND METHOD | 1 |
Jeffrey D. Laskin | US | Piscataway | 2016-02-04 / 20160031804 - Augmenting Moieties for Anti-Inflammatory Compounds | 6 |
Alexander Laskin | DE | Berlin | 2011-01-27 / 20110019292 - Achromatic Optical System for Beam Shaping | 1 |
Bryan Laskin | US | Wayzata | 2016-04-07 / 20160098523 - RECORD BUILDER | 3 |
Bruce Steven Laskin | US | New York | 2009-05-21 / 20090128365 - METHODS AND SYSTEMS FOR DELIVERING REAL-TIME TRAFFIC VIDEO TO A HANDHELD DEVICE | 1 |
Scott B. Lasko | US | Pewaukee | 2015-05-14 / 20150131210 - FLEXIBLE CABLE ASSEMBLY WITH IMPROVED MANUFACTURABILITY | 3 |
Donna Lasko | US | Weatherford | 2013-02-21 / 20130042388 - Feminized Baseball Caps | 1 |
Eyal Lasko | IL | Tel Mond | 2015-03-19 / 20150080979 - FUNCTIONAL ELECTRICAL STIMULATION SYSTEMS | 3 |
Dean Larry Lasko | CA | Mistatim | 2014-01-16 / 20140015657 - Brake Testing Device | 1 |
Thomas A. Lasko | US | Nashville | 2015-08-06 / 20150219682 - GAMIFICATION OF AN EVENT | 1 |
Bernard Lasko | US | Spartanburg | 2014-05-01 / 20140120259 - Rotary Applicator | 5 |
Darren D. Lasko | US | Forest | 2015-06-11 / 20150161404 - DEVICE INITIATED AUTO FREEZE LOCK | 2 |
Daniel T. Lasko | US | Bloomfield | 2016-05-12 / 20160129979 - TRANSFORMER IN-SITU INSPECTION VEHICLE WITH A CAGE HULL | 1 |
Rick T. Lasko | US | Homer Glen | 2010-05-06 / 20100113205 - SHEATH ASSEMBLY FOR A PLANETARY GEAR | 2 |
William E. Lasko | US | Chester Springs | 2009-05-14 / 20090120925 - HEATER WITH 360 DEGREE ROTATION OF HEATED AIR STREAM | 1 |
Daniel J. Lasko | US | South Jordan | 2015-08-06 / 20150219682 - GAMIFICATION OF AN EVENT | 1 |
Zachary Lasko | US | Rockville | 2014-09-18 / 20140260901 - Learning System and Method | 1 |
Rick Thomas Lasko | US | Homer Glen | 2012-04-26 / 20120101863 - MACHINE-MANAGEMENT SYSTEM | 1 |
Joel Lasko | US | Southbury | 2015-05-21 / 20150142657 - LINKING PHYSICAL CARD TO VIRTUAL CARD ACCOUNT METHOD AND APPARATUS | 1 |
Dana Lasko | CA | Montreal | 2009-11-19 / 20090285833 - CLOSTRIDIUM BOTULINUM C3 EXOTRANSFERASE COMPOSITIONS AND METHODS FOR TREATING TUMOUR SPREADING | 2 |
Darren Lasko | US | Forest | 2013-10-24 / 20130283381 - SYSTEMS AND METHODS FOR PROVIDING ANTI-MALWARE PROTECTION ON STORAGE DEVICES | 1 |
Inga Lasko | CA | Winnipeg | 2010-01-07 / 20100002960 - Bag for Installation on a Mobile Cleaning Cart | 1 |
Darren Lasko | US | Longmont | 2010-12-02 / 20100303239 - METHOD AND APPARATUS FOR PROTECTING ROOT KEY IN CONTROL SYSTEM | 2 |
Kim Lasko | US | Maynard | 2015-07-30 / 20150215586 - Selection and Display of Adaptive Rate Streams in Video Security System | 2 |
Bernard Lasko | US | Spartanberg | 2012-03-22 / 20120067870 - Integral Inductor-Susceptor | 2 |
Michael B. Laskoff | US | New York | 2011-08-04 / 20110191120 - APPARATUS AND METHOD FOR DELIVERING EMPIRICALLY-SUPPORTED TALK THERAPY | 1 |
Victor P. Laskorski | US | N. Attleborough | 2016-05-19 / 20160138221 - INDUSTRIAL FABRIC AND METHOD OF WELDING SEAM AREA USING ULTRASONIC WELDING | 2 |
Matthew Laskoski | US | Springfield | 2016-05-19 / 20160138199 - CARBON NANOTUBE FIBERS/FILAMENTS FORMULATED FROM METAL NANOPARTICLE CATALYST AND CARBON SOURCE | 34 |
Frederick M. Laskovics | US | Cincinnati | / - | 1 |
Daniel Laskowitz | US | Lancaster | 2016-01-28 / 20160022326 - SPINOUS PROCESS FUSION DEVICES | 13 |
Daniel T. Laskowitz | US | Chapel Hill | 2015-09-10 / 20150250847 - PEPTIDES FOR SUPPRESSING INFLAMMATION | 7 |
Daniel Laskowitz | US | Lancaster | 2016-01-28 / 20160022326 - SPINOUS PROCESS FUSION DEVICES | 13 |
Daniel Laskowitz | US | Chapel Hill | 2009-12-24 / 20090317821 - METHODS AND COMPOSITIONS FOR IDENTIFYING INDIVIDUALS AT REDUCED RISK OF SEPSIS | 1 |
Dan Laskowitz | US | Lancaster | 2015-08-13 / 20150224227 - Bone Grafts and Methods of Making and Using Bone Grafts | 4 |
Ian Laskowitz | US | Carmel | 2012-09-20 / 20120234444 - NON-PNEUMATIC TIRE WITH ANNULAR SPOKE REINFORCING WEB | 1 |
Ian Laskowitz | US | Woodbury | 2010-05-06 / 20100108215 - MULTIPLE HARDNESS NON-PNEUMATIC TIRE | 6 |
Daniel Laskowitz | US | Philadelphia | 2009-12-03 / 20090299411 - System and Method for Replacement of Spinal Motion Segment | 1 |
Matthew Laskowski | US | Springfield | 2011-10-27 / 20110263775 - PHOSPHINE OXIDE CONTAINING PHTHALONITRILES | 1 |
Joseph Leonard Laskowski | US | Newport Coast | 2015-02-12 / 20150043625 - Upstream power amplifier | 1 |
Michael Laskowski | US | Livonia | 2009-01-22 / 20090021067 - ARTICULATING HIGH LATCH FOR A SEAT | 1 |
Scott M. Laskowski | US | Madisonville | 2009-08-13 / 20090199747 - BIOMASS BURNER SYSTEM | 1 |
Michael Laskowski | US | Pittsburgh | 2016-04-21 / 20160106140 - FRUIT CUTTING DEVICE WITH PUSHER | 3 |
Peter Laskowski | US | Madison | 2010-06-17 / 20100150019 - Routing Method in Asymmetric Networks | 1 |
Thomas M. Laskowski | US | Pacific | 2010-06-24 / 20100154203 - METHODS OF MAKING CERAMIC HEATERS WITH POWER TERMINALS | 1 |
Michael John Laskowski | US | Pittsburgh | 2015-09-10 / 20150251826 - CONTAINER LID | 3 |
Carole Laskowski | US | Seven Hills | 2013-03-07 / 20130056534 - AUTOMATED BANKING MACHINE THAT OUTPUTS INTERFERENCE SIGNALS THAT JAM READING ABILITY OF UNAUTHORIZED CARD READERS | 5 |
Edward Paul Laskowski | US | Montgomery | 2010-11-04 / 20100275530 - Parking Garage Vehicle Lock Box | 2 |
Joseph L. Laskowski | US | Newport Coast | 2010-12-02 / 20100306814 - Integrated Set-Top Box with Daisy-Chaining | 1 |
Gregory Laskowski | US | Saratoga Springs | 2010-12-30 / 20100325957 - GASIFICATION SYSTEM FLOW DAMPING | 1 |
Gregory Michael Laskowski | US | Saratoga Springs | 2013-01-10 / 20130011308 - QUENCH CHAMBER ASSEMBLY FOR A GASIFIER | 8 |
Joseph J. Laskowski | US | Derby | 2015-07-02 / 20150182066 - CLEANING SYSTEM AND METHOD FOR BEVERAGE APPLIANCE | 7 |
Conrad Laskowski | US | Bethesda | 2014-08-07 / 20140220584 - SYSTEMS AND METHODS FOR MINIMIZATION OR ELIMINATION OF DIFFUSION EFFECTS IN A MICROFLUIDIC SYSTEM | 2 |
Lindsay M. Laskowski | US | Houston | 2010-06-24 / 20100154469 - Process and system for liquefaction of hydrocarbon-rich gas stream utilizing three refrigeration cycles | 1 |
Tina Laskowski | US | West Chester | 2015-07-23 / 20150206052 - ANALYSIS OF MEDICAL EQUIPMENT USAGE | 1 |
Edward L. Laskowski | US | Seven Hills | 2013-03-07 / 20130056534 - AUTOMATED BANKING MACHINE THAT OUTPUTS INTERFERENCE SIGNALS THAT JAM READING ABILITY OF UNAUTHORIZED CARD READERS | 19 |
Gregory Michael Laskowski | US | Rowley | 2016-05-05 / 20160123154 - ENGINE COMPONENT FOR A TURBINE ENGINE | 1 |
Derrick Laskowski | US | Houston | 2016-01-28 / 20160023732 - SUBSEA VESSEL AND USE | 2 |
Gregory Michael Laskowski | US | Saratoga Springs | 2013-01-10 / 20130011308 - QUENCH CHAMBER ASSEMBLY FOR A GASIFIER | 8 |
Conrad Laskowski | US | Baltimore | 2016-02-25 / 20160051985 - SYSTEM AND METHOD FOR SERIAL PROCESSING OF MULTIPLE NUCLEIC ACID ASSAYS | 4 |
Donald Laskowski | US | Indianapolis | 2015-01-29 / 20150027039 - APPARATUS AND METHOD FOR DRYING BIOMASS | 3 |
Scott Laskowski | US | Madisonville | 2015-01-29 / 20150027039 - APPARATUS AND METHOD FOR DRYING BIOMASS | 3 |
Benjamin David Laskowski | US | Greenville | 2016-02-18 / 20160048125 - ONLINE FREQUENCY RESPONSE CHARACTERIZATION SYSTEM AND METHOD | 2 |
Patricia M. Laskowsky | US | Ann Arbor | 2016-03-17 / 20160077160 - BATTERY IMPEDANCE AND POWER CAPABILITY ESTIMATOR AND METHODS OF MAKING AND USING THE SAME | 8 |
Patricia M. Laskowsky | US | Ann Arbor | 2016-03-17 / 20160077160 - BATTERY IMPEDANCE AND POWER CAPABILITY ESTIMATOR AND METHODS OF MAKING AND USING THE SAME | 8 |
Michael B. Lasky | US | Atlanta | 2013-10-31 / 20130285557 - INTELLIGENT DECORATIVE DISPLAYS WITH AMBIENT ELECTROMAGNETIC FIELD SWITCHING | 6 |
David M. Lasky | US | Kirkland | 2014-08-28 / 20140244741 - Computer-Implemented System And Method For Context-Based APP Searching And APP Use Insights | 1 |
Jodi Lasky | US | Springfield | 2012-01-05 / 20120003612 - METHODS OF SIMULATING COMBAT | 5 |
Michael Eric Lasky | US | Orem | 2014-09-18 / 20140282062 - TECHNIQUES FOR EFFICIENT AND GRANULAR COMPOSITION OF A USER PROFILE | 1 |
Larry C. Lasky | US | Columbus | 2010-09-30 / 20100248361 - PLATELET PRODUCTION METHODS | 1 |
David A. Lasky | US | Madison | 2012-04-05 / 20120083427 - KINASE AND PHOSPHATASE ASSAYS | 2 |
Thomas D. Lasky | US | Bloomfield Hills | 2012-03-29 / 20120074792 - SYSTEM AND METHOD FOR LOAD CONTROL | 4 |
Joseph Alexander Lasky | US | New Orleans | 2012-08-23 / 20120214819 - Use of N--4-(3-pyridyl)-2-pyridine-amine for the Treatment of Pulmonary Hypertension | 2 |
Charles Louis Lasky | US | Grafton | 2012-05-24 / 20120129619 - PLAYSET SYSTEM COMPONENTS | 3 |
Michael Lasky | US | Arlington | 2009-11-05 / 20090275289 - Method and system for determining radio spectrum availability and quality | 1 |
Rodney J. Lasky | US | New Hope | 2009-10-29 / 20090269954 - CIRCUIT PROTECTION BLOCK | 1 |
Mark A. Lasky | US | Riverwoods | 2009-04-30 / 20090108963 - Integral variable termination for alarm system devices | 1 |
Jerome Brett Lasky | US | Essex Junction | 2008-12-04 / 20080296706 - COBALT DISILICIDE STRUCTURE | 1 |
Steven J. Lasky | US | Ankeny | 2016-04-21 / 20160109474 - HIGHLY AUTOMATED CAPILLARY ELECTROPHORESIS SYSTEM | 2 |
Laurence A. Lasky | US | Sausalito | 2012-12-27 / 20120328632 - INHIBITION OF LEUKOCYTE ADHESION | 2 |
Michael Lasky | US | Atlanta | 2015-08-06 / 20150220246 - Method and System for Managing, Evaluation and Treatment of Physical Structures for Pest Control | 4 |
Michael Lasky | US | Highland | 2014-06-19 / 20140172791 - APPLICATION OF A DIFFERENTIAL DATASET TO A DATA STORE USING SEQUENTIAL CHANGE SETS | 2 |
Joseph A. Lasky | US | New Orleans | 2014-01-16 / 20140017336 - CO-ADMINISTRATION OF ARSENIC COMPOUNDS AND ANTI-HERPES VIRUS ANTI-VIRALS | 1 |
Charles L. Lasky | US | Grafton | 2011-06-16 / 20110139645 - Protective Sheath For Securing A Blade Of A Cutlery Implement | 1 |
Mark Lasky | US | Riverwoods | 2012-10-25 / 20120268161 - Termination device and system and method for termination for an alarm system peripheral device | 1 |
Thomas Lasky | US | Milwaukee | 2014-12-11 / 20140365638 - SYSTEMS AND METHODS FOR MONITORING SYSTEM PERFORMANCE AND AVAILABILITY. | 1 |
Alexander Michael Laslavic | US | San Francisco | 2016-03-24 / 20160087880 - ROUTING NETWORK TRAFFIC BASED ON SOCIAL INFORMATION | 1 |
Brian James Lasley | US | Summerfield | 2013-07-04 / 20130169009 - AIRCRAFT SEAT WITH FABRIC SEAT BACK TRAY | 1 |
William L. Lasley | US | Inverness | 2012-11-01 / 20120277203 - ANDROSTENEDIOL AS AN INDICATOR FOR ASSESSING ESTROGENICITY | 1 |
Daniel G. Lasley | US | West Chester | 2015-03-12 / 20150070712 - TARGET APPARATUS AND METHOD | 6 |
Matthew W. Lasley | US | Anna | 2010-04-08 / 20100084523 - LEVELING DEVICE | 1 |
Christopher C. Lasley | US | Flagstaff | 2014-07-24 / 20140207228 - SHAPE MEMORY ALLOY ARTICLES WITH IMPROVED FATIGUE PERFORMANCE AND METHODS THEREFORE | 5 |
Bruce M. Lasley | US | Dallas | 2012-03-22 / 20120069742 - Method and Apparatus for Network OAM Interworking | 1 |
Ben Lasley | US | Daly City | 2014-04-10 / 20140100905 - HOME TOUR AND OPEN HOUSE SCHEDULER | 1 |
Tim Lasley | US | Pineville | 2013-09-26 / 20130248328 - MODIFIED PUSH BEAMS FOR HIGHWALL MINING | 1 |
Douglas E. Lasley | US | Middletown | 2015-07-09 / 20150194649 - SELF-CONTAINED BATTERY CELL PACKAGING FOR FLEXIBLE ARRANGEMENTS AND THERMAL MANAGEMENT | 1 |
David Nathan Laslo | US | Forty Fort | 2011-09-08 / 20110215624 - APPARATUS FOR TILTING A WHEELCHAIR SEAT | 1 |
Dennis J. Laslo | US | Knoxville | 2013-07-25 / 20130189171 - METHOD FOR FLUE GAS SCRUBBING | 6 |
Theodore Patrick Laslo | US | Bethlem | 2015-12-03 / 20150342910 - PHARMACEUTICAL COMPOSITIONS | 1 |
David Laslo | US | Ewing | 2014-09-18 / 20140262566 - LIFT MECHANISM AND TILT MECHANISM FOR A POWER WHEELCHAIR | 1 |
Dennis James Laslo | US | Ten Mile | 2015-10-01 / 20150273428 - OXIDATION CONTROL FOR IMPROVED FLUE GAS DESULFURIZATION PERFORMANCE | 7 |
Shay Laslo Amit | IL | Kfar Saba | 2015-01-29 / 20150031354 - METHOD AND SYSTEM FOR COORDINATING CELLULAR NETWORKS OPERATION | 2 |
Michael Philip Lasmanis | US | San Francisco | 2011-07-14 / 20110173559 - METHOD AND SYSTEM FOR PUBLISHING AN ONLINE POST FROM A DEVICE | 2 |
Corinne Lasmezas | US | Palm Beach Gardens | 2014-02-06 / 20140039156 - HIGH-THROUGHPUT SCREENING FOR COMPOUNDS MODULATING EXPRESSION OF CELLULAR MACRO-MOLECULES | 1 |
Jose Maria Las Navas Garcia | US | Conway | 2014-06-12 / 20140161150 - METHOD AND APPARATUS FOR MULTIPLE SAMPLE PREPARATION AND SIMULTANEOUS LOSS OF IGNITION/GAIN ON IGNITION ANALYSIS, FOR USE IN X-RAY FLUORESCENCE SPECTROMETRY | 3 |
Régis Lasnel | FR | Pau | 2013-07-18 / 20130182097 - METHOD OF IMAGING A LONGITUDINAL CONDUIT | 1 |
Régis Lasnel | FR | Pau | 2013-07-18 / 20130182097 - METHOD OF IMAGING A LONGITUDINAL CONDUIT | 1 |
Régis Lasnel | FR | Pau | 2013-07-18 / 20130182097 - METHOD OF IMAGING A LONGITUDINAL CONDUIT | 1 |
Jeffrey Irwin Lasner | US | Purchase | 2010-06-10 / 20100143866 - Periotome | 1 |
Michael E. Lasner | US | Mt. Kisco | 2014-07-03 / 20140182424 - Ring Handled Device and Method of Manufacturing Same | 2 |
Richard M. Lasner | US | San Rafael | 2010-01-14 / 20100005942 - Ergonomic Guitar | 1 |
Michael Eric Lasner | US | Mt. Kisco | 2010-06-10 / 20100143866 - Periotome | 1 |
Jeffrey I. Lasner | US | Purchase | 2015-02-12 / 20150044634 - Root Canal Probe Tool and Method of Removing a Broken Instrument Fragment from a Root Canal | 4 |
Jacky Lasnier | FR | Sainte Marguerite Sur Duclair | 2014-04-17 / 20140102585 - Fillable Bottle For Dispensing A Fluid Product | 7 |
Jacky Lasnier | FR | Sainte Marquerite Sur Duclair | 2016-03-31 / 20160090199 - Refillable Bottle For Dispensing A Fluid Product | 3 |
Jacky Lasnier | FR | Saint Marguerite Sur Duclair | 2012-12-13 / 20120312843 - BOTTLE FOR DISPENSING A FLUID PRODUCT | 1 |
Amanda B. Lasnik | US | Louisville | 2010-09-02 / 20100221280 - PLANT-PRODUCED COMPOSITIONS FOR TREATING PAPILLOMAVIRUS INFECTION AND RELATED METHODS | 1 |
Jose Antonio Laso | US | Fairburn | 2015-12-03 / 20150346424 - Managed Illumination Lightguide | 1 |
Jose Antonio Laso | US | Newman | 2008-10-16 / 20080253133 - Reflectors for luminaires | 1 |
Manuel Laso | ES | Madrid | 2014-10-02 / 20140296810 - LAMINATE ABSORBENT CORE FOR USE IN ABSORBENT ARTICLES | 2 |
Prof. Manuel Laso | ES | Madrid | 2012-12-13 / 20120316524 - Laminate Absorbent Core for Use in Absorbent Articles | 1 |
Jose Antonio Laso | US | Newnan | 2010-08-19 / 20100208476 - Light Fixture Having Socket Track Assemblies with Detachable Row Aligner | 2 |
Alicia Lasocha | PL | Mogilany | 2013-09-19 / 20130244866 - Catalyst For Direct Decomposition of Nitric Oxide And Method of Manufacturing The Catalyst | 1 |
Wieslaw Lasocha | PL | Krakow | 2013-09-19 / 20130244866 - Catalyst For Direct Decomposition of Nitric Oxide And Method of Manufacturing The Catalyst | 1 |
James Anthony Lasome | US | Matawan | 2014-11-06 / 20140329733 - PERFUME SYSTEMS | 4 |
Terence D. La Sorda | US | Norristown | 2014-02-20 / 20140047953 - VAPOR-REINFORCED EXPANDING VOLUME OF GAS TO MINIMIZE THE CONTAMINATION OF PRODUCTS TREATED IN A MELTING FURNACE | 6 |
Frantz Lasorne | FR | Nantes | 2014-12-25 / 20140378023 - TOY CONSTRUCTION SYSTEM FOR AUGMENTED REALITY | 2 |
Vincent S. Lasorsa | US | Cranston | 2016-03-10 / 20160069077 - DROP CEILING SUPPORT RING AND METHOD OF USE | 1 |
Richard J. Lasota | US | Pewaukee | 2016-05-19 / 20160138916 - LEVEL | 5 |
Douglas R. Lasota | US | Saugus | 2013-10-31 / 20130289624 - Modular Multi-Level Spine Stabilization System and Method | 3 |
Bozena Lasota | US | Brookfield | 2009-02-05 / 20090033475 - Bicycle Warning Device | 1 |
Richard Lasota | US | New York | 2009-03-05 / 20090063200 - METHOD AND SYSTEM FOR INITIALLY PROJECTING AN INSURANCE COMPANY'S NET LOSS FROM A MAJOR LOSS EVENT USING A NETWORKED COMMON INFORMATION REPOSITORY | 2 |
James E. Lasota | US | Simsbury | 2009-11-26 / 20090288693 - Anti-slip Crutch Tip Apparatus and Method | 1 |
Gauthier Lasou | FR | Bures-Sur-Yvette | 2012-05-10 / 20120115071 - SYSTEM FOR CONVERTING ENERGY WITH AN ENHANCED ELECTRIC FIELD | 1 |
Eric D. Laspe | US | San Jose | 2014-11-20 / 20140344924 - PREVENTING UNAUTHORIZED CALLS TO A PROTECTED FUNCTION | 2 |
Eric Laspesa | FR | Six Fours | 2009-03-26 / 20090078487 - Mechanical absorption systems for an active bonnet hinge | 1 |
Eric Laspesa | FR | Saint Medard En Jalles | 2011-12-29 / 20110314809 - ACTIVATED-STROKE ACTUATOR, IN PARTICULAR FOR AN AUTOMOBILE SAFETY SYSTEM FOR THE PROTECTION OF PEDESTRIANS | 1 |
Eric Laspesa | FR | Althen Des Paluds | 2012-02-16 / 20120038139 - GAS GENERATOR FOR MOTOR VEHICLE SAFETY AIRBAG | 3 |
Eric Laspesa | FR | Sorgues | 2011-01-20 / 20110011256 - HOOD-LIFTING ACTUATOR WITH DISARMABLE RETURN BRAKING ARRANGEMENT | 1 |
Antonino La Spina | IT | Ramacca (pa) | 2013-01-10 / 20130014215 - SECURITY MEMORY ACCESS METHOD AND APPARATUS | 1 |
Rita La Spina | GB | Sheffield | 2009-01-29 / 20090026407 - ADHESIVE MATERIALS | 1 |
Antonino La Spina | IT | Ramacca | 2014-10-30 / 20140325176 - SECURITY MEMORY ACCESS METHOD AND APPARATUS | 1 |
Anass Lasram | FR | Vandoeuvre-Les-Nancy | 2013-07-11 / 20130176310 - IMAGE SYNTHESIS DEVICE | 1 |
Jacob Lasri | IL | Hod Hasharon | 2015-09-03 / 20150249311 - HYBRID ISOLATOR AND MODE EXPANDER FOR FIBER LASER AMPLIFIERS | 1 |
Jacob Lasri | IL | Givatayim | 2015-09-03 / 20150249316 - ARBITRARY PULSE SHAPING IN HIGH PEAK POWER FIBER LASERS | 2 |
Jacob Lasri | US | Boulder | 2010-11-11 / 20100284430 - SYSTEMS AND METHODS FOR GENERATING HIGH REPETITION RATE ULTRA-SHORT OPTICAL PULSES | 1 |
Nathaniel Lasry | CA | Hampstead | 2013-07-25 / 20130191240 - METHOD AND SYSTEM FOR PROVIDING AT LEAST ONE CUSTOMIZED SHOE TO A USER | 1 |
Steven L. Lass | US | Allen | 2012-09-20 / 20120237014 - ROUTING CALLS IN A NETWORK | 1 |
Amir Lass | GB | London | 2015-09-24 / 20150269353 - Apparatus and method for obtaining an identification of drugs for enhanced safety | 2 |
Stanley Edwin Lass | US | Ogden | 2014-02-06 / 20140034033 - Pancake engine with overexpansion | 1 |
Steven L. Lass | US | Farmers Branch | 2010-02-25 / 20100046722 - TELEPHONE SYSTEM AND METHOD FOR RELIABLE EMERGENCY SERVICES CALLING | 1 |
Eric A. Lass | US | Montgomery Village | 2016-03-10 / 20160068940 - ALLOY WITH SELECTED ELECTRICAL CONDUCTIVITY AND ATOMIC DISORDER, PROCESS FOR MAKING AND USING SAME | 1 |
Amber B. Lass | US | Charlottesville | 2013-06-20 / 20130154347 - TRACK ROLLER ASSEMBLY HAVING ADJUSTABLE IDLER WHEEL | 2 |
Joseph Lass | DE | Muenchen | 2011-07-28 / 20110180211 - Method for Joining Two Components | 3 |
Achim Lass | AT | Graz | 2012-09-13 / 20120230943 - MODULATION OF ADIPOSE TRIGLYCERIDE LIPASE FOR PREVENTION AND TREATMENT OF CACHEXIA, LOSS OF WEIGHT AND MUSCLE ATROPHY AND METHODS OF SCREENING THEREFOR | 3 |
Robert Edward Lass | US | Long Grove | 2016-03-24 / 20160082758 - TRI-STATE DETECTOR FOR DETECTING TYPES OF LIGHT | 1 |
Jaanus Lass | EE | Tallinn | 2009-02-26 / 20090054801 - METHOD AND DEVICE FOR DETERMINING DEPRESSIVE DISORDERS BY MEASURING BIOELECTROMAGNETIC SIGNALS OF THE BRAIN | 1 |
Robert Lass | US | Mundelein | 2010-05-20 / 20100123603 - METHOD AND DEVICE FOR MONITORING THE FUNCTION OF A SAFETY UNIT | 1 |
Joseph Lass | DE | Munchen | 2013-10-10 / 20130263649 - VALVE, DEVICE COMPRISING A VALVE, USE OF THE VALVE IN THE DEVICE, MICROPUMP COMPRISING A VALVE, ATOMIZATION SYSTEM COMPRISING A VALVE, AND METERING/MIXING DEVICE COMPRISING A VALVE | 2 |
Yoram Lass | IL | Tel-Aviv | 2012-03-29 / 20120074060 - DEVICE AND METHOD FOR WATER FILTRATION USING RECYCLED MEDICAL FILTERS | 1 |
Volker Lass | DE | Elmenhorst | 2012-02-02 / 20120029105 - METHOD FOR CREATING A FOAMED MASS SYSTEM | 1 |
Helgo Lass | CN | Hong Kong | 2013-10-10 / 20130266442 - PADDLE | 1 |
Joseph Lass | DE | Munich | 2015-02-05 / 20150034075 - AEROSOL GENERATING MEANS FOR INHALATION THERAPY DEVICES | 2 |
Stanley E. Lass | US | Ogden | 2009-08-27 / 20090211384 - Engine-flywheel hybrid | 1 |
David E. Lass | US | Addison | 2015-12-31 / 20150381086 - FAN MOTOR POWER SUPPLY | 2 |
Horst Lass | DE | Bochum | 2012-05-03 / 20120104338 - VEHICLE RESTRAINT SYSTEM WITH WEIGHTING BODY | 5 |
Bennett Lass | US | Union | 2008-11-27 / 20080294383 - Mobile device throughput testing | 1 |
Paul A. Lassa | US | 2011-11-17 / 20110283055 - Exclusive-Option Chips and Methods with All-Options-Active Test Mode | 1 | |
Paul A. Lassa | US | Cupertino | 2014-10-30 / 20140325131 - Controller and Method for Performing Background Operations | 12 |
Paul Lassa | US | Cupertino | 2013-03-21 / 20130073784 - METHOD AND SYSTEM FOR RANDOM WRITE UNALIGNMENT HANDLING | 2 |
Paul Lassa | US | Mountain View | 2008-09-18 / 20080229121 - Selectively Powered Data Interfaces | 1 |
James C. Lassa | US | Leesburg | 2013-12-19 / 20130335268 - Multipath Isolation Through the Combined Use of Antenna Diversity and Frequency Diversity | 2 |
Paul A. Lassa | US | Cupertino | 2014-10-30 / 20140325131 - Controller and Method for Performing Background Operations | 12 |
Gordon D. Lassahn | US | Idaho Falls | 2008-12-04 / 20080300796 - BIOLOGICAL ANALYSIS METHODS, BIOLOGICAL ANALYSIS DEVICES, AND ARTICLES OF MANUFACTURE | 2 |
Paul Lassalle | FR | Biarritz | 2009-03-19 / 20090076186 - Adhesive composition and element for attaching to human skin | 2 |
Alexandre Lassalle | FR | Guyancourt | 2014-11-27 / 20140348473 - Optical Cable Assembly, an Optical Module, and a Method for Mounting an Optical Cable Assembly to an Optical Module | 1 |
Jean-Pierre Lassalle | FR | Thaon Les Vosges | 2013-11-21 / 20130309072 - BEARING SPACER AND HOUSING | 2 |
Gilbert Lassalle | FR | Paris | 2015-11-19 / 20150328205 - 2-AMINO-3-(IMIDAZOL-2-YL)-PYRIDIN-4-ONE DERIVATIVES AND THEIR USE AS VEGF RECEPTOR KINASE INHIBITORS | 10 |
Brice Lassalle | FR | Les Loges En Josas | 2013-12-05 / 20130320742 - VEHICLE SEAT ASSEMBLY WITH COMPOSITE FRAME | 1 |
Florent Lassalle | FR | Saint Cassien | / - | 1 |
François Lassalle | FR | Strasbourg | 2012-09-27 / 20120240463 - MODULAR PLANTING AND CULTIVATING CONTAINER AND SYSTEM AND REVEGETATION METHOD USING SUCH CONTAINERS | 1 |
Francois Lassalle | FR | Erstein | 2008-12-04 / 20080295402 - Modular Greening Device for Facades, Walls or the Like | 1 |
Philippe Lassalle | FR | Lille Cedex | 2014-01-16 / 20140017707 - METHODS AND KITS FOR PREDICTING THE RISK OF RESPIRATORY FAILURE, RENAL FAILURE OR THROMBOPENIA IN A SEPTIC PATIENT BY MEASURING ENDOCAN LEVELS IN BLOOD | 3 |
Gilbert Lassalle | FR | Les Molieres | 2013-07-25 / 20130190268 - BIOTINYLATED POLYSACCHARIDES HAVING AN ANTITHROMBOTIC ACTIVITY AND IMPROVED METABOLIC STABILITY | 6 |
Christophe Lassalle | FR | Leigne Les Bois | 2010-01-21 / 20100011892 - TOOTHED WHEEL | 1 |
Jean-Pierre Lassalle | US | Morristown | 2011-03-03 / 20110052429 - BEARING SPACER AND HOUSING | 1 |
Gilbert Lassalle | FR | Paris | 2015-11-19 / 20150328205 - 2-AMINO-3-(IMIDAZOL-2-YL)-PYRIDIN-4-ONE DERIVATIVES AND THEIR USE AS VEGF RECEPTOR KINASE INHIBITORS | 10 |
Remy Lassalle-Balier | FR | Villebon Sur Yvette | 2015-07-09 / 20150192648 - Magnetoresistance Element with Improved Response to Magnetic Fields | 1 |
Remy Lassalle-Balier | IE | Dublin | 2014-06-12 / 20140160834 - Frequency Resistance Access Magnetic Memory | 1 |
Teodoro Lassally | US | Port Orange | 2010-03-18 / 20100067727 - Noise cancelling microphone with wind shield | 1 |
Teodoro Lassally | US | Deland | 2014-10-23 / 20140315502 - TWO WAY RADIO AND PERIPHERAL DEVICES | 1 |
Todd W. Lassanske | US | Madison | 2011-05-26 / 20110120232 - Rear Hub Power Meter For A Bicycle | 1 |
Sofie Lassborn | SE | Sollentuna | 2014-01-02 / 20140006557 - Method and Arrangement For Providing Documents | 20 |
Sofie Lassborn | SE | Sollentuna | 2014-01-02 / 20140006557 - Method and Arrangement For Providing Documents | 20 |
Lucas Lassche | NL | Egmond Aan De Hoef | 2011-02-24 / 20110044317 - REAL-TIME VOICE LOGGING OF TELEPHONE CALLS | 1 |
Sammy Lasseel | BE | Smetlede | 2015-11-19 / 20150332610 - MOVABLE INFORMATION DEVICE AND METHOD FOR THE MANUFACTURE THEREOF | 1 |
Jeffrey Scott Lassegard | US | Aliso Viejo | 2015-06-11 / 20150159366 - Collapsible Cellular Insulation | 1 |
Jeff Lassegard | US | Aliso Viejo | 2014-09-18 / 20140274577 - APPARATUS, SYSTEM, AND METHOD FOR DUAL TREAD TREADMILL IMPROVEMENTS | 3 |
Coleen M. Lassegard | US | Encinitas | 2013-10-10 / 20130264856 - ENGINEERED MOLDED FIBERBOARD PANELS AND PRODUCTS FABRICATED FROM THE PANELS | 2 |
John Lassek | US | Katy | 2015-05-14 / 20150129214 - SEALING BY BALL SEALERS | 11 |
Peter Lassen | US | Lisle | 2011-03-03 / 20110053418 - MODULAR JACK WITH TWO-PIECE HOUSING AND INSERT | 1 |
Bernd Lassen | DE | Monkeberg | / - | 1 |
Anders Lassen | NO | Trondheim | 2015-08-20 / 20150235384 - METHOD OF AND APPARATUS FOR ENCODING AND DECODING DATA | 9 |
Tobin S. Lassen | US | Houston | 2012-08-23 / 20120215563 - ADMINISTRATION OF BUNDLED HEALTH CARE PRICING | 2 |
Heinz-Otto Lassen | DE | Flensburg | 2011-08-04 / 20110189010 - METHOD OF MOUNTING A COMPRESSOR BLOCK ON A STATOR AND A COMPRESSOR ARRANGEMENT | 4 |
Patricia Cheryl Lassen | US | Orange | 2014-09-11 / 20140258083 - SYSTEMS AND METHODS FOR MICROFINANCE CREDIT DATA PROCESSING AND REPORTING | 6 |
Morton Lassen | US | Scottsdale | 2008-11-20 / 20080282859 - AUTOMATIC PATTERN MAKING DEVICE | 1 |
Jorgen Buus Lassen | DK | Bagsværd | 2016-03-17 / 20160074344 - PHARMACEUTICAL COMPOSITIONS FOR COMBINATION THERAPY | 2 |
Soren Lassen | US | San Francisco | 2014-08-21 / 20140237090 - SERVER MAINTENANCE SYSTEM | 5 |
Soren Flensted Lassen | DK | Kobenhavn O | 2008-09-11 / 20080220118 - Phytase Variants | 2 |
Soren Flensted Lassen | DK | Farum | 2016-05-19 / 20160138000 - Proteases | 11 |
Soren Flensted Lassen | DK | Copenhagen | 2009-06-11 / 20090148924 - PROTEASES AND VARIANTS THEREOF | 1 |
Soren Flensted Lassen | DK | Kobenhavn N | 2010-05-06 / 20100107342 - ENDOGLUCANASES | 1 |
Soren Lassen | AU | Glebe | 2012-05-31 / 20120136973 - SCHEDULING OF MULTIPLE FILES FOR SERVING ON A SERVER | 3 |
Soren Bogh Lassen | US | San Francisco | 2016-01-07 / 20160004786 - SHORT-TERM HASHES | 8 |
Thomas Lassen | DK | Odense S | 2013-11-28 / 20130313290 - DISPENSING LINE FOR A DISPENSING SYSTEM | 1 |
Uwe Lassen | DE | Berlin | 2015-03-26 / 20150083525 - METHOD OF INSTALLING A MACHINE IN AN ELEVATOR SYSTEM | 1 |
Soren Flensted Lassen | DK | Kobenhavn | 2013-12-12 / 20130330781 - Endoglucanases | 1 |
Matthew A. Lassen | US | Seattle | 2015-07-30 / 20150210377 - SYSTEM FOR LATCHING AND LOCKING A FOLDING WING | 3 |
Kenneth Lassen | US | Cheshire | 2013-07-11 / 20130178404 - Microwave Process for Preparing Stable Metal Oxide Dispersions | 1 |
Matthew August Lassen | US | Seattle | 2015-10-22 / 20150298793 - WING FOLD SYSTEM ROTATING LATCH | 5 |
Soren Bogh Lassen | US | San Francisco | 2016-01-07 / 20160004786 - SHORT-TERM HASHES | 8 |
Anders Lassen | DK | Frederiksberg | 2009-02-12 / 20090043269 - APPARATUS FOR DISPENSION OF LIQUID | 1 |
Gert Godvig Lassen | DK | Skjern | 2011-02-03 / 20110023758 - TELESCOPIC LIFTING COLUMN FOR HEIGHT ADJUSTMENT OF ELEVATABLE TABLES | 1 |
Soeren Flensted Lassen | DK | Farum | 2014-02-13 / 20140047571 - Polypeptides Having Phytase Activity and Polynucleotides Encoding Same | 10 |
Søren Flensted Lassen | DK | Farum | 2012-12-27 / 20120331587 - Polypeptides having endoglucanase activity and polynucleotides encoding same | 4 |
Michael Rud Lassen | DK | Rungsted Kyst | 2010-05-27 / 20100129841 - DETECTION OF A BLOOD COAGULATION ACTIVITY MARKER IN A BODY FLUID SAMPLE | 1 |
Anders Lassen | NO | Trondheim | 2015-08-20 / 20150235384 - METHOD OF AND APPARATUS FOR ENCODING AND DECODING DATA | 9 |
Steen G. Lassen | DK | Esbjerg | 2010-11-25 / 20100294278 - ROTARY CASSETTE SYSTEM FOR DRY POWDER INHALER | 1 |
Jørgen Buus Lassen | DK | Bagsvaerd | 2011-07-28 / 20110183995 - ELTOPRAZINE FOR SUPPRESSION OF L-DOPA INDUCED DYSKINESIAS | 1 |
Anders Knive Lassen | NO | Trondheim | 2014-05-15 / 20140132613 - METHOD FOR AUTOMATIC GENERATION OF DRAWING OPERATIONS FROM GRAPHIC ASSETS | 1 |
Klaus Skaalum Lassen | DK | Kastrup | 2016-02-25 / 20160051636 - Animal Feed Enzymes | 1 |
Torge Lassen | DE | Gross-Niendorf | 2012-12-20 / 20120322596 - CHAIN TENSIONING DEVICE FOR USE IN A MOTOR VEHICLE | 1 |
Shawna Lassen | US | Seattle | 2013-01-10 / 20130011564 - CLEANSING PAD | 1 |
Kristian Helkjær Lassen | DK | Studstrup | 2014-08-28 / 20140244764 - METHODS, APPARATUS, AND ARTICLES OF MANUFACTURE TO PROVIDE A PROTOCOL-ENABLED INTERFACE DEFINITION LANGUAGE | 1 |
Soeren Flensted Lassen | DK | Farum | 2014-02-13 / 20140047571 - Polypeptides Having Phytase Activity and Polynucleotides Encoding Same | 10 |
Soren Flensted Lassen | DK | Farum | 2016-05-19 / 20160138000 - Proteases | 11 |
Harlan Jay Lassen | US | San Juan Capistrano | 2011-05-19 / 20110113920 - Steering Wheel Control Arm | 1 |
Søren Flensted Lassen | DK | Copenhagen | 2011-05-19 / 20110117624 - PROTEASES AND VARIANTS THEREOF | 1 |
Steen G. Lassen | DK | Esbjerg V | 2014-06-19 / 20140167313 - A PELLET MILL WITH AN IMPROVED FEED SYSTEM AND A METHOD OF FORMING PELLETED MATERIAL | 3 |
Herbert Lauer Lasser | US | Chesterton | 2011-01-06 / 20110000087 - WHEEL/RIM FIXING DEVICE AND METHOD OF USING THE SAME | 1 |
Robert A. Lasser | US | Florence | 2013-08-22 / 20130217615 - COMBINATION TREATMENT OF MAJOR DEPRESSIVE DISORDER | 1 |
Theo Lasser | CH | Denges | 2014-09-11 / 20140256573 - RAPID QUANTIFICATION OF BIOMOLECULES IN A SELECTIVELY FUNCTIONALIZED NANOFLUIDIC BIOSENSOR AND METHOD THEREOF | 13 |
Elliott C. Lasser | US | La Jolla | 2016-05-19 / 20160136118 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF A COMMON COLD AND SYMPTOMS THEREOF | 12 |
Theo Lasser | CH | Denges | 2014-09-11 / 20140256573 - RAPID QUANTIFICATION OF BIOMOLECULES IN A SELECTIVELY FUNCTIONALIZED NANOFLUIDIC BIOSENSOR AND METHOD THEREOF | 13 |
Kenneth H. Lasser | US | La Mesa | 2016-05-19 / 20160136118 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF A COMMON COLD AND SYMPTOMS THEREOF | 4 |
Marvin E. Lasser | US | Potomac | 2009-08-27 / 20090216129 - Hand-held ultrasound imaging device and techniques | 1 |
Menahem Lasser | IL | Kohav Yair | 2016-05-12 / 20160132221 - METHOD AND APPARATUS FOR MANAGING A JOINT SLIDE SHOW WITH ONE OR MORE REMOTE USER TERMINALS | 68 |
Robert S. Lasser | US | Washington | 2015-12-24 / 20150369909 - IMAGE SENSOR FOR LARGE AREA ULTRASOUND MAPPING | 2 |
Haim Lasser | IL | Kfar Saba | 2016-04-14 / 20160101034 - ENZYMATIC SYSTEM-CONTAINING COSMETIC COMPOSITIONS | 3 |
Theo Lasser | CH | Echandens-Denges | 2009-01-15 / 20090015842 - Phase Sensitive Fourier Domain Optical Coherence Tomography | 1 |
Theo Lasser | CH | Echandens | 2009-05-21 / 20090128824 - OPTICAL IMAGING SYSTEM WITH EXTENDED DEPTH OF FOCUS | 1 |
Gerald W. Lasser | US | Lynnwood | 2011-05-26 / 20110125089 - HEMOSTATIC MICROSPHERES | 1 |
Elliott C. Lasser | US | La Jolla | 2016-05-19 / 20160136118 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF A COMMON COLD AND SYMPTOMS THEREOF | 12 |
Menahem Lasser | US | Kohav Yair | 2015-06-25 / 20150178189 - Systems and Methods for Scheduling Post-Write Read in Nonvolatile Memory | 1 |
Menaham Lasser | IL | Kochav Yair | 2015-12-03 / 20150347053 - Systems and Methods for Immediate Physical Erasure of Data Stored In a Memory System In Response to a User Command | 1 |
Amelia Lasser | US | Menlo Park | 2015-05-21 / 20150142035 - Devices, Systems, Methods and Kits for Performing Selective Dissection of Lung Tissue | 4 |
Menahem Lasser | IL | Kochav Yair | 2010-10-28 / 20100275073 - METHOD AND DEVICE FOR BAD-BLOCK TESTING | 7 |
Ronald Lasser | US | Andover | 2011-12-08 / 20110300618 - SEMI-AUTOMATED DEVICE FOR SINGLE PARAMETER AND MULTI-PARAMETER PHENOTYPING OF CELLS | 1 |
Douglas A. Lasser | CA | Hope | 2013-10-31 / 20130285358 - MUD FLAP LIFTING SYSTEM | 2 |
Manahem Lasser | IL | Kochav Yair | 2009-12-24 / 20090319872 - FAST, LOW-POWER READING OF DATA IN A FLASH MEMORY | 1 |
Emilie Lasseron | US | San Francisco | 2010-07-22 / 20100183773 - PACKAGE ASSEMBLY | 1 |
Christelle Marie Suzanne Lasserre | US | Jersey City | 2009-12-31 / 20090324752 - COSMETIC USE OF ACTIVE INGREDIENTS INCREASING THE PRODUCTION OF GROWTH FACTORS | 1 |
Alain Lasserre | FR | Tournefeuille | 2010-06-17 / 20100149058 - Compact Excitation Assembly for Generating a Circular Polarization in an Antenna and Method of Fashioning Such a Compact Excitation Assembly | 1 |
Sébastien Lasserre | FR | Rennes | 2015-04-16 / 20150103899 - SCALABLE ENCODING AND DECODING | 9 |
Serge B. Lasserre | FR | Plascassier | 2008-11-13 / 20080282033 - PROCESSING FUNCTION CONNECTED TO PROCESSOR MEMORY HIERARCHY | 1 |
Guillaume Lasserre | FR | Paris | 2012-05-31 / 20120136805 - Flexible Allocation Process | 1 |
Mikael Lasserre | FR | Saint-Pierre-Des-Corps | 2015-10-15 / 20150292603 - PULLEY DEVICE FOR BELT OR CHAIN, MANUFACTURING PROCESS OF AN HOLLOW SHAFT FOR SUCH A DEVICE AND ASSEMBLY PROCESS OF SUCH A DEVICE | 1 |
Philippe Lasserre | FR | Ousse | 2009-10-08 / 20090250781 - POWER SEMICONDUCTOR DEVICE | 1 |
Christelle Lasserre | US | Jersey City | 2014-03-20 / 20140080920 - LARGE, HS6ST2 OR ST8SIA1 ACTIVATORS FOR PREVENTING AND/OR ATTENUATING SKIN AGEING AND/OR HYDRATING SKIN | 5 |
Sebastien Lasserre | FR | Grenoble | 2012-05-24 / 20120129309 - METHOD FOR FABRICATING HIGH-GAIN MOSFETS WITH ASYMMETRIC SOURCE/DRAIN DOPING FOR ANALOG AND RF APPLICATIONS | 1 |
Thomas Lasserre | FR | Grenoble | 2010-12-02 / 20100304975 - PROCESS FOR INCREASING PLANTS RESISTANCE TO AN ABIOTIC STRESS | 1 |
Christelle Marie Suzanne Lasserre | US | Jersey | 2010-02-04 / 20100028471 - COSMETIC USE OF ACTIVE AGENTS THAT STIMULATE MATRIPTASE EXPRESSION | 1 |
Sébastien Lasserre | FR | Rennes | 2011-05-19 / 20110116670 - SPEAKER SYSTEM WITH AT LEAST TWO CODIRECTIONAL CHANNELS | 1 |
Christelle Marie Suzanne Lasserre | US | New Jersey | 2009-08-13 / 20090202666 - COSMETIC USE OF A CASSUMUNARIN, AN ARYLBUTENOID, AND/OR A BOTANICAL EXTRACT CONTAINING THEM | 1 |
Sébastien Lasserre | FR | Rennes | 2015-04-16 / 20150103899 - SCALABLE ENCODING AND DECODING | 9 |
Jean Christophe Lasserre | FR | Aniane | 2009-12-03 / 20090293605 - Device for Analyzing at Least One Gas Contained in a Liquid, in Particular a Drilling Fluid | 1 |
Serge Bernard Lasserre | FR | Plascassier | 2014-05-01 / 20140122790 - DYNAMIC PRIORITY MANAGEMENT OF MEMORY ACCESS | 1 |
Harold A. Lassers | US | Elmhurst | 2009-02-05 / 20090037999 - Packet filtering/classification and/or policy control support from both visited and home networks | 2 |
Kristian Lasseson | SE | Kavlinge | 2011-05-05 / 20110102637 - TRAVEL VIDEOS | 1 |
Kristian Lassesson | SE | Lund | 2011-07-21 / 20110178702 - OPTIMUM TRAVEL TIMES | 1 |
Kristian Lassesson | SE | Kavlinge | 2011-11-24 / 20110285669 - Electronic Devices Including Interactive Displays Implemented Using Cameras and Related Methods and Computer Program Products | 2 |
Laurie Lasseter | US | Wood Ridge | 2012-12-20 / 20120322537 - WAGERING GAME MACHINE HIBERNATION | 2 |
Brian A. Lasseter | US | Austin | 2009-08-20 / 20090210842 - Automated Method for Buffering in a VLSI Design | 1 |
Robert H Lasseter | US | Madison | 2010-08-19 / 20100207456 - CONTROL OF SMALL DISTRIBUTED ENERGY RESOURCES | 4 |
Laurie E. Lasseter | US | Woodridge | 2014-08-21 / 20140235332 - GAMING SOCIAL COMMUNICATION | 2 |
Laurie Lasseter | US | Wood Bidge | 2010-04-29 / 20100105482 - WAGERING GAME ENVIRONMENT INCLUDING AN AD SERVER | 1 |
James K. Lassetter | US | Scottsdale | 2014-07-31 / 20140215490 - Managing Healthcare Information in a Distributed System | 5 |
J. Kipp Lassetter | US | Park City | 2009-09-03 / 20090222283 - Healthcare Service Management Using A Centralized Service Management Module | 1 |
Andrew J. Lassetter | US | San Francisco | 2015-05-21 / 20150143258 - EMAIL AND TASK MANAGEMENT SERVICES AND USER INTERFACE | 1 |
John E. Lassetter | US | Idaho Falls | 2015-04-16 / 20150101619 - Integrated Armboard and Wrist Support Apparatuses and Methods of Use | 1 |
Edwin R. Lassettre | US | Redmond | 2016-03-17 / 20160077862 - MODEL-BASED VIRTUAL SYSTEM PROVISIONING | 2 |
Jean Lasseuguette | FR | L'Union | 2015-12-31 / 20150381198 - APPARATUS AND METHOD FOR MONITORING ELECTRICAL CURRENT | 2 |
Jean Pierre Lasseuguette | GB | Edinburgh | 2015-06-25 / 20150181352 - BIASING CIRCUITRY FOR MEMS TRANSDUCERS | 2 |
Jean Lasseuguette | US | 2015-12-31 / 20150381198 - APPARATUS AND METHOD FOR MONITORING ELECTRICAL CURRENT | 1 | |
Jean Lasseuguette | FR | Grenoble | 2009-11-26 / 20090290443 - MEMORY CIRCUIT WITH SENSE AMPLIFIER | 1 |
Romain Lasseur | FR | Bully | 2013-01-03 / 20130006292 - INTRA-GASTRIC DEVICE FOR ANIMALS | 3 |
Stephan Lassig | US | Danville | 2009-07-23 / 20090184089 - FABRICATION OF A SILICON STRUCTURE AND DEEP SILICON ETCH WITH PROFILE CONTROL | 1 |
John L. Lassig | US | Dawsonville | 2010-06-10 / 20100138975 - Surgical Gown With Elastomeric Fibrous Sleeves | 1 |
John Joseph Lassig | US | Dawsonville | 2012-06-28 / 20120164906 - NONWOVEN WEBS HAVING IMPROVED BARRIER PROPERTIES | 2 |
Jan Lassig | CH | Aarau | 2009-01-22 / 20090024405 - SYSTEM AND METHOD FOR CONTROLLING THE GENERATION AND DISTRIBUTION OF PUBLICATIONS | 1 |
Juha Lassila | FI | Tampere | 2014-04-24 / 20140110168 - Mining vehicle and method of moving boom | 3 |
Neal Lassila | US | Valencia | 2008-11-27 / 20080294993 - Method and system for facilitating delivery of content | 1 |
Sandra Lassila | US | Rochester Hills | 2008-10-30 / 20080265629 - HEADLINER HAVING A MODULE | 1 |
Viktor Lassila | SE | Arnäsvall | 2012-11-08 / 20120283061 - ELECTRIC DRIVE SYSTEM | 1 |
Jonathan K. Lassila | US | San Francisco | 2015-01-22 / 20150026840 - CONSTRUCTS AND SYSTEMS AND METHODS FOR PRODUCING MICROCOMPARTMENTS | 1 |
Jussi Lassila | FI | Helsinki | 2011-01-13 / 20110010581 - CONVERGENT MEDIATION SYSTEM WITH DYNAMIC RESOURCE ALLOCATION | 3 |
Rhonda S. Lassila | US | Wetmore | 2013-08-01 / 20130196116 - Texturized Mottled Artificial Clothing Label and Methods of Making the Same | 2 |
Ora Lassila | US | Hollis | 2016-04-14 / 20160105370 - EVENT PROCESSING WITH ENHANCED THROUGHPUT | 10 |
Juha Allen Lassila | FI | Tampere | 2015-08-06 / 20150218928 - METHOD, ROCK DRILLING RIG AND CONTROL APPARATUS | 1 |
Lippo V.j. Lassila | FI | Lielahti | 2009-10-15 / 20090258965 - FIBER-REINFORCED COMPOSITES AND METHOD FOR THE MANUFACTURE THEREOF | 1 |
Riitta Lassila | FI | Espoo | 2009-08-13 / 20090202607 - HEPARIN-LIKE COMPOUNDS, THEIR PREPARATION AND USE TO PREVENT ARTERIAL THROMBOSIS ASSOCIATED WITH VASCULAR INJURY AND INTERVENTIONS | 1 |
Lippo Lassila | FI | Lielax | 2012-04-12 / 20120089237 - COMPOSITE AND ITS USE | 2 |
Neal Lassila | US | Ventura | 2011-12-22 / 20110310310 - System and method for imagination park tree projections | 1 |
Ora Lassila | US | Hollis | 2016-04-14 / 20160105370 - EVENT PROCESSING WITH ENHANCED THROUGHPUT | 10 |
Viktor Lassila | SE | Arnasvall | 2016-05-05 / 20160121753 - METHOD AND SYSTEM FOR CONTROLLING THE POWERTRAIN OF A VEHICLE | 5 |
Jason Lee Lassinger | US | Saxonburg | 2009-07-23 / 20090183628 - DUAL SIDED AND DUAL PROCESS BANDOLIER | 1 |
Stefano Angelo Mario Lassini | US | Lowell | 2016-02-25 / 20160056906 - METHOD AND SYSTEM TO ADD AND COMMUNICATE WITH REMOTE TERMINAL ADDRESSES BEYOND A STANDARD BUS PROTOCOL | 8 |
Stefano A. Lassini | US | Lowell | 2009-12-10 / 20090304052 - SYSTEM AND METHOD FOR MAKING BUSSES LIMITED TO A LINEAR TOPOLOGY EXTENSIBLE TO OTHER TOPOLOGIES | 1 |
Adam Christopher Lassise | NL | Utrecht | 2014-04-17 / 20140103225 - BEAM PULSING DEVICE FOR USE IN CHARGED-PARTICLE MICROSCOPY | 1 |
Matthew Lassiter | US | Knoxville | 2013-08-15 / 20130206199 - Device and Method for Hybrid Solar-Thermal Energy Harvesting | 1 |
Michael L. Lassiter | US | Holly Springs | 2015-12-31 / 20150376910 - MONOPOLE TOWER REINFORCEMENT CONFIGURATION AND RELATED METHODS | 3 |
Brian E. Lassiter | US | San Francisco | 2016-02-25 / 20160056398 - ORGANIC PHOTOSENSITIVE DEVICES WITH EXCITON-BLOCKING CHARGE CARRIER FILTERES | 4 |
Shelby J. Lassiter | US | Odessa | 2009-02-19 / 20090048895 - QUALITY MODEL CERTIFICATION ASSESSMENT COST ESTIMATION AND OPTIMIZATION | 1 |
Melissa W. Lassiter | US | Chamblee | 2015-11-12 / 20150327379 - BED MOUNTED INTERACTIVE DISPLAY SYSTEM | 1 |
Eric Reid Lassiter | US | Monroe | 2009-03-26 / 20090080968 - BICYCLE SEAT TUBE CLAMP AND ASSOCIATED METHODS | 1 |
Brian Lassiter | US | San Francisco | 2015-07-23 / 20150207090 - ORGANIC OPTOELECTRONICS WITH ELECTRODE BUFFER LAYERS | 2 |
Michael L. Lassiter | US | Apex | 2014-08-28 / 20140240193 - METHODS OF MODIFYING ERECT CONCEALED ANTENNA TOWERS AND ASSOCIATED MODIFIED TOWERS AND DEVICES THEREFOR | 4 |
Brian E. Lassiter | US | Ypsilanti | 2015-03-05 / 20150064837 - ORGANIC ELECTRONIC DEVICES WITH MULTIPLE SOLUTION-PROCESSED LAYERS | 5 |
James Lassiter | US | Los Angeles | 2011-01-13 / 20110010308 - MANAGING DEMOGRAPHIC-BASED CUSTOMER RATINGS | 1 |
Thomas W. Lassiter | US | Garland | 2015-12-31 / 20150380363 - Methods and Apparatus to Reduce Semiconductor Wafer Warpage in the Presence of Deep Cavities | 1 |
Thomas Warren Lassiter | US | Garland | 2015-12-31 / 20150380637 - PIEZOELETRIC WET ETCH PROCESS WITH REDUCED RESIST LIFTING AND CONTROLLED UNDERCUT | 3 |
Brian Einstein Lassiter | US | Ypsilanti | 2012-03-15 / 20120061658 - STRUCTURAL TEMPLATING FOR ORGANIC ELECTRONIC DEVICES HAVING AN ORGANIC FILM WITH LONG RANGE ORDER | 1 |
Ralph B. Lassiter, Jr. | US | Little Rock | 2012-07-19 / 20120184437 - SYNERGISTIC HERBICIDAL COMPOSITION CONTAINING PENOXSULAM, TRICLOPYR AND IMAZETHAPYR | 1 |
Robert Edward Lass, Jr. | US | Long Grove | 2013-07-11 / 20130177343 - Tri-State Detector | 1 |
Gudrun Lasskogen | DK | Hvidovre | 2012-12-27 / 20120328698 - COATING METHOD | 2 |
Gunnar Lassl | SE | Bohus | 2009-05-07 / 20090115207 - Method for Impact Energy Absorption | 1 |
Andreas Lassl | DE | Ditzingen | 2014-02-06 / 20140034000 - Method for determining a phase position of an adjustable camshaft | 1 |
Andreas Lassl | DE | Plochingen | 2012-06-07 / 20120143466 - METHOD AND DEVICE FOR CONTROLLING AN INTERNAL COMBUSTION ENGINE | 1 |
Jesse R. Lassley | US | Cottonwood Heights | 2016-02-04 / 20160034912 - WATER RIGHTS ANALYSIS SYSTEM | 4 |
Laurel Lasslo | US | San Diego | 2014-07-31 / 20140215389 - GRAPHICAL ELEMENT PLACEMENT ON A DISPLAY SURFACE | 2 |
David King Lassman | CA | Los Angeles | 2014-12-11 / 20140362297 - METHOD AND APPARATUS FOR DYNAMIC PRESENTATION OF COMPOSITE MEDIA | 1 |
David King Lassman | US | Los Angeles | 2015-02-26 / 20150055936 - METHOD AND APPARATUS FOR DYNAMIC PRESENTATION OF COMPOSITE MEDIA | 4 |
Michael E. Lassman | US | Scotch Plains | 2015-09-10 / 20150253341 - QUANTIFICATION OF TAU IN BIOLOGICAL SAMPLES BY IMMUNOAFFINITY ENRICHMENT AND MASS SPECTROMETRY | 1 |
Timo Lassmann | JP | Tokyo | 2011-10-06 / 20110243910 - MAMMALIAN RNA DEPENDENT RNA POLYMERASE | 1 |
Holger Lassmann | DE | Naundorf | 2016-04-28 / 20160115681 - WASTE DISPOSAL APPARATUS FOR AN AIRCRAFT AND AIRCRAFT COMPRISING THE WASTE DISPOSAL APPARATUS | 1 |
Manfred Lassmann | DE | Nettetal | 2010-03-25 / 20100071341 - Method for Piecing a Yarn and Rotor Spinning Machine for Carrying Out the Method | 3 |
Manfred Lassmann | DE | Nettelal | 2009-05-14 / 20090120052 - Method for Operating an Open-End Spinning Device | 1 |
Wilfried Lassmann | DE | Hirschau | 2014-07-03 / 20140182898 - Printed Circuit Board and Control Device for a Vehicle Transmission Comprising the Printed Circuit Board | 2 |
Peter K. Lassner | DE | Forchheim | / - | 1 |
Michael Lassner | US | Urbandale | 2016-03-03 / 20160060304 - SULFONYLUREA-RESPONSIVE REPRESSOR PROTEINS | 16 |
Michael Lassner | US | Davis | 2009-04-16 / 20090098622 - SCHIZOCHYTRIUM PKS GENES | 1 |
Michael Lassner | US | Urbandale | 2016-03-03 / 20160060304 - SULFONYLUREA-RESPONSIVE REPRESSOR PROTEINS | 16 |
Mike Lassner | US | Urbandale | 2015-07-02 / 20150184194 - METHODS AND COMPOSITIONS FOR PRODUCING MALE STERILE PLANTS | 2 |
Michael W. Lassner | US | Urbandale | 2014-11-13 / 20140338070 - METHODS AND COMPOSITIONS FOR TARGETED INTEGRATION IN A PLANT | 5 |
Angel M. Lasso | US | Tampa | 2009-01-08 / 20090012452 - DIALYSIS FLUID MEASUREMENT SYSTEMS USING CONDUCTIVE CONTACTS | 2 |
Andras Lasso | HU | Budapest | 2010-05-27 / 20100130878 - SYSTEMS, APPARATUS AND PROCESSES FOR AUTOMATED BLOOD FLOW ASSESSMENT OF VASCULATURE | 1 |
Andras Lasso | CA | Ontario | 2010-12-16 / 20100315487 - MEDICAL IMAGING METHOD IN WHICH VIEWS CORRESPONDING TO 3D IMAGES ARE SUPERIMPOSED OVER 2D IMAGES | 1 |
Angel Lasso | US | Tampa | 2013-04-18 / 20130096480 - ACCESS DISCONNECTION SYSTEMS WITH ARTERIAL AND VENOUS LINE CONDUCTIVE PATHWAY | 4 |
Andras Lasso | CA | Kingston | 2014-09-18 / 20140276001 - Device and Method for Image-Guided Surgery | 2 |
Jean-Pierre Lassoie | BE | Anderlecht | 2015-10-15 / 20150292281 - REMOTE HYDRAULIC CONTROL OF DOWNHOLE TOOLS | 1 |
Marie-Agnes Lassoie | BE | Braine-Le-Château | 2010-04-29 / 20100105681 - 2,6-QUINOLINYL AND 2,6-NAPHTHYL DERIVATIVES, PROCESSES FOR PREPARING THEM AND THEIR USES AS VLA-4 INHIBITORS | 1 |
Jean-Pierre Lassoie | BE | Brussels | 2014-04-24 / 20140110179 - REAMER TOOL POSITIONABLE IN A WELLBORE | 6 |
Marie-Agnes Lassoie | BE | Braine-Le-Château | 2010-04-29 / 20100105681 - 2,6-QUINOLINYL AND 2,6-NAPHTHYL DERIVATIVES, PROCESSES FOR PREPARING THEM AND THEIR USES AS VLA-4 INHIBITORS | 1 |
Nina Lassonczyk | DE | Penzberg | 2011-11-03 / 20110266172 - USE OF TDE FOR ISOLATION OF NUCLEIC ACIDS | 3 |
Normand Lassonde | CA | Dorval | 2008-08-21 / 20080197964 - MEMS ACTUATORS AND SWITCHES | 1 |
Philippe Lassonde | CA | Montreal | 2015-12-10 / 20150357784 - SYSTEM AND METHOD FOR HIGH-INTENSITY ULTRASHORT PULSE COMPRESSION | 2 |
Nick Lassonde | US | Simi Valley | 2011-01-13 / 20110010708 - SYSTEM AND METHOD FOR TRANSPORTING CONFIGURATION PARAMETERS | 1 |
Normand Lassonde | CA | Pincourt | 2011-01-20 / 20110012703 - MEMS ACTUATORS AND SWITCHES | 1 |
Guylaine Lassonde | CA | Laval | 2012-05-17 / 20120122913 - COMBINATION OF CURCUMINOIDS AND MTOR INHIBITORS FOR THE TREATMENT OF TAUOPATHIES | 1 |
Christian Lassonde | CA | Toronto | 2011-08-18 / 20110202877 - Apparatus and Method for Controlling a Display to Provide Content Navigation | 1 |
Zbigniew G. Lassota | US | Long Grove | 2011-04-07 / 20110081466 - Dual-Purpose Brewer and Brew Basket and Method of Brewing | 11 |
Peter T. Lassota | US | Succasunna | 2014-10-09 / 20140303257 - Use of EDG Receptor Binding Agents in Cancer | 2 |
Michael W. Lassota | US | Wauconda | 2009-10-15 / 20090258114 - METHOD OF BREWING DIFFERENT TYPES OF BEVERAGES WITH A SINGLE BREWER | 2 |
Peter Lassota | US | Succasunna | 2011-02-24 / 20110046190 - COMBINATIONS COMPRISING A SELECTIVE CYCLOOXYGENASE-2 INHIBITOR | 3 |
Jose Maria Lasso Vazquez | ES | Madrid | 2009-08-27 / 20090214613 - Endothelized Artificial Matrix Comprising a Fibrin Gel, Which Is a Superproducer of Proangiogenic Factors | 1 |
Leon A. Lassovsky | ES | Sevilla | 2015-12-10 / 20150353398 - SYSTEM FOR WASTEWATER TREATMENT USING AQUATIC PLANTS | 2 |
Nelly Lassu | FR | Arbus | 2010-04-08 / 20100086595 - NON-IONIZABLE HYDROPHOBIC GALENICAL SYSTEM | 1 |
Gael Lassure | FR | Saint Martin | 2010-10-28 / 20100272292 - METHOD AND DEVICE FOR CONTROLLING THE BROADCASTING OF AUDIO CONTENTS BY TWO LOUDSPEAKERS | 1 |
Gael Lassure | FR | Saint Martin D'Heres | 2013-04-18 / 20130094652 - METHOD AND DEVICE FOR CONTROLLING THE BROADCASTING OF AUDIO CONTENTS BY TWO LOUDSPEAKERS | 1 |
Antero Lassuri | SE | Karlskoga | 2010-08-12 / 20100204829 - METHOD FOR CONTROLLING A PLURALITY OF AXES IN AN INDUSTRIAL ROBOT SYSTEM AND AN INDUSTRIAL ROBOT SYSTEM | 1 |
Marc Lassus | FR | Marseille | 2009-10-01 / 20090241572 - Low Energy Consumption Air Conditioning System | 3 |
Vincent Lassus | FR | Saint Pantaleon | 2011-10-27 / 20110260000 - ENERGY ABSORBER SYSTEM FOR AN UNDERCARRIAGE, AND AN AIRCRAFT PROVIDED WITH SAID ENERGY ABSORBER SYSTEM | 2 |
Vincent Lassus | FR | Marseille | 2009-04-16 / 20090095839 - RETRACTION CYLINDER AND ROTORCRAFT UNDERCARRIAGE FURNISHED WITH SUCH A RETRACTION CYLINDER | 2 |
Anders Lassus | FI | Reso | 2009-11-19 / 20090283230 - ADDITIVE, USE OF SAID ADDITIVE IN PAPER OR BOARD PRODUCTION, A METHOD OF IMPROVING PAPER OR BOARD MANUFACTURING AND A METHOD OF IMPROVING PAPER OR BOARD PRODUCT | 2 |
Anne Lassus | CH | Carouge | 2015-12-03 / 20150343097 - GAS-FILLED MICROVESICLES | 2 |
Mark Last | IL | Leavim | 2012-02-09 / 20120035912 - MULTILINGUAL SENTENCE EXTRACTOR | 1 |
Matthew E. Last | US | Santa Clara | 2016-03-10 / 20160069751 - Electronic Devices With Temperature Sensors | 15 |
Tyler Last | US | Ada | 2014-03-13 / 20140069938 - COMPLEMENTARY RIBS FOR ADDED STRUCTURAL STRENGTH | 1 |
Matthew E. Last | US | Santa Clara | 2016-03-10 / 20160069751 - Electronic Devices With Temperature Sensors | 15 |
Nigel Last | GB | Weybridge | 2011-12-08 / 20110297380 - SELECTIVE CONTROL OF CHARGING, FIRING, AMOUNT OF FORCE, AND/OR DIRECTION OF FORCE OF ONE OR MORE DOWNHOLE JARS | 1 |
Laurens Last | NL | Bosch En Duin | 2016-04-21 / 20160107778 - Pouch Collection Robot System And Method For The Assembly And Collection Of Non-Filled Spouted Pouches | 5 |
Laurens Last | MC | Parc Saint Romas | 2014-04-24 / 20140110441 - PLASTIC SPOUT | 1 |
Matthew Emanuel Last | US | Davis | 2014-03-13 / 20140071431 - IMAGING RANGE FINDING DEVICE AND METHOD | 2 |
Mario Last | DE | Bielefeld | 2014-03-06 / 20140060338 - SUPPLY CONTAINER FOR A DESCALING SYSTEM, AUTOMATIC BEVERAGE MAKER, AND METHOD FOR DESCALING AN AUTOMATIC BEVERAGE MAKER | 1 |
Laurens Last | NL | Bosch Enduin | 2014-01-09 / 20140010481 - Closure Assembly And A Collapsible Pouch Container Provided With A Closure Assembly | 1 |
Matthew Last | US | Santa Clara | 2014-09-18 / 20140268150 - MULTI-SURFACE OPTICAL TRACKING SYSTEM | 2 |
Nicholas Charles Last | AU | Mount Lawley | 2014-12-18 / 20140371105 - MERCURY SENSOR FOR DETECTING, DIFFERENTIATING, AND MEASURING ORGANIC AND INORGANIC MERCURY COMPOUNDS | 1 |
Philipp Last | DE | Berlin | 2016-02-04 / 20160035505 - DRIVE FOR A SWITCHING DEVICE | 1 |
David Charles Last | US | Auburn | 2015-03-19 / 20150082399 - SPACE-TIME SEPARATED AND JOINTLY EVOLVING RELATIONSHIP-BASED NETWORK ACCESS AND DATA PROTECTION SYSTEM | 1 |
Holger Last | DE | München | 2015-11-26 / 20150339345 - Editing of Volume of Data | 1 |
Nigel Charles Last | GB | Weybridge | 2016-02-25 / 20160053605 - SYSTEM AND CONSOLE FOR MONITORING AND MANAGING TRIPPING OPERATIONS AT A WELL SITE | 10 |
Klaus Last | DE | Osterode | 2013-05-30 / 20130137626 - MICROCAPSULES AND PRODUCTION THEREOF | 2 |
Bernd Last | DE | Reutlingen | 2015-08-06 / 20150218976 - OSCILLATING-MOTOR CAMSHAFT ADJUSTER HAVING A HYDRAULIC VALVE | 12 |
Becki J. Last | US | Washington | 2011-08-11 / 20110194467 - System and Method for Converged Network Services | 1 |
Michael D. Last | US | New York | 2014-08-07 / 20140220856 - INTERACTIVE TOY PROVIDING DYNAMIC, NAVIGABLE MEDIA CONTENT | 1 |
Klaus Last | DE | Braunschweig | 2014-07-10 / 20140193350 - AGENT CONTAINING MICROCAPSULES | 1 |
Matthew Emanuel Last | US | Santa Clara | 2014-06-12 / 20140157904 - ULTRASONIC MEMS TRANSMITTER | 1 |
Bernd Last | DE | Reutlingen | 2015-08-06 / 20150218976 - OSCILLATING-MOTOR CAMSHAFT ADJUSTER HAVING A HYDRAULIC VALVE | 12 |
Laurens Last | MC | Monaco | 2010-09-16 / 20100230410 - Twist Cap Closure Assembly | 3 |
Thijme Last | NL | Amsterdam | 2010-08-12 / 20100204533 - PROCESS FOR REMOVAL OF HYDROGEN CYANIDE AND AMMONIA FROM SYNTHESIS GAS | 6 |
Benjamin James Last | AU | North Beach | 2011-05-05 / 20110103576 - TELEPHONE CALL HANDLING | 1 |
Le Last | US | Spartanburg | 2010-02-04 / 20100030178 - COMPOSITE ARTICLE SUITABLE FOR USE AS A WOUND DRESSING | 1 |
Stefaan Julien Last | BE | Lint | 2016-04-28 / 20160115149 - SULPHAMOYLTHIOPHENAMIDE DERIVATIVES AND THE USE THEREOF AS MEDICAMENTS FOR THE TREATMENT OF HEPATITIS B | 20 |
Detlef Last | DE | Muehldorf | 2008-08-28 / 20080203707 - Inflator with vent | 1 |
Larry A. Last | US | Moulton | 2014-01-02 / 20140005333 - MICROEMULSIONS AND FLUOROPOLYMERS MADE USING MICROEMULSIONS | 3 |
Matthew Last | US | San Diego | 2011-08-25 / 20110206317 - Optical fiber switch with movable lens | 4 |
Holger Last | DE | Hannover | 2008-12-18 / 20080309447 - ELECTROMAGNETIC COIL | 1 |
Harry J. Last | US | Kailua | 2016-05-05 / 20160123526 - TWO-PIECE DETACHABLE BRACKET FOR SUPPORTING POOL DECK-LID MODULES COVERING BELOW DECK TROUGHS HOUSING POWERED POOL COVER SYSTEMS | 2 |
Stefaan Julien Last | BE | Lint | 2016-04-28 / 20160115149 - SULPHAMOYLTHIOPHENAMIDE DERIVATIVES AND THE USE THEREOF AS MEDICAMENTS FOR THE TREATMENT OF HEPATITIS B | 20 |
Nigel Charles Last | GB | Weybridge | 2016-02-25 / 20160053605 - SYSTEM AND CONSOLE FOR MONITORING AND MANAGING TRIPPING OPERATIONS AT A WELL SITE | 10 |
Bernhard Last | DE | Reutlingen | 2011-06-23 / 20110146392 - Pressure Measuring Glow Plug | 1 |
David Last | IL | Jerusalem | 2016-04-21 / 20160109539 - MAGNETIC RESONANCE MAPS FOR ANALYZING TISSUE | 2 |
Mathew Last | US | San Diego | 2011-06-09 / 20110136283 - Process for fabricating MEMS devices | 1 |
Sarah Lastella | US | Mountain View | 2012-12-06 / 20120309125 - BUFFER LAYER DEPOSITION METHODS FOR GROUP IBIIIAVIA THIN FILM SOLAR CELLS | 2 |
Vincent Lastella | US | Clark | 2015-02-26 / 20150056637 - FECAL SAMPLING DEVICE AND METHOD | 3 |
Sarah Lastella | US | Troy | 2015-05-07 / 20150127060 - HERMETICALLY SEALED ELECTROLYTIC CAPACITOR | 2 |
Vincent P. Lastella | US | Clark | 2013-10-03 / 20130260476 - FECAL SAMPLING DEVICE AND METHOD | 4 |
Sarah Lastella | US | Sunnyvale | 2012-10-25 / 20120266958 - METHODS OF FORMING RUTHENIUM-GROUP IIIA ALLOYS | 1 |
Ignace Laster | BE | Antwerpen | 2015-12-31 / 20150376282 - ANTIBODY VARIANTS HAVING MODIFICATIONS IN THE CONSTANT REGION | 2 |
Scott Kennedy Laster | US | Memphis | 2015-07-02 / 20150182344 - KNEE PROSTHESIS | 6 |
David Laster | US | Airmont | 2013-12-05 / 20130325748 - NAVIGATION LOGIC CONSTRUCT FOR USE IN FORMULATION OF A RETIREMENT OUTCOME FRAMEWORK | 1 |
Zvi Laster | IL | Poriya Elite | 2015-04-16 / 20150104755 - WINGED IMPLANT | 8 |
Walter R. Laster | US | Oviedo | 2015-12-24 / 20150369135 - DEVICE FOR IMPROVED AIR AND FUEL DISTRIBUTION TO A COMBUSTOR | 8 |
Zvi Laster | IL | Poriya Elite | 2015-04-16 / 20150104755 - WINGED IMPLANT | 8 |
Maurice Scott Laster | US | Alpharetta | 2010-04-22 / 20100098241 - Local Number Portability for Mobility Management | 1 |
M. Scott Laster | US | Atlanta | 2012-11-08 / 20120282907 - Call Screening Method And Apparatus | 4 |
Harry Laster | US | Fort Lee | 2015-02-05 / 20150039402 - WIRELESS MAINTENANCE MANAGEMENT MOBILE DEVICE APPLICATION PLATFORM AND RELATED SYSTEM | 1 |
Eric Laster | US | Gainesville | 2015-02-19 / 20150048940 - HAND HYGIENE COMPLICANCE CONFIRMATION SYSTEM | 1 |
Steven B. Laster | US | Olathe | 2015-08-13 / 20150227704 - Electronic Manifolds And Display Systems For Monitoring Delivery Of Contrast Media And Methods Of Using Same | 1 |
Morris Laster | IL | Jerusalem | 2016-05-12 / 20160129175 - ACTIVE FILTRATION OF BLOOD PLASMA FLOWING THROUGH BONE MARROW | 5 |
Walter R. Laster | US | Oviedo | 2015-12-24 / 20150369135 - DEVICE FOR IMPROVED AIR AND FUEL DISTRIBUTION TO A COMBUSTOR | 8 |
Scott Matthew Laster | US | Cary | 2009-06-18 / 20090155349 - METHODS OF TREATING INFLUENZA VIRAL INFECTIONS | 1 |
Ignace Lasters | BE | Antwerpen | 2015-09-24 / 20150266968 - POLYPEPTIDES CAPABLE OF CELLULAR INTERNALIZATION | 18 |
Ignace Lasters | BE | Antwerpen | 2015-09-24 / 20150266968 - POLYPEPTIDES CAPABLE OF CELLULAR INTERNALIZATION | 18 |
Roc Lastinger | US | Cave Creek | 2016-03-17 / 20160080050 - Methods and Apparatus for Overlapping MIMO Physical Sectors | 18 |
Roc Lastinger | US | Cave Creek | 2016-03-17 / 20160080050 - Methods and Apparatus for Overlapping MIMO Physical Sectors | 18 |
Benedicte Lastique | FR | Hede | / - | 1 |
Lucas Lastman | AU | Heatherton | 2015-03-19 / 20150078811 - MODULAR POWER TOOL | 8 |
Lucas Lastman | AU | Heatherton | 2015-03-19 / 20150078811 - MODULAR POWER TOOL | 8 |
Alexander G. Lastovich | US | Raleigh | 2014-01-16 / 20140018734 - Intradermal Delivery Device With Crenellated Skin Engaging Surface Geometry | 8 |
Alexander George Lastovich | US | Raleigh | 2016-03-17 / 20160076072 - IMAGING CARTRIDGE, PIPETTE, AND METHOD OF USE FOR DIRECT SPUTUM SMEAR MICROSCOPY | 1 |
Alexander Lastovich | US | Raleigh | 2008-11-06 / 20080275318 - BIOSENSORS FOR MEASURING ANALYTES IN THE INTERSTITIAL FLUID | 1 |
Theresa Elizabeth Lastovich | US | St. Louis Park | 2015-11-05 / 20150313295 - PROTECTIVE GARMENTS AND METHODS OF MAKING | 2 |
Alex Lastovich | US | Raleigh | 2013-12-19 / 20130338589 - Patch-Like Infusion Device | 3 |
Alexander G. Lastovich | US | Raleigh | 2014-01-16 / 20140018734 - Intradermal Delivery Device With Crenellated Skin Engaging Surface Geometry | 8 |
Orest Lastow | SE | Malmo | 2012-11-29 / 20120298106 - DISPENSER AND METHOD FOR ENTRAINING POWDER IN AN AIRFLOW | 1 |
Orest Lastow | SE | Sodertalje | 2012-05-24 / 20120125330 - INHALATION DEVICE AND METHOD OF DISPENSING MEDICAMENT | 1 |
Orest Lastow | SE | Lund | 2013-08-29 / 20130220320 - Inhaler Comprising A Base Having A Plurality of Medicament Containing Sealed Cavities | 8 |
Orest Lastow | SE | Torna Hallestad | 2014-04-10 / 20140096771 - ENTRAINING POWDER IN AN AIRFLOW | 1 |
Orest Lastow | GB | Malmo | 2014-03-27 / 20140083422 - INHALER | 1 |
Orest Lastow | SE | Lund | 2013-08-29 / 20130220320 - Inhaler Comprising A Base Having A Plurality of Medicament Containing Sealed Cavities | 8 |
Michael J. Lastowski | US | Centennial | 2015-11-05 / 20150317416 - METHOD AND SYSTEM OF USING STANDARDIZED STRUCTURAL COMPONENTS | 11 |
Vicki M. Lastowski | US | Tallahassee | 2012-06-14 / 20120149453 - METHOD OF ADMINISTERING A GAME OF CHANCE | 1 |
Michael J. Lastowski | US | Centennial | 2015-11-05 / 20150317416 - METHOD AND SYSTEM OF USING STANDARDIZED STRUCTURAL COMPONENTS | 11 |
Philip A. Lastowski | US | Millersville | 2009-04-16 / 20090095697 - VENTILATED RACK SYSTEM | 1 |
Michael Lastowski | US | Centennial | 2013-08-15 / 20130211795 - Integrated Construction Platform | 1 |
Anselmo A. Lastra | US | Chapel Hill | 2016-02-04 / 20160035139 - LOW LATENCY STABILIZATION FOR HEAD-WORN DISPLAYS | 1 |
Chancellor J. Lastra | US | Las Vegas | 2015-12-03 / 20150342184 - SLEEVES AND THE LIKE HAVING ANTI-MICROBIAL PROPERTIES FOR USE IN RESTAURANTS AND OTHER PUBLIC AND PRIVATE FACILITIES | 1 |
William Lastra | US | Las Vegas | 2015-12-03 / 20150342184 - SLEEVES AND THE LIKE HAVING ANTI-MICROBIAL PROPERTIES FOR USE IN RESTAURANTS AND OTHER PUBLIC AND PRIVATE FACILITIES | 1 |
Anselmo Lastra | US | Chapel Hill | 2010-02-18 / 20100039562 - Source and output device-independent pixel compositor device adapted to incorporate the digital visual interface (DVI) | 1 |
Raúl A. Lastra | CL | Santiago | 2013-06-06 / 20130144568 - System and Method for Variable Detection in Objects | 1 |
Juan Jose Lastra | ES | Madrid | 2009-04-16 / 20090099683 - Device and Method for Designing a Garment | 1 |
Rafael Lastra | SA | Dhahran | 2015-08-20 / 20150233228 - FLUID HOMOGENIZER SYSTEM FOR GAS SEGREGATED LIQUID HYDROCARBON WELLS AND METHOD OF HOMOGENIZING LIQUIDS PRODUCED BY SUCH WELLS | 3 |
Rafael Adolfo Lastra | SA | Dhahran | 2015-03-12 / 20150068764 - EXPANDABLE TOOL HAVING HELICAL GEOMETRY | 2 |
Rafael Lastra | SA | Dharan | 2016-03-17 / 20160076330 - Hanger for an Umbilically Deployed Electrical Submersible Pumping System | 1 |
Raúl A. Lastra | CL | Santiago | 2013-06-06 / 20130144568 - System and Method for Variable Detection in Objects | 1 |
Luis A. Lastras | US | Tarrytown | 2008-08-21 / 20080201530 - SYSTEM AND STORAGE MEDIUM FOR MEMORY MANAGEMENT | 1 |
Luis A. Lastras | US | Cortlandt Manor | 2015-10-29 / 20150309941 - OUT-OF-PLACE PRESETTING BASED ON INDIRECTION TABLE | 11 |
Luis A. Lastras | US | Yorktown Heights | 2011-03-31 / 20110078392 - WRITING TO MEMORY USING ADAPTIVE WRITE TECHNIQUES | 3 |
Luis A. Lastras | US | Cortlandt Manor | 2015-10-29 / 20150309941 - OUT-OF-PLACE PRESETTING BASED ON INDIRECTION TABLE | 11 |
Luis Alfonso Lastras Montano | US | Cortland Manor | 2009-12-24 / 20090320006 - LEARNING AND CACHE MANAGEMENT IN SOFTWARE DEFINED CONTEXTS | 1 |
Luis A. Lastras-Montano | US | Cordlandt Manor | 2010-01-07 / 20100005375 - CYCLICAL REDUNDANCY CODE FOR USE IN A HIGH-SPEED SERIAL LINK | 1 |
Luis A. Lastras-Montano | US | Cortland Manor | 2012-07-26 / 20120192034 - Lengthening Life of a Limited Life Memory | 1 |
Luis A. Lastras-Montano | US | Yorktown Heights | 2012-11-15 / 20120290898 - ADAPTIVE ENDURANCE CODING OF NON-VOLATILE MEMORIES | 9 |
Luis A. Lastras-Montano | US | Corlandt Manor | 2012-08-02 / 20120198309 - CORRECTING MEMORY DEVICE AND MEMORY CHANNEL FAILURES IN THE PRESENCE OF KNOWN MEMORY DEVICE FAILURES | 1 |
Luis A. Lastras-Montano | US | 2012-11-15 / 20120287714 - INCREASED CAPACITY HETEROGENEOUS STORAGE ELEMENTS | 2 | |
Luis A. Lastras-Montano | US | Cortlandt Manor | 2016-04-07 / 20160098213 - HYBRID STORAGE SYSTEM EMPLOYING RECONFIGURABLE MEMORY | 78 |
Luis A. Lastras-Montano | US | Yorktown Heights | 2012-11-15 / 20120290898 - ADAPTIVE ENDURANCE CODING OF NON-VOLATILE MEMORIES | 9 |
Manuel Jose Lastre Buelvas | CO | Bogota | 2010-12-30 / 20100326658 - Method and composition to increase viscosity of crosslinked polymer fluids | 4 |
Manuel Jose Lastre Buelvas | US | Sugar Land | 2015-06-04 / 20150152317 - SWELLABLE POLYMER PARTICLES FOR PRODUCING WELL TREATMENTS | 1 |
Linda L. Lasure | US | Fall City | 2009-03-12 / 20090068723 - Isolated Fungal Promoters and Gene Transcription Terminators and Methods of Protein and Chemical Production in a Fungus | 2 |
Gerald F. Laszakovits | US | San Antonio | 2010-06-24 / 20100158431 - Optical Fiber Surveillance Topology | 1 |
Marta Laszcz | PL | Warszawa | 2013-07-25 / 20130190538 - PROCESS FOR THE PREPARATION OF PROTOESCIGENIN | 3 |
Matthew R. Laszewski | US | Halethorpe | 2014-04-10 / 20140097146 - CARBON NANOSTRUCTURE SEPARATION MEMBRANES AND SEPARATION PROCESSES USING SAME | 2 |
Monostori Laszlo | HU | Budapest | 2011-01-13 / 20110009995 - PRODUCTION INDEX INFORMATION GENERATING DEVICE, PROGRAM THEREFORE, AND PRODUCTION INFORMATION GENERATING METHOD | 1 |
Lajos Laszlo | HU | Budapest | 2009-08-27 / 20090214677 - Pharmaceutical Composition Containing an Extract of a Solidago Species | 2 |
Ivan Laszlo | CA | Ste-Dorothee | 2009-11-19 / 20090283088 - Shuttlecock launching apparatus | 1 |
George Laszlo | ZA | Craighall Park | 2009-12-24 / 20090314177 - Tamping Device | 1 |
Joseph A. Laszlo | US | Peoria | 2010-08-26 / 20100215600 - Compositions comprising a UV-absorbing chromophore | 1 |
Erdei Laszlo | AU | New South Wales | 2009-09-03 / 20090218294 - Methods for Recovering Organic-Inorganic Element-Doped Metal Oxides from Hydrolysable Metal Compounds Associated with Contaminated Water Treatment | 1 |
Zoltan Laszlo | US | San Francisco | 2015-11-05 / 20150316773 - AUGMENTED REALITY SYSTEM EYE RELIEF ADJUSTMENT MECHANISM | 1 |
Stephen L. Laszlo | US | San Francisco | 2012-10-18 / 20120263378 - MANUALLY-ASSISTED DETECTION OF REDEYE ARTIFACTS | 1 |
Stephen Laurence Laszlo | US | San Francisco | 2012-12-13 / 20120314247 - Implementing Consistent Behavior Across Different Resolutions of Images | 1 |
Hideg Laszlo | US | Sterling Heights | 2013-06-13 / 20130151131 - START-UP STRATEGY FOR HYBRID POWERTRAIN | 1 |
Andrew Laszlo | US | Fort Collins | 2015-07-09 / 20150191782 - COMPOSITIONS AND METHODS FOR IMPROVING NANOPORE SEQUENCING | 2 |
Sarah Laszlo | US | Binghamton | 2015-05-07 / 20150126845 - WEARABLE HEAD-MOUNTED, GLASS-STYLE COMPUTING DEVICES WITH EOG ACQUISITION AND ANALYSIS FOR HUMAN-COMPUTER INTERFACES | 1 |
Istvan Laszlovsky | HU | Budapest | 2010-08-05 / 20100197704 - PHARMACEUTICAL COMPOSITIONS AND METHOD FOR TREATING ACUTE MANIA | 2 |
Istvan Laszlovszky | HU | Budapest | 2015-10-29 / 20150306094 - TRANS-4--N,N-DIMETHYLCARBAMOYL-CYCLOHEXYLAMINE FOR TREATING NEGATIVE SYMPTOMS OF SCHIZOPHRENIA | 2 |
Judit Laszy | HU | Nagykovacsi | 2011-05-12 / 20110112093 - PYRIMIDINYL-PIPERAZINES USEFUL AS D3/D2 RECEPTOR LIGANDS | 3 |
Zbigniew Jan Lata | US | Plano | 2015-04-02 / 20150091621 - ADVANCED CLOCK SYNCHONIZATION CIRCUIT | 2 |
Jennifer Lata | US | New Hope | 2013-10-17 / 20130274207 - Uses of Natriuretic Peptide Constructs | 2 |
Jain Suman Lata | IN | Dehradun | 2015-07-16 / 20150198576 - Composition for the Calorimetric Detection of Water in Hydrocarbon Fuels and a Process for the Preparation Thereof | 1 |
Thomas A. Latack | US | Baroda | 2014-03-06 / 20140060595 - HOUSEHOLD APPLIANCE HAVING A PHYSICAL ALTERATION ELEMENT | 9 |
Sachin Latad | IN | Gandhinagar | 2012-02-23 / 20120046317 - NOVEL HETEROCYCLIC COMPOUNDS | 1 |
Sadok Lataief | CA | Gatineau | 2015-05-21 / 20150136651 - DESORPTION OF BITUMEN FROM CLAY PARTICLES AND MATURE FINE TAILINGS | 1 |
Jean-Jacques Lataillade | FR | Elancourt | 2014-11-27 / 20140349312 - IN VITRO MODELLING OF HAEMATOPOIETIC STEM CELL MEDULLARY NESTS: A TOOL FOR STUDYING THE REGULATION OF HAEMATOPOIESIS, EVALUATING THE NESTING POTENTIAL OF A HAEMATOPOIETIC GRAFT AND TESTING THE PHARMACOTOXICOLOGY OF MEDICAMENTS | 2 |
Jean-Christophe Lataillade | FR | Toulouse | 2010-04-29 / 20100102169 - FLOOR MADE OUT OF COMPOSITE MATERIAL FOR TRANSPORT VEHICLE AND PROCESS FOR MANUFACTURING PROCESS SUCH A FLOOR | 1 |
Pavel Latal | CZ | Roznov P. R | 2008-11-20 / 20080284479 - METHOD OF FORMING A PWM CONTROLLER AND STRUCTURE THEREFOR | 1 |
Pavel Latal | CZ | Roznov P.r. | 2013-01-31 / 20130027087 - DETECTOR CIRCUIT AND METHOD | 1 |
Pavel Latal | CZ | Roznov Pod Radhostem | 2015-09-17 / 20150263629 - METHOD AND APPARATUS FOR DEDICATED SKIP MODE FOR RESONANT CONVERTERS | 2 |
Jesús M. Lataperez | ES | Bilbao | 2011-07-14 / 20110168159 - DUAL THERMAL ENERGY STORAGE TANK | 1 |
Jesus Maria Lata Perez | ES | Vizcaya | 2009-10-08 / 20090250051 - THIN WALL HEADER WITH A VARIABLE CROSS-SECTION FOR SOLAR ABSORPTION PANELS | 1 |
Jesús Maria Lata Pérez | ES | Bilbao | 2010-10-21 / 20100265602 - HELIOSTAT FACET | 1 |
Jesús Maria Lata Pérez | ES | Bilbao | 2014-08-07 / 20140216441 - SOLAR RECEIVER PANEL | 2 |
Jesús Maria Lata Pérez | ES | Bilbao | 2014-08-07 / 20140216441 - SOLAR RECEIVER PANEL | 2 |
Jesús Maria Lata Pérez | ES | Bilbao | 2010-10-21 / 20100265602 - HELIOSTAT FACET | 1 |
Sandrine Lataste | FR | Paris | 2010-09-02 / 20100223282 - Database and a Method for Obtaining the Address of a Quality of Service and Charging Control Entity In an Ims Network using Such a Database | 1 |
Szymon Latawiec | US | Plainfield | 2008-08-28 / 20080201910 - Apparatus And Method For Holding Garments | 1 |
Richard E. Latchaw | US | Sacramento | 2009-02-19 / 20090048577 - Multi-Port Catheter System with Medium Control and Measurement Systems for Therapy and Diagnosis Delivery | 1 |
Joseph P. Latchaw | US | York | 2012-04-05 / 20120080255 - MOUNTING ARRANGEMENT FOR TRACTOR FRONT GRILLE TO SHROUD | 1 |
Ian Scott Latchford | US | Palo Alto | 2010-04-08 / 20100087028 - ADVANCED PLATFORM FOR PROCESSING CRYSTALLINE SILICON SOLAR CELLS | 1 |
Ian Latchford | US | Palo Alto | 2013-10-24 / 20130276978 - DUAL-MASK ARRANGEMENT FOR SOLAR CELL FABRICATION | 1 |
Janet Lee Latchford | US | Michigan City | 2011-01-27 / 20110017620 - Waterproof Cover for Personal Music Player | 1 |
Sanjeeva Latchireddi | US | Jacksonville | 2011-08-04 / 20110186666 - MULTI-STAGE DISCHARGER FOR GRINDING MILLS | 1 |
David P. Latchman | CA | Toronto | 2010-11-18 / 20100293580 - REALTIME VIDEO NETWORK | 1 |
Ryan Latchman | US | Irvine | 2013-03-21 / 20130073749 - BACKCHANNEL COMMUNICATION BETWEEN HOST AND INTERFACE MODULE | 1 |
Ryan S. Latchman | CA | Markham | 2009-06-11 / 20090148155 - OPTIMIZED CDR APPLICATION FOR VARIABLE DATA RATE SIGNALS IN SFPs FOR JITTER REDUCTION | 1 |
Ryan S. Latchman | CA | Oakville | 2009-04-02 / 20090087183 - WAVELENGTH DIVISION MULTIPLEXING SERIAL VIDEO SIGNALS OVER OPTICAL INTERFACES USING THE XFP FORM FACTOR | 1 |
Harry P. Latchman | CA | Mississauga | 2016-01-28 / 20160026100 - SYSTEMS AND METHODS FOR PULSED DIRECT CURRENT MAGNETIC ACTUATED MILLING OF PIGMENT DISPERSIONS | 2 |
Harry Latchman | CA | Mississauga | 2015-10-08 / 20150286155 - STEAM INJECTION PROCESS FOR PREPARING POLYESTER LATEX AND APPARATUS THEREOF | 1 |
Ryan Suresh Latchman | US | Irvine | 2013-12-12 / 20130329130 - INTEGRATED VIDEO EQUALIZER AND JITTER CLEANER | 1 |
Ryan S. Latchman | US | Irvine | 2014-01-23 / 20140021597 - High Speed Signal Conditioning Package | 1 |
Casey M. Latdkow | US | Westminster | 2010-10-21 / 20100268223 - Methods for Image Analysis and Visualization of Medical Image Data Suitable for Use in Assessing Tissue Ablation and Systems and Methods for Controlling Tissue Ablation Using Same | 1 |
Ramdane Lateb | FR | Champigneulles | 2011-04-14 / 20110084568 - Rotor for electric motor optimized for high power | 1 |
Christopher F. Lategan | US | Kirkland | 2015-11-05 / 20150317047 - HOTKEY ACCESS TO LEGACY APPLICATION TASKS | 12 |
Christopher F. Lategan | US | Kirkland | 2015-11-05 / 20150317047 - HOTKEY ACCESS TO LEGACY APPLICATION TASKS | 12 |
Rick Latella | US | Woodstock | 2015-10-29 / 20150307364 - LIQUID PURIFICATION SYSTEM | 14 |
Ricky Latella | US | Woodstock | 2014-12-11 / 20140362022 - UNIVERSAL STYLUS | 1 |
Rick Latella | US | Woodstock | 2015-10-29 / 20150307364 - LIQUID PURIFICATION SYSTEM | 14 |
Vincent Latendresse | CA | Montreal | 2015-12-03 / 20150346031 - FABRY-PEROT INTERFEROMETER BASED SATELLITE DETECTION OF ATMOSPHERIC TRACE GASES | 1 |
Travis Daniel Latendresse | US | San Jose | 2013-03-07 / 20130060304 - Method and Apparatus for Generating Electrotherapeutic or Electrodiagnostic Waveforms | 1 |
Simon Latendresse | CA | Montreal | 2012-10-18 / 20120265519 - SYSTEM AND METHOD FOR OBJECT DETECTION | 1 |
Joshua Latendresse | US | Emeryville | 2012-06-07 / 20120140961 - Headset with Noise Plates | 2 |
Joshua Latendresse | US | San Jose | 2015-03-05 / 20150065248 - Audio Controller for Gaming and Telephony | 1 |
Philip E. Latendresse | US | Chassell | 2015-11-05 / 20150313097 - APPARATUS AND METHODS FOR CUTTING AN OBJECT | 2 |
John Laterra | US | Baltimore | 2015-05-28 / 20150148256 - BIOLUMINESCENCE IMAGING-BASED SCREENING ASSAY AND INHIBITORS OF ABCG2 | 9 |
Jean Francois Laterre | FR | Chaux Des Pres | 2014-06-05 / 20140152952 - EYEGLASSES WITHOUT USING SCREWS | 1 |
Omar Laterza | US | Jersey City | 2012-01-26 / 20120021534 - MARKERS FOR BRAIN DAMAGE | 2 |
Omar Laterza | US | New York | 2016-03-10 / 20160069900 - IMMUNOASSAY FOR SOLUBLE PD-L1 | 2 |
Vincent Laterza | US | Atlanta | 2015-10-29 / 20150306250 - FACTOR VIII MUTATION REPAIR AND TOLERANCE INDUCTION | 1 |
Omar F. Laterza | US | New York | 2015-09-10 / 20150253341 - QUANTIFICATION OF TAU IN BIOLOGICAL SAMPLES BY IMMUNOAFFINITY ENRICHMENT AND MASS SPECTROMETRY | 2 |
Michael Latessa | US | Chesterland | 2013-01-24 / 20130020289 - METHOD AND SYSTEM TO START AND STOP A HOT WIRE SYSTEM | 1 |
Michael D. Latessa | US | Chesterland | 2015-06-11 / 20150158107 - METHOD AND SYSTEM TO USE COMBINATION FILLER WIRE FEED AND HIGH INTENSITY ENERGY SOURCE FOR WELDING | 3 |
Mike D. Latessa | US | Chesterland | / - | 1 |
Nathaniel H. Latessa | US | Willoughby | 2015-10-08 / 20150286663 - REMOTE PROCESSING OF MEMORY AND FILES RESIDING ON ENDPOINT COMPUTING DEVICES FROM A CENTRALIZED DEVICE | 1 |
Magali Lateulere | DE | Darmstadt | 2010-08-26 / 20100215606 - Conditioning Composition for Hair Comprising Optical Brightener | 3 |
Magali Lateulere | CH | Geneva | 2015-10-22 / 20150297482 - MALODOR COUNTERACTING COMPOSITIONS AND METHOD FOR THEIR USE TO COUNTERACT SWEAT MALODOR | 1 |
Magali Lateulere | CH | Geneva 8 | 2014-05-15 / 20140135253 - PROCESS FOR PREPARING POLYUREA MICROCAPSULES | 1 |
Magali Lateulere | CH | Nyon | 2013-12-12 / 20130330291 - LEAVE-IN HAIR CONDITIONING COMPOSITION | 3 |
Dimitre Latev | US | San Jose | 2015-06-11 / 20150158052 - FLEXIBLE MICROMACHINED TRANSDUCER DEVICE AND METHOD FOR FABRICATING SAME | 2 |
Pauline Lateyron-Solignac | FR | Paris | 2014-06-19 / 20140173400 - DYNAMIC FUNCTION BUILDER | 1 |
Bastien Latge | FR | La Cadiere D'Azur | 2015-10-01 / 20150278798 - METHOD FOR PROTECTING SENSITIVE DATA TRANSMITTED IN AN NFC SYSTEM | 1 |
Jean-Paul Latge | FR | Issy Les Moulineaux | 2015-06-04 / 20150152486 - METHOD OF IN VITRO DIAGNOSING A PELLICULAR STATE IN A SUBJECT AND RELATED APPLICATIONS | 2 |
Bjoern Lath | DE | Muenchen | 2014-02-06 / 20140038021 - Energy Storage Module Comprising a Plurality of Prismatic Storage Cells | 5 |
Adrit Lath | US | Palo Alto | 2016-03-10 / 20160069919 - SYSTEMS AND METHODS FOR MULTI-ANALYSIS | 10 |
Abhinand Lath | US | Ann Arbor | 2009-12-17 / 20090310347 - LIGHT SYSTEM WITH STACKED LIGHT PIPE STRUCTURE | 1 |
Adrit Lath | US | Palo Alto | 2016-03-10 / 20160069919 - SYSTEMS AND METHODS FOR MULTI-ANALYSIS | 10 |
Sundar Latha | GB | Durham | 2010-03-11 / 20100062539 - SILICA PARTICLES MODIFIED WITH POLAR ORGANIC MOIETIES | 1 |
Srinivasarao Lathabai | AU | Victoria | 2015-12-10 / 20150352794 - DISTORTION PREDICTION AND MINIMISATION IN ADDITIVE MANUFACTURING | 2 |
Steven Thomas Latham | US | Johns Creek | 2014-05-01 / 20140122097 - Transaction Connection Mediator Method and Apparatus | 2 |
Keith E. Latham | US | Okemos | 2014-01-09 / 20140011206 - DETERMINATION OF OOCYTE QUALITY | 1 |
Keith R. Latham | US | Abington | 2013-09-19 / 20130245225 - POLYPEPTIDE SYNTHESIS FOR DRUG DELIVERY | 1 |
Weldon H. Latham | US | Bethesda | 2013-09-19 / 20130244734 - Integrated, Detachable Ear Bud Device for a Wireless Phone | 1 |
Peter W. Latham | US | Acton | 2013-09-12 / 20130236358 - SYSTEM AND METHOD FOR VIRUS INACTIVATION | 1 |
Andrew C. Latham | US | Cleveland | 2015-10-22 / 20150302345 - TECHNOLOGY FOR PROJECT SCHEDULING BASED ON TEAM MEMBER STRESS | 1 |
Taylor Latham | US | Corpus Christi | 2015-11-19 / 20150330170 - HYDRO-MECHANICAL DOWNHOLE TOOL | 2 |
Jeffrey W. Latham | US | Buda | 2013-05-16 / 20130123890 - Therapeutic Cooling Devices | 2 |
Alexander Latham | US | Norwich | 2013-08-29 / 20130221753 - POWER PROCESSING METHODS AND APPARATUS FOR PHOTOVOLTAIC SYSTEMS | 1 |
Daniel W. Latham | US | Mason City | 2010-06-24 / 20100161348 - Clinical Management System | 1 |
Keith R. Latham | US | Abingdon | 2013-10-03 / 20130261332 - PREPARATION OF ORGANIC COMPOUNDS FOR ENHANCED REACTIVITY | 7 |
Paul Latham | US | Lee | 2014-09-04 / 20140247032 - SYSTEMS AND METHODS FOR FEED-FORWARD CONTROL OF LOAD CURRENT IN DC TO DC BUCK CONVERTERS | 10 |
Andrew V. Latham | US | Ridgefield | 2012-12-13 / 20120313455 - INSTANTANEOUS SOLAR ARRAY RECOMBINING TECHNOLOGY | 2 |
Russell Latham | US | 2012-02-09 / 20120036059 - CREATION AND REDEMPTION FOR ETP SHARES OF BULKY METALS | 1 | |
Steven R. Latham | US | Aurora | 2009-03-19 / 20090071327 - Filter System of an Electronic Equipment Enclosure | 1 |
Terry Lee Latham | US | Kingfisher | 2009-04-30 / 20090107218 - Test separator | 1 |
Marcus Alan Latham | US | Seattle | 2012-08-30 / 20120217197 - END OF WATER PURIFICATION CARTRIDGE LIFE APPARATUS | 3 |
Keith R. Latham | US | Kingsport | 2015-07-09 / 20150191418 - Preparation of Organic Compounds for Enhanced Reactivity | 1 |
Geoffrey P. Latham | US | Bethlehem | 2009-05-07 / 20090117295 - Pressure sensitive adhesive photo mount system | 1 |
John Latham | US | Seattle | 2015-09-17 / 20150259414 - ANTI-ACTH ANTIBODIES AND USE THEREOF | 40 |
Keith Latham | US | Havertown | 2009-05-28 / 20090138979 - MANIPULATING SP1 ACTIVITY TO IMPROVE THERAPEUTIC CLONING | 1 |
Steve Latham | US | Sun Prairie | 2011-09-29 / 20110238022 - CORPOREAL DRAINAGE SYSTEM | 1 |
John A. Latham | US | Seattle | 2016-04-14 / 20160102145 - ANTAGONISTS OF IL-6 TO PREVENT OR TREAT CACHEXIA, WEAKNESS, FATIGUE, AND/OR FEVER | 36 |
Shane Jamie Latham | AU | Mawson | 2015-04-16 / 20150104078 - Image Data Processing | 3 |
John A. Latham | US | Seattle | 2016-04-14 / 20160102145 - ANTAGONISTS OF IL-6 TO PREVENT OR TREAT CACHEXIA, WEAKNESS, FATIGUE, AND/OR FEVER | 36 |
Paul W. Latham | US | Lee | 2011-07-21 / 20110175582 - METHODS AND SYSTEMS FOR CONTROL OF SWITCHES IN POWER REGULATORS/POWER AMPLIFIERS | 3 |
Meredith Marsh Latham | US | Atlanta | 2015-03-19 / 20150081428 - SYSTEM AND METHOD FOR PRODUCT-CATEGORIZED MARKETING AND SHOPPING | 1 |
Geoffrey Latham | US | Dallas | 2014-03-13 / 20140070047 - Multifunctional Structural Power and Lighting System | 2 |
Stephen Latham | US | Sun Prairie | 2015-06-25 / 20150174511 - CONTROLLER FOR AN ACOUSTIC STANDING WAVE GENERATION DEVICE IN ORDER TO PREVENT CLOGGING OF A FILTER | 7 |
Hannah E. Latham | GB | Sheffield | 2011-10-06 / 20110241364 - SUPPORT FRAME | 1 |
Theresa E. Latham | US | Mamaroneck | 2009-09-24 / 20090239261 - ASSEMBLY OF WILD-TYPE AND CHIMERIC INFLUENZA VIRUS-LIKE PARTICLES (VLPs) | 1 |
Del Latham | US | Waco | 2015-09-24 / 20150267724 - Pull Then Lift Hold Open Rod Lock Mechanism | 1 |
Elliot Latham | GB | Wirral Merseyside | 2014-02-20 / 20140051869 - CONTINUOUS PROCESS FOR THE PRODUCTION OF BETA-KETO ESTERS BY CLAISEN CONDENSATION | 3 |
Ronnie L. Latham | US | Kemp | 2012-05-03 / 20120107075 - SCRAP METAL LOADER APPARATUS AND METHOD OF OPERATION | 1 |
Andrew C. Latham | US | Overland Park | 2015-02-26 / 20150056972 - SYSTEM AND METHOD FOR COMMUNITY BASED MOBILE DEVICE PROFILING | 2 |
Nicholas S. Latham | GB | Dorset | 2009-03-19 / 20090072561 - Spreader Assembly | 1 |
Colin Latham | GB | Rugby | 2010-10-07 / 20100253096 - LOAD-FLOOR LATCH | 1 |
Corbin R. Latham | US | Parker | 2012-09-13 / 20120233048 - Content-Related Financial Portal | 1 |
S. Duane Latham | US | Heath | 2008-10-23 / 20080257172 - Control Cabinets for Food Processing Systems | 1 |
Dean S. Latham | US | Wichita Falls | 2009-02-12 / 20090040691 - Panelboard | 1 |
James Latham | GB | Bristol | 2016-04-21 / 20160110475 - Method and System of Determining Transitive Closure | 1 |
Mark Latham | GB | Chippenham | 2009-03-05 / 20090059790 - CELLULAR COMMUNICATION SYSTEM, APPARATUS AND METHOD FOR MANAGEMENT OF BACKHAUL RESOURCES | 1 |
Winchester E. Latham | US | Avon | 2015-11-26 / 20150337658 - TOOTH AND RETAINER | 11 |
Ruth Ann Latham | US | Ann Arbor | 2014-06-12 / 20140161677 - Loose-Fill Insulation Exhaust Gas Treatment Device and Methods of Manufacturing | 2 |
Peter Latham | GB | Bristol | 2008-10-02 / 20080240914 - Intermediate casing for a gas turbine engine | 1 |
Richard David Barnaby Latham | NZ | Wellington | 2016-04-28 / 20160114845 - HEIGHT ADJUSTABLE BIKE | 1 |
Gary Latham | US | Austin | 2014-01-23 / 20140024035 - COMPREHENSIVE FMR1 GENOTYPING | 6 |
Greig Stovall Latham | US | Lucas | 2014-12-11 / 20140360660 - METHOD AND APPARATUS FOR CONTINUOUS PRODUCTION OF BALLOONS, AIRSHIPS, INFLATABLE STRUCTURAL MEMBERS AND OTHER INFLATABLE STRUCTURES | 4 |
David Latham | GB | Oxfordshire | 2013-11-21 / 20130310745 - AUTOINJECTORS | 1 |
Steven Robert Latham | US | Aurora | 2015-07-30 / 20150214700 - AC CIRCUIT BREAKER PANELS AND TELECOMMUNICATIONS EQUIPMENT CABINETS HAVING AC CIRCUIT BREAKER PANELS | 1 |
Keith R. Latham | US | Johnson City | 2014-05-15 / 20140135397 - HALOGENATED PHENOLS FOR DIAGNOSTICS, ANTIOXIDANT PROTECTION AND DRUG DELIVERY | 2 |
Joel Pat Latham | US | Arlington | 2009-05-14 / 20090122144 - Method for detecting events at a secured location | 3 |
Del E. Latham | US | Crawford | 2009-12-10 / 20090300877 - DEVICE AND METHOD OF MECHANICALLY DAMPENING A HOLD OPEN ROD | 1 |
Alexander Latham | US | Tewksbury | 2014-04-10 / 20140098285 - METHODS AND APPARATUS FOR POSITIONING A COIL MOTOR | 1 |
Dwight D. Latham | US | Clute | 2016-02-04 / 20160031765 - POLYURETHANE ENCAPSULATE | 12 |
Jeffrey W. Latham | US | San Marcos | 2011-03-03 / 20110054577 - Therapeutic Cooling Devices | 1 |
Gary J. Latham | US | Austin | 2014-09-04 / 20140248625 - PCR METHODS FOR CHARACTERIZING THE 5' UNTRANSLATED REGION OF THE FMR1 AND FMR2 GENES | 8 |
Ian Kelly Latham | US | Redmond | 2015-10-01 / 20150281029 - MEASURING LATENCY IN AN INTERACTIVE APPLICATION | 1 |
Tara Fallis Latham | US | Salt Lake City | 2016-02-18 / 20160044975 - Modular Garment Harness System | 3 |
David Latham | GB | Hertforshire | 2016-04-07 / 20160097015 - BEARING MATERIAL | 1 |
Stephen Andrew Latham | US | Kalamazoo | 2008-12-04 / 20080296482 - METHOD AND SYSTEM OF OPTICAL IMAGING FOR TARGET DETECTION IN A SCATTERING MEDIUM | 2 |
Dwight Latham | US | Clute | 2016-05-05 / 20160122582 - PROCESS FOR MAKING URETHANE-ISOCYANURATES | 7 |
Alexander Latham | US | Still River | 2015-11-12 / 20150323612 - MAGNETIC FIELD SENSOR CONFIGURED TO MEASURE A MAGNETIC FIELD IN A CLOSED LOOP MANNER | 3 |
Paul Latham | US | Lee | 2014-09-04 / 20140247032 - SYSTEMS AND METHODS FOR FEED-FORWARD CONTROL OF LOAD CURRENT IN DC TO DC BUCK CONVERTERS | 10 |
Dwight D. Latham | US | Clute | 2016-02-04 / 20160031765 - POLYURETHANE ENCAPSULATE | 12 |
Stephen Andrew Latham | US | Sun Prairie | 2015-04-16 / 20150102048 - Automatic Napkin Dispenser | 3 |
John Latham | US | Boulder | 2016-05-05 / 20160121666 - SYSTEMS AND METHODS FOR ANTICIPATORY TIRE PRESSURE CONTROL | 19 |
Steven Latham | US | Demorest | 2011-05-12 / 20110108502 - ROPE BRAKING SYSTEM | 1 |
Stephen A. Latham | US | Sun Prairie | 2015-03-26 / 20150087883 - TREATMENT THEATER FOR PROTON THERAPY | 5 |
Dean Latham | US | Tullahoma | 2014-03-13 / 20140071593 - PANELBOARD AND ELECTRICAL POWER DISTRIBUTION SYSTEM | 2 |
David D. Latham | US | Dubuque | 2012-08-23 / 20120211973 - Work Vehicle Oscillation System | 2 |
John Latham | US | Boulder | 2016-05-05 / 20160121666 - SYSTEMS AND METHODS FOR ANTICIPATORY TIRE PRESSURE CONTROL | 19 |
Ruth Latham | US | Ann Arbor | 2016-03-10 / 20160069246 - METHOD OF PRODUCING AN INSULATED EXHAUST DEVICE | 8 |
Gary J. Latham | US | Austin | 2014-09-04 / 20140248625 - PCR METHODS FOR CHARACTERIZING THE 5' UNTRANSLATED REGION OF THE FMR1 AND FMR2 GENES | 8 |
Ben Latham | GB | Yorkshire | 2015-12-31 / 20150379580 - DYNAMICALLY SYNCHRONISING MEDIA CONTENT RELATED DATA | 1 |
Matthew Latham | US | Dixon | 2016-02-11 / 20160041121 - INSTRUMENT FOR INDEPENDENT ELECTROTRANSFER IN MULTIPLE CASSETTES | 6 |
Ruth Latham | US | Ann Arbor | 2016-03-10 / 20160069246 - METHOD OF PRODUCING AN INSULATED EXHAUST DEVICE | 8 |
Winchester E. Latham | US | Avon | 2015-11-26 / 20150337658 - TOOTH AND RETAINER | 11 |
Matthew W. Latham | US | Dixon | 2014-09-18 / 20140263324 - DRINK CONTAINERS WITH CLOSURE RETENTION MECHANISMS | 1 |
Paul W. Latham, Ii | US | Lee | 2014-02-06 / 20140035542 - NON-LINEAR PWM CONTROLLER | 15 |
Gaby Lathan | DE | Westhofen | 2012-08-02 / 20120195868 - NUTRITIONAL COMPOSITIONS COMPRISING FIBER AND PROBIOTICS | 1 |
Corinna E. Lathan | US | Wheaton | 2015-07-16 / 20150196242 - Performance Assessment Tool | 2 |
Mark Lathan | GB | Chippenham | 2011-09-01 / 20110211478 - Cellular Communication System, Apparatus and Method for Management of Backhaul Resources | 1 |
Kevin Edward Lathan | US | Clearwater | 2015-06-04 / 20150152609 - ELONGATE PIPE-BASED STRUCTURE FOR SUPPORTING HEAVY LOADS | 2 |
Corinna E. Lathan | US | Silver Spring | 2016-04-21 / 20160110021 - Testing Stylus | 3 |
Nicholas B. La Thangue | GB | Bridge Of Weir | 2016-03-10 / 20160069887 - BIOMARKERS FOR PROGNOSIS | 3 |
Abdul Latheef | IN | Bangalore | 2015-04-23 / 20150109918 - METHOD AND SYSTEM FOR OPTIMIZING USER EQUIPMENT PERFORMANCE IN LONG TERM EVOLUTION CO-EXISTENT NETWORKS | 1 |
Wyndham W. Lathem | US | St. Louis | 2009-12-10 / 20090306344 - METHOD OF REDUCING THE VISCOSITY OF MUCUS | 2 |
Catherine D. Lathem | CA | Komoka | 2013-06-27 / 20130166318 - SYSTEM AND TECHNIQUES FOR COST ESTIMATION OF MEDICAL CONDITIONS ACQUIRED AT A MEDICAL FACILITY | 1 |
Mark C. Lathem | US | Boynton Beach | 2010-01-14 / 20100006018 - Marine Hull Protective Device and Associated Methods | 1 |
Jonathan Lathem | US | Jefferson | 2011-12-22 / 20110308917 - Methods and Apparatus for Germicidal Irradiation of Checkout System Surfaces | 1 |
Justin Lathia | US | Elizabethtown | 2008-11-13 / 20080279783 - ISOLATED NANOCAPSULE POPULATIONS AND SURFACTANT-STABILIZED MICROCAPSULES AND NANOCAPSULES FOR DIAGNOSTIC IMAGING AND DRUG DELIVERY AND METHODS FOR THEIR PRODUCTION | 1 |
Milan Lathia | US | Redmond | 2010-07-29 / 20100191586 - Providing Cashback Offers | 1 |
Justin Lathia | US | Baltimore | 2011-02-10 / 20110033504 - ARTICLES AND METHODS FOR REPAIRING DAMAGED NERVOUS TISSUE | 1 |
Bhavnish H. Lathia | US | Redmond | 2011-09-29 / 20110238476 - Location-based Coupons and Mobile Devices | 2 |
Rohan Lathia | US | Westford | 2011-06-16 / 20110144657 - SYSTEMS AND METHODS FOR DETERMINING THE LIKELIHOOD OF ENDOCARDIAL BAROTRAUMA IN TISSUE DURING ABLATION | 1 |
Neal Lathia | ES | Madrid | 2011-06-09 / 20110137726 - RECOMMENDER SYSTEM BASED ON EXPERT OPINIONS | 1 |
Abdul Lathief | AE | Dubai | 2015-07-02 / 20150184684 - MULTI TENSIONED COMPOSITE PROFILE | 3 |
Del Lathim | US | Pasco | 2015-04-09 / 20150096649 - PET CARE SYSTEM | 8 |
Del Lathim | US | Pasco | 2015-04-09 / 20150096649 - PET CARE SYSTEM | 8 |
Bill Lathouris | US | Palatine | 2009-03-05 / 20090056422 - SALOMETER AND FLOW RATE SENSOR ASSEMBLY | 1 |
Shane Lathrom | US | San Bernardino | 2013-10-24 / 20130282714 - Personalized Redirection Identifiers | 1 |
George E. Lathrop | US | Dansville | 2013-08-01 / 20130194639 - IMAGE PROCESSING UNIT FOR SUPPORTING MULTIPLE SCANNERS | 14 |
Raymond Lathrop | US | Northridge | 2008-10-23 / 20080260556 - Integrated pump and motor | 2 |
Daniel K. Lathrop | US | San Diego | 2012-12-06 / 20120310543 - System and Method to Improve Sequencing Accuracy of a Polymer | 3 |
William Brian Lathrop | US | San Jose | 2014-10-02 / 20140292665 - SYSTEM, COMPONENTS AND METHODOLOGIES FOR GAZE DEPENDENT GESTURE INPUT CONTROL | 10 |
Robert L. Lathrop | US | San Jose | 2009-12-31 / 20090325309 - Reagent Delivery System, Dispensing Device and Container for a Biological Staining Apparatus | 1 |
Robert Lathrop | US | San Jose | 2010-04-01 / 20100081167 - Method and System for Pretreatment of Tissue Slides | 1 |
Peter Lathrop | US | San Diego | 2010-05-27 / 20100131024 - ELECTROTHERAPY ORTHOPEDIC DEVICE | 1 |
Richard H. Lathrop | US | Irvine | 2009-12-10 / 20090305359 - METHOD FOR PRODUCING CIRCULAR DUPLEX POLYNUCLEOTIDES FROM LINEAR DUPLEX POLYNUCLEOTIDES AND APPLICATIONS THEREOF | 2 |
Joel Lathrop | US | Jersey City | 2014-01-02 / 20140007238 - Collective Threat Intelligence Gathering System | 1 |
Robert Lathrop | US | Chattanooga | 2013-01-03 / 20130002456 - In-Cab Communications Module | 1 |
Robert W. Lathrop | US | Fort Collins | 2013-01-17 / 20130018104 - EMULSIVE COMPOSITION CONTAINING DAPSONE | 1 |
Richard Lathrop | US | Irvine | 2010-12-23 / 20100323404 - METHOD FOR RECOMBINING DNA SEQUENCES AND COMPOSITIONS RELATED THERETO | 1 |
Kira L. Lathrop | US | Glenshaw | 2014-10-16 / 20140307226 - OPTICAL COHERENCE TOMOGRAPHY AS A RAPID, ACCURATE, NON-CONTACT METHOD OF VISUALIZING THE PALISADES OF VOGT | 1 |
William Brian Lathrop | US | San Jose | 2014-10-02 / 20140292665 - SYSTEM, COMPONENTS AND METHODOLOGIES FOR GAZE DEPENDENT GESTURE INPUT CONTROL | 10 |
Todd Lathrop | US | Oakdale | 2014-10-16 / 20140306715 - MISSING OR BROKEN NEUTRAL MONITORING CIRCUIT FOR SPLIT PHASE ELECTRICAL DISTRIBUTION CONFIGURATIONS | 1 |
Alexander M. Lathrop | US | Colorado Springs | 2011-11-03 / 20110268265 - DISK MEDIA SECURITY SYSTEM AND METHOD | 1 |
James A. Lathrop | US | Saline | 2016-02-25 / 20160052415 - Self-Locating Multi-Coil System for Wireless Vehicle Charging | 9 |
Robert Lathrop | US | Fort Collins | 2015-07-30 / 20150209429 - CORTICOSTEROID COMPOSITIONS | 4 |
George E. Lathrop | US | Dansville | 2013-08-01 / 20130194639 - IMAGE PROCESSING UNIT FOR SUPPORTING MULTIPLE SCANNERS | 14 |
Todd Matthew Lathrop | US | Oakdale | 2012-04-19 / 20120090966 - AUTOMATIC TRANSFER SWITCH WITH TRANSFER INHIBITOR | 2 |
Michael Allan Lathrop | US | Big Bend | 2013-03-21 / 20130070902 - INTERFACE FOR LIQUID METAL BEARING AND METHOD OF MAKING SAME | 2 |
Norman D. Lathrop | US | Ballston Lake | 2010-07-01 / 20100162705 - METHODS, SYSTEMS AND/OR APPARATUS RELATING TO STEAM TURBINE EXHAUST DIFFUSERS | 1 |
Robert Lathrop | US | Soddy Daisy | 2010-07-01 / 20100169199 - Method for In-Cab Driver Operation | 1 |
Todd M. Lathrop | US | Oakdale | 2014-10-16 / 20140307353 - POWER SYSTEM INCLUDING A LOAD PANEL PROTECTING A FACILITY FROM A BROKEN OR MISSING NEUTRAL OF A SPLIT PHASE ELECTRICAL DISTRIBUTION CONFIGURATION | 8 |
Ray Adams Lathrop | US | Nashville | 2010-02-18 / 20100042132 - SIDE LOADING LANCING DEVICE | 2 |
Julia Tait Lathrop | US | Falls Church | 2009-10-15 / 20090258834 - Plasma protein-binding ligands | 1 |
Kathleen A. Lathrop | US | Olympia | 2012-11-15 / 20120287650 - Interchangeable Lamp Shade System | 1 |
Braxton Lathrop | US | Lake Oswego | 2009-04-23 / 20090105599 - DUAL LUMEN INTERCHANGEABLE MONITOR SYSTEM | 2 |
Braxton L. Lathrop | US | Lake Oswego | 2015-07-09 / 20150190053 - Body Area Network Pairing Improvements for Clinical Workflows | 6 |
Ray Adams Lathrop | US | Atlanta | 2011-12-29 / 20110319919 - COCKING MECHANISM FOR LANCING DEVICE | 4 |
Jeffrey Lathrop | US | Park Ridge | 2009-01-22 / 20090024536 - Methods and Systems for Reconciling Profit and Loss | 1 |
Frederick L. Lathrop | US | Spring | 2013-06-20 / 20130155126 - DETERMINATION OF DISPLAY DEVICE POWER CONSUMPTION | 5 |
Julia T. Lathrop | US | Falls Church | 2014-07-24 / 20140206021 - PRION PROTEIN LIGANDS AND METHODS OF USE | 2 |
Michael D Lathrop | US | 2012-02-02 / 20120026581 - PRECISION OPITCAL MOUNT | 1 | |
Olin Lathrop | US | Boston | 2016-02-25 / 20160055767 - SYSTEM AND METHOD FOR IMPROVED MEDICAL SIMULATOR | 1 |
Kenneth D Lathrop | US | Liberty Lake | 2016-01-21 / 20160016181 - BEAN ROASTER WITH CONTROLLABLE FLUID LOFT AND ELECTROSTATIC COLLECTOR | 1 |
Ray A. Lathrop | US | Nashville | 2015-03-19 / 20150080908 - ENERGY BALANCE MECHANISM FOR FLEXURE JOINT | 2 |
Jeremy Len Lathrop | PT | Carregosa | 2012-08-23 / 20120210500 - ADJUSTMENT AND FIXATION SYSTEM OF PROTECTION VESTS | 1 |
Mark Lathrop | FR | Paris | 2015-03-19 / 20150079594 - DIAGNOSTIC METHODS | 8 |
Todd M. Lathrop | US | Oakdale | 2014-10-16 / 20140307353 - POWER SYSTEM INCLUDING A LOAD PANEL PROTECTING A FACILITY FROM A BROKEN OR MISSING NEUTRAL OF A SPLIT PHASE ELECTRICAL DISTRIBUTION CONFIGURATION | 8 |
Robert Lathrop | CA | San Jose | 2014-01-02 / 20140004552 - REAGENT DELIVERY SYSTEM, DISPENSING DEVICE AND CONTAINER FOR A BIOLOGICAL STAINING APPARATUS | 1 |
Brian Lathrop | US | San Jose | 2010-05-13 / 20100121645 - OPERATING DEVICE FOR A MOTOR VEHICLE | 3 |
Mark Lathrop | FR | Paris | 2015-03-19 / 20150079594 - DIAGNOSTIC METHODS | 8 |
James Lathrop | US | Oak Ridge | 2011-12-08 / 20110298131 - Yttrium contacts for germanium semiconductor radiation detectors | 1 |
Norman Douglas Lathrop | US | Ballston Lake | 2012-01-12 / 20120006026 - STEAM TURBINE SHELL | 1 |
Jerry Lathrop | US | Boise | 2015-07-30 / 20150208769 - CLOTHING ADUSTMENT DEVICE | 1 |
William Lathrop | US | San Jose | 2014-09-18 / 20140282251 - INTERACTIVE SLIDING TOUCHBAR FOR AUTOMOTIVE DISPLAY | 1 |
Randy Lathrop | US | Wilsonville | 2011-06-23 / 20110148757 - OPTICAL INPUT PEN DEVICE WITH A TRIGGER-STYLE SWITCH | 1 |
Gregory Gatewood Lathrop | US | Breckenridge | 2012-05-17 / 20120117831 - Snow removal system for artificial turf and other fragile surfaces | 1 |
Taylor Sue Lathrop | US | Breckenridge | 2012-05-17 / 20120117831 - Snow removal system for artificial turf and other fragile surfaces | 1 |
Ray Lathrop | US | Nashville | 2016-04-21 / 20160106508 - STRUCTURE FOR SUPPORTING A MEDICAL DEVICE ON A PATIENT DURING SURGICAL PROCEDURES | 3 |
James A. Lathrop | US | Saline | 2016-02-25 / 20160052415 - Self-Locating Multi-Coil System for Wireless Vehicle Charging | 9 |
Bob Lathrop | US | San Jose | 2014-07-03 / 20140186218 - METHOD AND APPARATUS FOR AUTOMATIC STAINING OF TISSUE SAMPLES | 3 |
Michael Lathrop | US | Big Bend | 2009-04-02 / 20090086919 - APPARATUS FOR X-RAY GENERATION AND METHOD OF MAKING SAME | 1 |
Craig Lathrop | US | San Diego | 2015-02-12 / 20150040452 - BARREL SAFETY DEVICE | 4 |
Gregory Mark Lathrop | FR | Evry | 2011-02-24 / 20110046202 - METHOD FOR TESTING A SUBJECT THOUGHT TO HAVE OR TO BE PREDISPOSED TO ASTHMA | 1 |
Jeffrey Lathrop | US | Falcon | 2014-06-19 / 20140165817 - Lock For Tremolo Bridge | 1 |
Steven A. Lathrup | US | Durham | 2011-07-14 / 20110168339 - Roller Shade Assembly for Stiff Shade Materials | 1 |
Fabienne Lathuiliere | CA | Montreal | 2012-03-22 / 20120071758 - Feature Tracking Using Ultrasound | 2 |
Jamil Lati | CA | Aurora | 2015-02-12 / 20150041615 - EXTRA CORPOREAL LIFE SUPPORT LEVELLING PLATFORM | 1 |
Alan M. Laties | US | Philadelphia | 2013-12-19 / 20130338145 - Method for Mediating Dopamine Receptor-Driven Reacidification of Lysosomal pH | 3 |
Zuhair Latif | US | Vernon Hills | 2012-08-02 / 20120197659 - COORDINATED HEALTH AND HUMAN SERVICES DELIVERY SYSTEM AND PROCESS | 1 |
Aamer Latif | US | 2010-08-12 / 20100202294 - NETWORK CONGESTION MANAGEMENT SYSTEMS AND METHODS | 1 | |
Tarig Latif | US | Downey | 2011-01-13 / 20110005385 - TWO PORT - THREE POSITION ACTUATOR | 1 |
Fadl Abdel Latif | SA | Thuwal | 2015-06-04 / 20150153312 - Modular Mobile Inspection Vehicle | 4 |
Mohammed A. Latif | GB | Slough | 2014-10-02 / 20140294946 - PHARMACEUTICAL COMPOUNDS | 7 |
Mikael Anthony Ford Latif | CH | Geneva | 2010-09-16 / 20100231105 - Domestic Appliances | 1 |
Tamim Latif | DE | Stuttgart | 2016-03-17 / 20160076538 - Piston Pump, in Particular High-Pressure Fuel Pump | 3 |
Tamim Latif | JP | Tokyo | 2015-10-22 / 20150300303 - Valve Device | 2 |
Nadia Latif | DE | Munchen | 2015-05-14 / 20150135197 - ACCESSING BUSINESS OBJECT RESOURCES FOR A MACHINE-TO-MACHINE COMMUNICATION ENVIRONMENT | 1 |
Salman Latif | US | Mountain View | 2016-02-25 / 20160056156 - NON-PLANAR SEMICONDUCTOR DEVICE HAVING DOPED SUB-FIN REGION AND METHOD TO FABRICATE SAME | 1 |
Zeynep Latif | US | Raleigh | 2011-06-23 / 20110153686 - CONSOLIDATING INPUT MESSAGES FOR SOCIAL ACTIVITY SUMMARIZATION | 1 |
Shehzad Latif | US | Redmond | 2010-07-15 / 20100179758 - AIRCRAFT NAVIGATION USING THE GLOBAL POSITIONING SYSTEM AND AN ATTITUDE AND HEADING REFERENCE SYSTEM | 1 |
Issa Latif | FR | Montreuil | 2012-04-26 / 20120101015 - ANTI-WEAR AGENTS WITH A REDUCED NEUROTOXICITY | 1 |
Omar Latif | US | Los Angeles | 2013-08-01 / 20130197938 - SYSTEM AND METHOD FOR CREATING AND USING HEALTH DATA RECORD | 1 |
Mohammad Shahdan Bin Abd Latif | MY | Melaka | 2015-10-01 / 20150272245 - POLYMERIC GLOVE HAVING VARIED THICKNESS | 1 |
Aamer Latif | US | Milpitas | 2012-02-16 / 20120039341 - METHOD AND APPARATUS FOR TRANSFERRING DATA BETWEEN IP NETWORK DEVICES AND SCSI AND FIBRE CHANNEL DEVICES OVER AN IP NETWORK | 1 |
Mutahir Latif | PK | Islamabad | 2013-08-01 / 20130194292 - APPARATUS AND METHOD FOR CORRECTING COLOR OF IMAGE PROJECTION DEVICE | 1 |
Afshin Latifi | US | San Jose | 2010-05-13 / 20100122314 - ACTIVE ACCESS MONITORING FOR SAFER COMPUTING ENVIRONMENTS AND SYSTEMS | 7 |
Ahmad Latifzai | US | Katy | 2010-06-24 / 20100157737 - MICROHYDRAULIC FRACTURING WITH DOWNHOLE ACOUSTIC MEASUREMENT | 3 |
Ahmad Latifzal | US | Houston | 2012-06-14 / 20120150515 - In-Situ Stress Measurements In Hydrocarbon Bearing Shales | 1 |
Attila Latika | SK | Bratislava | 2009-08-20 / 20090208872 - Sulphonium Salt Initiators | 1 |
Harri Latikka | FI | Virenoja | 2015-12-10 / 20150354204 - A Fastening Member for Fastening Elongated Terrace Elements and an Arrangement Comprising the Same | 2 |
Harri Latikka | FI | Pukkila | 2013-03-28 / 20130074429 - L-PROFILE SHAPED ELEMENT, THE USE OF SAME AND A METHOD FOR INSTALLING SAME | 1 |
Mathilde Latil | FR | Creteil | 2013-06-13 / 20130149286 - METHODS FOR OBTAINING STEM CELLS | 1 |
Lisa M. Latime | US | Richmond | 2014-11-20 / 20140338127 - BLANKET WITH PET POCKET | 1 |
Joshua Latimer | US | Fenton | 2016-01-21 / 20160019670 - CUSTOMER RELATIONSHIP MANAGEMENT MAILING APPARATUS AND METHOD | 1 |
Ian Latimer | GB | Morpeth | 2015-11-26 / 20150337607 - SUBSEA CONNECTOR ASSEMBLY | 1 |
Paul J. Latimer | CA | Waterloo | 2013-08-01 / 20130198069 - METHODS OF PROCESSING CHECK IMAGE DATA FROM A REMOTE DEPOSIT CAPTURE DEVICE TO DETECT A DUPLICATE CHECK DEPOSIT | 2 |
Darin Latimer | CA | Aurora | 2014-12-18 / 20140372048 - Data Record Size Reduction at Fixed Information Content | 2 |
Andrew Latimer | GB | Newcastle Upon Tyne | 2010-03-18 / 20100069283 - LAUNDRY COMPOSITION | 1 |
Roger Latimer | US | Mundelein | 2014-05-15 / 20140132402 - WIRELESS TOW LIGHT OPERATING SYSTEM | 1 |
Stan Latimer | US | Pocatello | 2013-06-20 / 20130154724 - INTERLEAVED NOISE REDUCTION CIRCUIT AND METHOD | 1 |
Brett A. Latimer | US | New Castle | 2015-05-28 / 20150143642 - Ramp Bottom Transition Foot | 4 |
Luke N. Latimer | US | Berkeley | 2015-10-15 / 20150293080 - Fluorescent Dyes and Related Methods | 1 |
Jeff Latimer | US | Camas | 2010-01-21 / 20100016812 - Medical laser gel applicator apparatus and system | 1 |
Rebecca Buxton Latimer | US | Houston | 2010-04-15 / 20100094559 - PSEUDO LOGS TO IMPROVE STRATIGRAPHIC CORRELATION BETWEEN SEDIMENTARY BASINS | 1 |
Brett A. Latimer | US | Mercer | 2014-04-03 / 20140090928 - Steel Pump Jack With Safety Latch and Method | 2 |
Margaret Gwyn Latimer | US | Alpharetta | 2011-05-26 / 20110119850 - Apertured Wiping Cloth | 2 |
Lisa E. Latimer | US | San Diego | 2010-08-19 / 20100208056 - Pipe Inspection System with Replaceable Cable Storage Drum | 1 |
Drew Latimer | US | Murfreesboro | 2010-12-23 / 20100319958 - INSULATION OF BUSBARS USING INSULATING MEMBERS HAVING CORRUGATED SECTIONS | 1 |
Lee H. Latimer | US | Oakland | 2011-02-10 / 20110034691 - Process for the Production of Fused, Tricyclic Sulfonamides | 2 |
Robert A. Latimer | US | Medford | 2013-06-27 / 20130166251 - PARTICLE MONITORING WITH SECURE DATA LOGGING | 1 |
Edward G. Latimer | US | Ponca City | 2014-09-04 / 20140246195 - SUPERCRITICAL BOILER FOR OIL RECOVERY | 14 |
Brett A. Latimer | US | New Castel | 2012-11-29 / 20120301266 - Ramp Botom Transition Foot | 1 |
Shannon Keith Latimer | CA | Barons | 2013-08-22 / 20130213508 - FILL MATERIAL DISPENSING METHOD AND APPARATUS | 1 |
Glen E. Latimer | US | Chagrin Falls | 2014-02-13 / 20140042062 - FLUID TREATMENT SYSTEM | 1 |
Cassandra Latimer | US | Thornton | 2015-01-15 / 20150018816 - ELECTRODE ASSEMBLY FOR USE WITH SURGICAL INSTRUMENTS | 2 |
Edward G. Latimer | US | Bartlesville | 2014-04-24 / 20140110109 - DIRECT STEAM GENERATION OF BOILER BLOWDOWN | 1 |
Margaret G. Latimer | US | Alpharetta | 2015-05-28 / 20150148764 - UNITARY FLUID INTAKE SYSTEM FOR ABSORBENT PRODUCTS AND METHODS OF MAKING SAME | 2 |
William M. Latimer | US | San Jose | 2014-05-15 / 20140137006 - Graphical Overlay Related To Data Mining And Analytics | 2 |
Brian Latimer | US | St. Charles | 2016-04-07 / 20160098786 - REAL ESTATE TRANSACTION SYSTEM | 1 |
Andrew Latimer | GB | Tyne & Wear | 2012-09-27 / 20120245073 - SPRAY-DRIED LAUNDRY DETERGENT PARTICLES | 2 |
Jean J. Latimer | US | Fort Lauderdale | 2016-04-21 / 20160109468 - ASSOCIATING SOMATIC GENE MUTATIONS IN GLYCOPHORIN A WITH COMPLEX MULTIFACTORIAL DISEASES | 1 |
Edward G. Latimer | US | Ponca City | 2014-09-04 / 20140246195 - SUPERCRITICAL BOILER FOR OIL RECOVERY | 14 |
Joshua Latimer | US | Linden | 2015-02-19 / 20150051982 - APPLICATION AND METHOD FOR CREATING AND MANAGING A DATABASE CONTAINING MAILING ADDRESSES | 1 |
Willard M. Latimer | US | Chehalis | 2011-05-19 / 20110113666 - SHOCK ABSORBING PAD FOR RIFLE OR THE LIKE | 1 |
Jeremy Peter Latimer | US | Greenville | 2014-09-18 / 20140271109 - AXIAL COMPRESSOR AND METHOD FOR CONTROLLING STAGE-TO-STAGE LEAKAGE THEREIN | 4 |
Edward Latimer | US | Ponca City | 2015-12-24 / 20150369025 - DIRECT STEAM GENERATOR DEGASSING | 1 |
Glen E. Latimer, Jr. | US | Chagrin Falls | 2013-08-15 / 20130206674 - FLUID FILTER DEVICE | 1 |
Richard John Latimir | GB | London | 2015-10-01 / 20150278739 - MEASURING UTILISATION OF PHYSICAL LOCATIONS | 1 |
Massimo Latini | IT | Empoli (fi) | 2008-09-11 / 20080216798 - COUPLING DEVICE AND FUEL SUPPLY ARRANGEMENT | 1 |
Alessandro Latini | IT | Mira | 2014-05-08 / 20140123401 - Composition | 1 |
Roberto Latini | IT | Milano | 2015-08-20 / 20150233946 - TnT BASED DIAGNOSIS OF PAROXYSMAL ATRIAL FIBRILLATION | 2 |
Robert Latini | IT | Milan | 2009-04-23 / 20090105322 - PHARMACEUTICAL COMPOSITION COMPRISING VALSARTAN | 1 |
Massimo Latini | IT | Empoli | 2010-03-25 / 20100071668 - COUPLING DEVICE | 3 |
Albano Latini | IT | Piedicolle | 2009-11-12 / 20090277842 - METHOD FOR REGENERATING IONIC EXCHANGE RESINS USED FOR SOFTENING WATER AND DEVICE FOR SOFTENING WATER | 1 |
Lucas Latini | US | Norcross | 2009-08-20 / 20090209658 - Embolic compositions | 2 |
Michael Vincent Latino | US | Salida | 2012-12-20 / 20120318100 - Ultra pleat | 1 |
Joseph S. Latino | US | Brooklyn | 2012-11-29 / 20120301532 - COMPOSITIONS AND METHODS FOR TREATING MATERIALS WITH INSECTICIDES AND POTENTIATING AGENTS | 6 |
Massimo Latino | IT | Cinisello Balsamo | 2014-10-02 / 20140295474 - METHOD FOR DISSOLUTION TESTING OF SOLID COMPOSITIONS CONTAINING DIGESTIVE ENZYMES | 1 |
Massimo Latino | IT | Milan | 2014-05-08 / 20140127307 - MICROPELLET COMPOSITIONS COMPRISING PANCREATIN CONTAINING DIGESTIVE ENZYME MIXTURE | 1 |
Francesco Latino | IT | Bari | 2015-11-05 / 20150317082 - Automatically Managing the Storage of a Virtual Machine | 3 |
Octavio R. Latino | US | Berrian Springs | 2012-08-09 / 20120198690 - REMOVABLE FINAL SCRUBBER TUBE | 1 |
Octavio R. Latino | US | Berrien Springs | 2015-08-13 / 20150226714 - ELECTRODE FOR RESISTANCE FURNACE | 3 |
Richard Latino | US | Sterling | 2011-12-15 / 20110304244 - Adjustable rail mounting system | 2 |
Milan Latinovic | DE | Nidda | 2009-12-10 / 20090306404 - Process for the Preparation of 2-azabicyclo[3.3.0]octane-3-carboxylic Acid Derivatives | 1 |
Tony James Latiolais | US | Dequincy | 2014-10-02 / 20140296007 - AMMUNITION DELIVERY SYSTEM ARROWHEAD AND METHOD OF USE | 4 |
Lon Latiolais | US | Georgetown | 2010-03-11 / 20100062392 - Rotary gingival cord packer | 1 |
Burney J. Latiolais | US | Lafayette | 2010-08-19 / 20100206584 - DOWNHOLE TUBULAR CONNECTOR | 3 |
Lon Jude Latiolais | US | Georgetown | 2011-08-25 / 20110207082 - Methods and apparatuses for restoring and in-office customizing of dental implant abutments with a dental prosthesis | 2 |
Tony James Latiolais | US | St. Martinville | 2013-03-14 / 20130065716 - FRANGIBLE PAYLOAD DELIVERY APPARATUS | 2 |
Burney J. Latiolais, Jr. | US | Larayette | 2011-05-19 / 20110114306 - Methods and Devices for Forming a Wellbore with Casing | 1 |
Burney J. Latiolais, Jr. | US | Lafayette | 2015-07-16 / 20150197996 - Load Ring for Lifting By Elevator, Of Casing Having An Upset | 9 |
Burney J. Latiolais, Jr. | US | Lafayette | 2015-07-16 / 20150197996 - Load Ring for Lifting By Elevator, Of Casing Having An Upset | 9 |
Lon Latiolals | US | Georgetown | 2010-03-11 / 20100062393 - Rotary gingival cord packer | 1 |
Gregory S. Latka | US | Jackson | 2014-10-30 / 20140318887 - PORTED WEAPON SILENCER WITH SPIRAL DIFFUSER | 2 |
Mukul Latkar | IN | Kothrud Pune | 2016-05-12 / 20160132959 - COLOR BOM AUTHORING WITH COLOR BOM SOLVE | 1 |
Jeffery F. Latkowski | US | Mercer Island | 2014-09-25 / 20140286471 - INTERTIAL CONFINEMENT FUSION POWER PLANT WHICH DECOUPLES LIFE-LIMITED COMPONENT FROM PLANT AVAILABILITY | 2 |
Sylwester Latkowski | IE | Royal Canal Park | 2014-10-23 / 20140314108 - WAVELENGTH TUNABLE COMB SOURCE | 1 |
Jeffery Latkowski | US | Livermore | 2014-05-22 / 20140138257 - SYSTEM FOR EXTRACTION OF TRITIUM FROM LIQUID METAL COOLANTS | 1 |
Jeffery F. Latkowski | US | Livermore | 2011-11-24 / 20110286563 - Control of a Laser Inertial Confinement Fusion-Fission Power Plant | 2 |
Jeffrey F. Latkowski | US | Livermore | 2011-11-24 / 20110286570 - SOLID HOLLOW CORE FUEL FOR FUSION-FISSION ENGINE | 1 |
Neal S. Latman | US | Amarillo | 2010-09-16 / 20100234755 - Electrode holder for use on hairy animals such as horses, camels, and the like | 4 |
Inbal Latner | US | Boston | 2012-10-25 / 20120271655 - Methods and Systems for Enabling Applications on a Mobile Computing Device to Access Data Associated with a Peripheral Medical Device | 1 |
Peter Latocha | DE | Malsch | 2014-01-02 / 20140006279 - Consistent Interface for Business Transaction Authorisation Request and Rejected Direct Debits Post Processing Initiation Run | 14 |
Derrick L. Laton | US | Houston | 2012-06-28 / 20120165580 - Process For Production of Polyolefins | 1 |
Cassady Laton | US | Seattle | 2012-05-03 / 20120103983 - Pressure Relief Valve | 1 |
Michael A. Laton | US | Fayetteville | / - | 1 |
Jacob Latone | US | San Jose | 2013-06-27 / 20130164809 - PRODUCTION OF ISOPRENE UNDER REDUCED OXYGEN INLET LEVELS | 1 |
Thomas Latone | US | Quakertown | 2008-11-20 / 20080284218 - Delayed Gas Spring Chair | 1 |
Jack T. Latone | US | Rochester | 2014-03-27 / 20140089083 - METHOD AND APPARATUS FOR AN AUTOMATED MARKETING CAMPAIGN COACH | 1 |
Joseph S. Latoria | US | West Chicago | 2016-03-17 / 20160074918 - POWERED BENDING TOOL | 5 |
Paula C. Latorre | US | Bel Air | 2016-03-31 / 20160093876 - LixMn2O4-y(Clz) SPINEL CATHODE MATERIAL, METHOD OF PREPARING THE SAME, AND RECHARGEABLE LITHIUM AND LI-ION ELECTROCHEMICAL SYSTEMS CONTAINING THE SAME | 1 |
Murillo Grandino Latorre | BR | Sao Paulo | 2013-10-24 / 20130282500 - VEHICLE FUELING SYSTEM AND METHOD | 1 |
Gaetano Latorre | US | Gainesville | 2009-12-31 / 20090324516 - Composition and Method for Enhancing Flouride Uptake Using Bioactive Glass | 1 |
Laurent Latorre | FR | Claret | 2011-10-13 / 20110248783 - CIRCUIT FOR AMPLIFYING A SIGNAL REPRESENTING A VARIATION IN RESISTANCE OF A VARIABLE RESISTANCE AND CORRESPONDING SENSOR | 1 |
Robert Frank Latorre | US | Dallas | 2014-11-27 / 20140347441 - 360 Degree Photobooth Kiosk | 1 |
Fernando Latorre | ES | Huesca | 2011-11-03 / 20110271056 - MULTITHREADED CLUSTERED MICROARCHITECTURE WITH DYNAMIC BACK-END ASSIGNMENT | 7 |
Fernando Latorre | ES | Barcelona | 2016-03-31 / 20160092222 - INSTRUCTION AND LOGIC FOR BULK REGISTER RECLAMATION | 20 |
Javier Latorre | JP | Tokyo | 2012-07-19 / 20120185244 - SPEECH PROCESSING DEVICE, SPEECH PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 4 |
Carlos Eduardo Latorre | US | Greer | 2011-06-16 / 20110140431 - WIND TURBINE FARM AND METHOD OF CONTROLLING AT LEAST ONE WIND TURBINE | 2 |
Antonino Latorre | IT | Rome | 2010-10-28 / 20100269390 - High feed ramp for colt 1911 style handguns | 1 |
Carlos E. Latorre | US | Greer | 2010-06-03 / 20100135815 - SPAR CAP FOR WIND TURBINE BLADES | 1 |
Fernando Latorre | ES | Barcelona | 2016-03-31 / 20160092222 - INSTRUCTION AND LOGIC FOR BULK REGISTER RECLAMATION | 20 |
Francesco La Torre | GB | London | 2015-03-05 / 20150067330 - METHOD AND SYSTEM FOR NETWORK DATA ACCESS | 1 |
Carlos La Torre | SE | Tumba | 2016-03-10 / 20160066531 - A CARTRIDGE, AND A TEAT CUP | 5 |
Justin S. La Torre | US | White Plains | 2011-04-14 / 20110086320 - COLORED FLAME CANDLE | 1 |
Paolo Giovanni La Torre | IT | Campi Bisenzio | 2011-02-24 / 20110042458 - BEVERAGE DISPENSER, IN PARTICULAR BUT NOT EXCLUSIVELY FOR WINES | 1 |
Guillermo Latorre Cortes | CO | Santander | 2011-12-08 / 20110301893 - INTELLIGENT TOOL FOR DETECTING PERFORATIONS AND INTERPRETATION OF DATA ONLINE | 2 |
Pau Latorre-Costa | FR | Toulouse | 2015-06-11 / 20150163844 - COMMUNICATION SYSTEM IN AN AIRCRAFT COMPRISING A REDUNDANT NETWORK | 2 |
Marie-Pascale Latorse | FR | Saint Romain De Popey | 2012-07-05 / 20120172383 - FUNGICIDAL COMPOSITIONS BASED ON PYRIDYLMETHYLBENZAMIDE DERIVATIVES AND AT LEAST ONE COMPLEX III INHIBITING COMPOUND | 2 |
Marie-Pascale Latorse | FR | St. Romain De Popey | 2015-08-13 / 20150223459 - ACTIVE COMPOUND COMBINATIONS | 3 |
Marie-Pascale Latorse | FR | Sourcieux Les Mines | 2010-01-28 / 20100022027 - GENE 763 OF PHYTOPATHOGENIC FUNGUS MAGNAPORTHE GRISEA AND USE THEREOF FOR IDENTIFYING FUNGICIDAL COMPOUNDS | 1 |
Marie-Pascale Latorse | FR | Romain De Popey | 2011-05-05 / 20110105322 - Active Compound Combinations | 1 |
Vincenzo La Tosa | IT | Manfredonia | 2011-07-07 / 20110163905 - Method and System for Aiding Environmental Characterization by Ultra-Wideband Radiofrequency Signals | 1 |
Günter Latoschinski | DE | Marl | 2013-08-29 / 20130224098 - USE OF A REACTOR WITH INTEGRATED HEAT EXCHANGER IN A PROCESS FOR HYDRODECHLORINATING SILICON TETRACHLORIDE | 4 |
Günter Latoschinski | DE | Marl | 2013-08-29 / 20130224098 - USE OF A REACTOR WITH INTEGRATED HEAT EXCHANGER IN A PROCESS FOR HYDRODECHLORINATING SILICON TETRACHLORIDE | 4 |
Steven Paul Latoski | US | Kingman | 2013-11-21 / 20130309391 - Method for Preparing Cooked Oatmeal into a Solid Composition for Food Consumption | 1 |
Gwendal Latouche | FR | Paris | 2011-08-04 / 20110186752 - METHOD AND DEVICE FOR DETERMINING THE RATIO BETWEEN THE CONTENTS OF CHLOROPHYLL AND OF A CHROMOPHORE COMPOUND IN A VEGETABLE TISSUE WITHOUT INDEPENDENTLY MEASURING SAID CONTENTS | 3 |
Gilles Latouche | FR | Fontenay Le Fleury | 2013-12-05 / 20130324064 - BROADBAND MULTI-CHANNEL RADIOFREQUENCY RECEIVER | 2 |
Celine Latouche | AU | Melbourne Vic | 2011-10-20 / 20110257140 - Biomarkers of Mineralocorticoid Receptor Activation | 1 |
Lerone W. Latouche | US | Poughkeepsie | 2009-08-06 / 20090198701 - DYNAMIC WEBCAST CONTENT VIEWER METHOD AND SYSTEM | 1 |
Brian K. Latouf | US | Rochester | 2010-08-26 / 20100213847 - DAYTIME RUNNING LAMP ACTIVATION CONTROL METHODS AND APPARATUS | 1 |
Maria Latouf | CA | Windsor | 2012-12-27 / 20120324946 - Posture Necklace and Method of Maintaining Proper Posture | 1 |
William Greg Latouf | US | Ashland | 2011-01-27 / 20110020884 - METHOD FOR THE CONVERSION OF PLANT MATERIALS INTO FUELS AND CHEMICALS BY SEQUENTIAL ACTION OF TWO MICROORGANISMS | 1 |
Ted J. Latouf | CA | Windsor | 2010-05-13 / 20100116366 - FUEL TANK ASSEMBLIES, COMPONENTS, AND METHODS OF MANUFACTURE | 1 |
William G. Latouf | US | Ashland | 2012-05-03 / 20120107888 - MODULATION OF FERMENTATION PRODUCTS THROUGH VITAMIN SUPPLEMENTATION | 3 |
Dominique Joseph Latour | US | Cary | 2010-06-17 / 20100153456 - Computer-Implemented Systems And Methods For Variable Clustering In Large Data Sets | 1 |
John V. Latour | US | Alameda | 2011-09-15 / 20110224442 - METHODS AND SYSTEMS FOR SYNTHESIS OF A D-AMINOLUCIFERIN PRECURSOR AND RELATED COMPOUNDS | 1 |
Elisabeth Latour | FR | Bartenheim-La Chaussée | 2009-10-01 / 20090247638 - PHARMACEUTICAL USE OF COX-2-INHIBITORS IN ANGIOGENESIS-MEDIATED OCULAR DISORDERS | 1 |
Pierre-Olivier Latour | US | Redondo Beach | 2013-02-14 / 20130039601 - Method and Apparatus for Managing Image-Processing Operations | 1 |
Martin Latour | CA | Montreal | 2013-01-10 / 20130008816 - PACKAGING HEADER FOR A ROLL OF MATERIAL AND METHOD FOR PACKAGING A ROLL OF MATERIAL | 1 |
Elisabeth Jeanne Latour | FR | Paris | 2013-03-14 / 20130065954 - OPHTHALMIC USES OF S1P RECEPTOR MODULATORS | 3 |
John Latour | US | Alameda | 2012-11-22 / 20120296189 - Methods of Collecting and Analyzing Samples | 1 |
Debra Ann Latour | US | Springfield | 2014-11-20 / 20140343693 - Anchoring System For Prosthetic And Orthotic Devices | 3 |
Jean-Yves Latour | US | White Plains | 2011-07-14 / 20110170806 - DUAL PACK | 2 |
Antoine Latour | FR | Grenoble | 2013-12-12 / 20130330643 - FUEL-CELL STACK COMPRISING AN ANODE CHAMBER COMPRISING IN THE ANODE CHAMBER AN AREA FOR CONDENSING AND REMOVING WATER AND METHOD FOR CONDENSING AND REMOVING WATER FORMED IN SAID CHAMBER | 5 |
Brad Latour | US | Annapolis | 2010-06-24 / 20100160116 - Ropeless Jump Rope Having Replacealbe Tip | 1 |
Dimitri Latour | BE | Manage | 2010-08-12 / 20100204398 - Process For Making Silicone Emulsions | 1 |
Elisabeth Latour | FR | Paris | 2013-09-26 / 20130253027 - DOSAGES OF ARYLSULFONAMIDE DERIVATIVES | 3 |
Chris Latour | CA | London | 2012-06-28 / 20120165171 - AUTOMATIC BAG HANDLING METHOD FOR PRECISELY SECURING A PLASTIC HANDLE TO A PLASTIC BAG | 2 |
Raymond Latour | CA | Edmonton | 2014-02-13 / 20140042012 - OZONE GENERATION SYSTEM WITH PRECISION CONTROL | 1 |
Elisabeth Latour | FR | Bartenheim-La Chaussée | 2012-12-20 / 20120322874 - Pharmaceutical Uses | 2 |
Patrick Latour | CA | Terrebonne | 2013-07-25 / 20130187335 - TWO-DIMENSIONAL TILING PUZZLE HAVING THREE-DIMENSIONAL FEATURES | 4 |
David Latour | US | Golden Valley | 2015-08-06 / 20150217195 - USER-CONTROLLED ENTERTAINMENT SYSTEM, APPARATUS AND METHOD | 1 |
Robert F. Latour | US | Bolton | 2012-08-02 / 20120196030 - Coating Methods and Apparatus | 2 |
Sylvain Latour | FR | Paris | 2016-02-25 / 20160051674 - METHODS AND PHARMACEUTICAL COMPOSITIONS (CTPS 1 INHIBITORS, E.G. NORLEUCINE) FOR INHIBITING T CELL PROLIFERATION IN A SUBJECT IN NEED THEREOF | 1 |
Andrew Van Os Latour | US | Hayward | 2016-02-25 / 20160052060 - MIXING COLD HEARTH METALLURGICAL SYSTEM AND PROCESS FOR PRODUCING METALS AND METAL ALLOYS | 1 |
Marc R. Latour | US | Martinez | 2008-09-04 / 20080210746 - Apparatus and method for providing security in a voting machine | 1 |
John Latour | US | Castro Valley | 2009-04-16 / 20090095642 - TRANSITION METAL COMPLEXES WITH PYRIDYL-IMIDAZOLE LIGANDS | 1 |
Pierre-Olivier Latour | US | Menlo Park | 2013-06-06 / 20130141450 - Facilitating Caching in an Image-Processing System | 7 |
Norman Latov | US | Irvington | 2013-05-02 / 20130108643 - METHOD TO TREAT AUTOIMMUNE DEMYELINATING DISEASES AND OTHER AUTOIMMUNE OR INFLAMMATORY DISEASES | 2 |
Norman Latov | US | New York | 2014-08-28 / 20140243235 - PERIPHERAL NEUROPATHY DIAGNOSIS | 3 |
Kenneth Charles Latoza | US | Redmond | 2009-07-02 / 20090172005 - Discovering and Updating Templates | 1 |
Shivram Latpate | IN | Pune | 2015-01-29 / 20150030070 - ADAPTIVE DECODING OF A VIDEO FRAME IN ACCORDANCE WITH INITIATION OF NON-SEQUENTIAL PLAYBACK OF VIDEO DATA ASSOCIATED THEREWITH | 1 |
Gary B. Latraille | US | Maple Grove | 2009-07-02 / 20090171273 - DEFLECTABLE CATHETER CONSTRUCTED TO INHIBIT COMPONENT MIGRATION | 2 |
Gary B. La Traille | US | Maple Grove | 2012-06-21 / 20120157915 - Deflectable catheter constructed to inhibit component migration | 1 |
Douglas Mark Latreille | US | Neenah | 2013-10-10 / 20130266755 - Packaging Inserts With Myoglobin Blooming Agents, Packages and Methods of Packaging | 3 |
Damien Latremouille | CA | Ottawa | 2012-08-23 / 20120213318 - Network Synchronized Time Base Timer | 2 |
Esther Latres | US | New York | 2015-02-05 / 20150037339 - ANTI-ACTIVIN A ANTIBODIES AND USES THEREOF | 7 |
Frederic Latrille | US | Houston | 2011-09-22 / 20110226470 - SYSTEMS AND METHODS FOR PROVIDING WIRELESS POWER TRANSMISSIONS AND TUNING A TRANSMISSION FREQUENCY | 3 |
Eric Latrille | FR | Salles D'Aude | 2012-01-26 / 20120021477 - Method for the Fixation of CO2 and for Treating Organic Waste by Coupling an Anaerobic Digestion System and a Phytoplankton Microorganism Production System | 1 |
Martin Latrille | FR | Toulouse | 2011-12-01 / 20110290784 - HEATING SYSTEM HAVING AT LEAST ONE ELECTROTHERMAL HEATING LAYER, A STRUCTURAL COMPONENT HAVING SUCH A HEATING LAYER, A HEATING METHOD AND A METHOD FOR PRODUCING A SEMI-FINISHED COMPONENT OR A COMPONENT HAVING A HEATING DEVICE | 1 |
Jean Pierre Latrille | US | Sleepy Hollow | 2015-06-04 / 20150151198 - SYSTEMS AND METHODS FOR PROVIDING BIOFEEDBACK INFORMATION TO A CELLULAR TELEPHONE AND FOR USING SUCH INFORMATION | 6 |
Christopher W. Latrobe | US | Chicago | 2013-08-01 / 20130192172 - AUTHENTICATION, SECURITY AND/OR MARKETING DISPLAY KIT FOR A PRECIOUS GEM AND METHOD | 2 |
Giacomo Latrofa | IT | Torino | 2013-11-07 / 20130291520 - METHOD OF ESTIMATING A VARIATION OF A QUANTITY OF SOOT ACCUMULATED IN A DIESEL PARTICULATE FILTER | 1 |
Giacomo Latrofa | DE | Torino | 2014-10-30 / 20140318103 - METHOD OF CONTROLLING A DIESEL PARTICULATE FILTER | 1 |
Miguel Latronica | US | Riverwoods | 2010-11-11 / 20100285938 - THERAPEUTIC BODY STRAP | 1 |
Mark B. Latronico | US | Charlotte | 2012-03-08 / 20120055031 - CUTTING EDGE FOR A CUTTING TOOL | 4 |
Youssef Latrous | CA | Ottawa | 2015-01-29 / 20150032710 - Method Of Communicating Changes In A Main Database To A Client Application | 1 |
Nico Latsch | DE | Oppenweiler | 2013-02-07 / 20130033371 - METHOD FOR WARNING OF AN OBJECT IN THE VICINITY OF A VEHICLE AND DRIVING ASSISTANCE SYSTEM | 3 |
Stella Latscha | US | Ne Olympia | 2015-08-13 / 20150227174 - HINGE ASSEMBLY | 1 |
Steven P. Latshaw | US | Round Lake Beach | 2013-06-27 / 20130165479 - ANTAGONISTS OF THE TRPV1 RECEPTOR AND USES THEREOF | 9 |
Catherine Catino Latshaw | US | Fogelsville | 2013-10-17 / 20130275175 - Methods for Improved Production and Distribution | 2 |
Steven P. Latshaw | US | Round Lake Beach | 2013-06-27 / 20130165479 - ANTAGONISTS OF THE TRPV1 RECEPTOR AND USES THEREOF | 9 |
Harry Latshaw | US | Rossville | 2011-09-29 / 20110232639 - Ventilation valve for a veterinary anesthesia system | 1 |
Steve Latshaw | US | Elijay | 2016-03-17 / 20160075692 - Indazole Ureas and Method of Use | 1 |
Steven P. Latshaw | US | Round Lake | 2012-01-19 / 20120015929 - NOVEL COMPOUNDS AS CANNABINOID RECEPTOR LIGANDS | 4 |
Ryan N. Latta | US | Bellevue | 2014-07-03 / 20140185733 - NUCLEAR FUEL ELEMENT | 1 |
Ashley D. Latta | US | Pittsburgh | 2016-03-10 / 20160071171 - SYSTEM AND METHOD FOR MANAGING AND OPTIMIZING PROVIDER-TO-PATIENT AND PROVIDER-TO-PROVIDER COMMUNICATIONS AND REFERRALS | 1 |
Mark A. Latta | US | Omaha | 2013-01-10 / 20130011453 - BUFFERED MICROENCAPSULATED COMPOSITIONS AND METHODS | 5 |
Joseph Latta | US | Mccomb | 2014-09-18 / 20140274629 - Method and Apparatus for Performing Multiple Tasks on a Web of Material | 1 |
Jerrod W. Latta | US | Glenpool | 2011-12-22 / 20110311338 - CYLINDRICAL BALE TRAILER | 1 |
Stephen Latta | US | Seattle | 2016-03-17 / 20160077785 - EXECUTABLE VIRTUAL OBJECTS ASSOCIATED WITH REAL OBJECTS | 62 |
Stephen Latta | US | Seattle | 2016-03-17 / 20160077785 - EXECUTABLE VIRTUAL OBJECTS ASSOCIATED WITH REAL OBJECTS | 62 |
Jennifer Haddenham Latta | US | Marysville | 2013-03-07 / 20130056486 - Peel and Attach Container Seal | 1 |
Sarah Latta | US | Worcester | 2013-02-07 / 20130033593 - Portable Retinal Camera and Image Acquisition Method | 1 |
Paul P. Latta | US | Morrisville | 2010-10-14 / 20100260816 - INDUCTION OF IMMUNOLOGICAL TOLERANCE | 2 |
Michael Allen Latta | US | Loveland | 2015-10-01 / 20150278189 - SYSTEM AND METHOD FOR ANALYZING ITEMS USING LEXICON ANALYSIS AND FILTERING PROCESS | 4 |
Stephen Gilchrist Latta | US | Redmond | 2015-04-23 / 20150110354 - Isolate Extraneous Motions | 1 |
Stephen Gilchrist Latta | US | Seattle | 2015-08-20 / 20150235632 - TOTAL FIELD OF VIEW CLASSIFICATION | 11 |
Stephen G. Latta | US | Seattle | 2016-05-12 / 20160131902 - SYSTEM FOR AUTOMATIC EYE TRACKING CALIBRATION OF HEAD MOUNTED DISPLAY DEVICE | 76 |
Jason D. Latta | US | Charlotte | 2015-07-02 / 20150186818 - PORTFOLIO ANALYSIS ENHANCEMENT TO ENTITY MOBILITY/PRODUCTIVITY OPPORTUNITIES | 2 |
Stephen G. Latta | US | Seattle | 2016-05-12 / 20160131902 - SYSTEM FOR AUTOMATIC EYE TRACKING CALIBRATION OF HEAD MOUNTED DISPLAY DEVICE | 76 |
Jason Latta | US | Charlotte | 2011-07-07 / 20110167015 - CONCENTRATION RISK MODELING | 1 |
Jason Daniel Latta | US | Charlotte | 2012-04-12 / 20120089431 - Decisioning Framework | 3 |
Stephen Gilchrist Latta | US | Seattle | 2015-08-20 / 20150235632 - TOTAL FIELD OF VIEW CLASSIFICATION | 11 |
Stan Latta | US | Marysville | 2013-03-07 / 20130056486 - Peel and Attach Container Seal | 1 |
William Latta | US | Mooresville | 2014-11-27 / 20140348737 - CYCLONE REACTOR AND METHOD FOR PRODUCING USABLE BY-PRODUCTS USING CYCLONE REACTOR | 5 |
Paul Latta | US | Miami | 2013-04-11 / 20130089594 - MACROPOROUS BIOENGINEERED SCAFFOLDS FOR CELL TRANSPLANTATION | 2 |
Paul Latta | US | Irvine | 2009-01-01 / 20090004238 - IMPLANTATION OF ENCAPSULATED BIOLOGICAL MATERIALS FOR TREATING DISEASES | 1 |
Ronald K. Lattanze | US | Morrisville | 2015-10-01 / 20150276937 - SYSTEM AND METHOD FOR THE DETECTIO OF GAMMA RADIATION FROM A RADIOACTIVE ANALYTE | 2 |
Ronald A. Lattanzi | US | Hawthorn Woods | 2012-02-23 / 20120047289 - System and Method for Wireless Pairing via Wired Connection | 2 |
Riccardo Lattanzi | US | New York | 2015-05-14 / 20150130465 - DIPOLE ARRAY ARRANGEMENT | 2 |
Luca Lattanzi | IT | Ocre | 2010-03-18 / 20100065167 - ANNEALING AND PICKLING PROCESS | 1 |
Nicolas Lattanzi | FR | Autun | 2009-12-31 / 20090325463 - Brassiere cup comprising a rib-protecting pad | 1 |
John Lattanzi | US | Palo Alto | 2014-10-23 / 20140313178 - Automatic Activity Detection in a Display Controller | 2 |
Mauro Lattanzi | IT | Passo Corese | 2012-05-03 / 20120103049 - ROLLING PROCESS AND RELATING LONGITUDINAL, MULTI-STAND ROLLING MILL OF CONTINUOUS, RESTRAINED TYPE FOR HOLLOW BODIES | 1 |
Laura Lattanzi | IT | Rome | 2009-02-12 / 20090041792 - Dendritic cells, uses therefor, and vaccines and methods comprising the same | 1 |
Fabio Lattanzi | LU | Luxembourg | 2015-09-17 / 20150264437 - Apparatus and Method for Providing a Joint IP Data-Stream | 1 |
Giuseppe Lattanzi | IT | Misinto | 2011-06-09 / 20110135375 - LIP MARKER WITH LIP GLOSS APPLICATOR | 1 |
Marisa Rosana Lattanzi | AR | Sunchales | 2012-07-05 / 20120167524 - Machine for placing protective dividers of bottles contained in packaging boxes | 1 |
Laura Isabel Lattanzi | AR | Sunchales | 2012-07-05 / 20120167524 - Machine for placing protective dividers of bottles contained in packaging boxes | 1 |
Fernando Adrián Lattanzi | AR | Sunchales | 2012-07-05 / 20120167524 - Machine for placing protective dividers of bottles contained in packaging boxes | 1 |
Carina Adriana Lattanzi | AR | Sunchales | 2012-07-05 / 20120167524 - Machine for placing protective dividers of bottles contained in packaging boxes | 1 |
Silvio Lattanzi | US | New York | 2015-08-06 / 20150220530 - EFFICIENT SIMILARITY RANKING FOR BIPARTITE GRAPHS | 1 |
Elisabeth Kathryn Lattanzi | US | Fairfax | 2015-11-19 / 20150333609 - OSCILLATING MOTOR FOR A PERSONAL CARE APPLIANCE | 1 |
Christopher Robert Lattanzio | US | Las Vegas | 2012-06-07 / 20120143759 - PROCESSING A FINANCIAL TRANSACTION USING SINGLE-USE FINANCIAL ACCOUNT CARD NUMBER VIA PORTABLE COMMUNICATION DEVICE | 2 |
Livio Lattanzio | CH | Lausanne | 2016-02-11 / 20160043234 - SEMICONDUCTOR TUNNELING DEVICE | 1 |
Ettore Lattanzio | IT | Settala | 2012-02-23 / 20120043343 - CONTAINER FOR DANGEROUS ENVIRONMENTS | 1 |
Santiago Lattanzio | US | Manchester | 2014-09-18 / 20140271178 - Fan Blades for Gas Turbine Engines with Reduced Stress Concentration at Leading Edge | 2 |
Frank A. Lattanzio | US | Cheaspeake | 2009-11-05 / 20090275924 - Systems and Methods for Monitoring and Controlling Internal Pressure of an Eye or Body Part | 1 |
Frank A. Lattanzio | US | Chesapeake | 2012-01-19 / 20120016030 - METHOXYPOLYETHYLENE GLYCOL THIOESTER CHELATE AND USES THEREOF | 2 |
Mario Lattanzio | US | Huntington | 2013-10-10 / 20130268690 - METHOD AND SYSTEM FOR MANAGING HIGH-BANDWIDTH DATA SHARING | 2 |
Ludovic Lattard | DE | Dresden | 2008-10-23 / 20080261395 - Semiconductor Device, Method for Manufacturing Semiconductor Devices and Mask Systems Used in the Manufacturing of Semiconductor Devices | 1 |
Virginie Lattard | FR | Lyon | 2014-07-03 / 20140187625 - RODENTICIDAL COMPOUND, COMPOSITION INCLUDING SAME AND USE FOR COMBATING RODENT PESTS | 1 |
Melissa Jane Latter | AU | O'Connor | 2014-12-25 / 20140377815 - Methods of Producing Carbamoyl Phosphate and Urea | 1 |
Teresa Farias Latter | US | Kildeer | 2013-08-22 / 20130216032 - Convenience Features In A Method And System For Providing Enhanced Caller Identification | 1 |
Gerald Martin Latter | US | Wilmington | 2011-12-29 / 20110317798 - Debris Trap | 2 |
Scott T. Latterell | US | Hermosa Beach | 2014-08-07 / 20140221998 - TISSUE SEALING METHOD | 2 |
Roger William Latterell | US | Huntersville | 2015-11-05 / 20150315785 - BOARDS COMPRISING AN ARRAY OF MARKS TO FACILITATE ATTACHMENT | 4 |
Sue Ann Latterman | US | Mill Valley | 2014-10-30 / 20140324396 - METHODS FOR DESIGNING A FOOT ORTHOTIC | 6 |
Ralph Lattermann | CA | Montreal | 2011-12-29 / 20110319323 - PHARMACEUTICAL COMPOSITION AND METHOD OF USE TO IMPROVE ORGAN FUNCTION | 1 |
Mareike Lattermann | DE | Boeblingen | 2016-04-14 / 20160105429 - CONTROLLED USE OF A HARDWARE SECURITY MODULE | 5 |
Jochen Lattermann | DE | Muenchen | 2010-12-02 / 20100306604 - METHOD AND CIRCUIT FOR BROWNOUT DETECTION IN A MEMORY SYSTEM | 1 |
Mareike Lattermann | US | 2011-06-23 / 20110153607 - COMMUNITY-DRIVEN APPROACH FOR SOLVING THE TAG SPACE LITTERING PROBLEM | 1 | |
Mareike Lattermann | DE | Stuttgart | 2016-03-31 / 20160092687 - HARDWARE SECURITY MODULE ACCESS MANAGEMENT IN A CLOUD COMPUTING ENVIRONMENT | 4 |
Edmund C. Lattime | US | Princeton | 2013-02-21 / 20130045234 - NEUTRALIZING FACTORS AS VACCINE ADJUVANTS | 1 |
Edmund C. Lattime | US | Piscataway | 2011-05-05 / 20110104101 - Immunotherapy for Unresectable Pancreatic Cancer | 1 |
Scott B. Lattime | US | Stow | 2015-06-11 / 20150159761 - Non-Contact Labyrinth Seal Assembly and Method of Construction Thereof | 3 |
Timothy J. Lattimer | US | Lincoln | 2010-10-07 / 20100251457 - Gloves with Utility Pouch | 1 |
Carl L. Lattimer | US | Boonsboro | 2012-11-29 / 20120297659 - PENDULUM CROSSHAIR FOR WEAPON SIGHT | 1 |
Donald Robert Lattimer | US | Chaska | 2012-11-22 / 20120296448 - SOFTWARE LOCKOUT COORDINATION BETWEEN A PROCESS CONTROL SYSTEM AND AN ASSET MANAGEMENT SYSTEM | 3 |
Donald Lattimer | US | Chaska | 2013-01-24 / 20130024495 - HANDHELD FIELD MAINTENANCE TOOL WITH PROCESS COMMUNICATION TUNNEL | 1 |
Dale Henry Lattimer | US | Burnsville | 2012-03-29 / 20120079407 - METHODS AND APPARATUS TO DISPLAY PROCESS CONTROL DEVICE INFORMATION | 1 |
Robinson Lattimer | US | Wilton | 2011-05-19 / 20110114479 - Composite Material Useful in Electrolytic Aluminum Production Cells | 1 |
Charles Lattimer | US | Danbury | 2016-03-31 / 20160092422 - SYSTEM FOR TRACKING COMMENTS DURING DOCUMENT COLLABORATION | 2 |
Daniel Greenfield Lattimer | US | Cypress | 2015-10-01 / 20150276076 - Pressure Relief Valve | 1 |
Donald R. Lattimer | US | Chaska | 2012-02-16 / 20120040698 - HANDHELD FIELD MAINTENANCE TOOL WITH IMPROVED LOCATIONAL AWARENESS FUNCTIONALITY | 3 |
James D. Lattimore | US | Safety Harbor | 2011-09-01 / 20110213287 - SYSTEMS AND METHODS FOR USING NEGATIVE PRESSURE WOUND THERAPY TO MANAGE OPEN ABDOMINAL WOUNDS | 1 |
James D. Lattimore | US | Batesville | 2015-05-14 / 20150128347 - ADJUSTABLE PERSON SUPPORT SYSTEM WITH EXPANSION WINGS DRIVEN BY DUAL LEADSCREWS AND CENTER MOUNTED MOTORS | 1 |
Robert Lattimore | IE | Skerries, County Dublin | 2015-12-17 / 20150360103 - PITCH MARK REPAIR DEVICE | 1 |
Connie Lattimore | US | Matteson | 2010-11-18 / 20100292620 - Design a cast | 1 |
Michael C. Lattimore | US | Tampa | 2013-08-22 / 20130216316 - SYSTEM AND METHOD FOR FACILITATING UNDERGROUND COMMUNICATION CABLE INSTALLATION UTILIZING SOIL EROSION TECHNIQUES | 2 |
George Lattimore | US | Austin | 2016-01-07 / 20160005448 - Memory Circuitry Using Write Assist Voltage Boost | 4 |
William Lundy Lattin | US | Los Altos | 2016-02-18 / 20160048462 - SYSTEM AND METHOD FOR HARDWARE BASED SECURITY | 2 |
William Lattin | US | Los Altos | 2013-01-03 / 20130003970 - System and Method for Controlling Features on a Device | 3 |
Danny L. Lattin | US | Brookings | 2012-03-22 / 20120071521 - CONCENTRATED, NON-FOAMING SOLUTION OF QUATERNARY AMMONIUM COMPOUNDS AND METHODS OF USE | 2 |
Gary A. Lattin | US | Nemo | 2010-03-25 / 20100076368 - Electrotransport Delivery Device Having Improved Safety and Reduced Abuse Potential | 1 |
Christopher Lattin | US | San Jose | 2016-03-10 / 20160070898 - USING BIOMETRIC USER-SPECIFIC ATTRIBUTES | 1 |
Robert Lattin | US | Minneapolis | 2016-04-21 / 20160107078 - Magnetic Toss Game | 2 |
Christopher W. Lattin | US | San Jose | 2016-02-18 / 20160049383 - DEVICE AND METHOD FOR AN INTEGRATED ULTRA-HIGH-DENSITY DEVICE | 1 |
Robert Michael Lattin | US | Minneapolis | 2015-12-03 / 20150345641 - MECHANICAL FACE SEAL | 6 |
Robert M. Lattin | US | Minneapolis | 2011-02-03 / 20110025273 - MONITORING AND CONTROL SYSTEM FOR AN ELECTRICAL STORAGE SYSTEM OF A VEHICLE | 2 |
Marian Lattka | DE | Erlangen | 2008-09-25 / 20080231274 - METHOD TO CONTROL A MAGNETIC RESONANCE SYSTEM | 1 |
René Lattmann | CH | Basel | 2009-11-26 / 20090291942 - IMIDAZO PYRIDINE DERIVATIVES | 1 |
René Lattmann | CH | Basel | 2009-11-26 / 20090291942 - IMIDAZO PYRIDINE DERIVATIVES | 1 |
Rene Lattmann | CH | Oberwil | 2009-02-26 / 20090054467 - Pyrrolo Pyrimidines as Agents for the Inhibition of Cystein Proteases | 3 |
René Lattmann | CH | Basel | 2009-11-26 / 20090291942 - IMIDAZO PYRIDINE DERIVATIVES | 1 |
Joël Lattmann | FR | Champs Sur Marne | 2011-07-14 / 20110173616 - DETERMINATION AND MANAGEMENT OF VIRTUAL NETWORKS | 1 |
Joel Lattmann | FR | Champs Sur Marne | 2012-10-11 / 20120257496 - TECHNIQUE FOR CONTROLLING A LOAD STATE OF A PHYSICAL LINK CARRYING A PLURALITY OF VIRTUAL LINKS | 2 |
Pornthip Lattmann | GB | Birmingham | 2014-01-02 / 20140005248 - NOVEL CHOLECYSTOKININ RECEPTOR LIGANDS | 1 |
Christopher Arthur Lattner | US | San Jose | 2013-05-02 / 20130111446 - MEMORY MANAGEMENT FOR CLOSURES | 10 |
Christopher A. Lattner | US | Los Gatos | 2015-12-03 / 20150347102 - PROGRAMMING SYSTEM AND LANGUAGE FOR APPLICATION DEVELOPMENT | 1 |
James R. Lattner | US | Laporte | 2016-04-14 / 20160102034 - Process for Producing Phenol and/or Cyclohexanone | 39 |
James R. Lattner | US | La Porte | 2016-04-28 / 20160115113 - Aerobic Oxidative Esterification of Sugar-Derived 1,4-Disubstituted Benzene for Direct Synthesis of Dimethylterephthalate | 5 |
Tanya Michelle Lattner | US | San Jose | 2011-07-07 / 20110167414 - SYSTEM AND METHOD FOR OBFUSCATION BY COMMON FUNCTION AND COMMON FUNCTION PROTOTYPE | 7 |
Christopher Arthur Lattner | US | Los Gatos | 2015-12-03 / 20150347094 - INTERACTIVE LEARNING TOOL USING PLAYGROUND | 1 |
James R. Lattner | US | Laporte | 2016-04-14 / 20160102034 - Process for Producing Phenol and/or Cyclohexanone | 39 |
James Richardson Lattner | US | Laporte | 2011-08-11 / 20110196116 - POLYMERIZATION PROCESSES FOR BROADENED MOLECULAR WEIGHT DISTRIBUTION | 7 |
Christopher Arthur Lattner | US | San Jose | 2013-05-02 / 20130111446 - MEMORY MANAGEMENT FOR CLOSURES | 10 |
Stefanie Lattner | US | Gibsonia | 2012-01-19 / 20120016431 - VESTIBULAR STIMULATION SYSTEM | 5 |
Antonio T. Latto | US | Wakefield | 2010-08-05 / 20100198047 - SMALL ANIMAL IMAGING CAPSULE AND BED SYSTEM | 1 |
Antonio T. Latto | US | Portland | 2014-05-01 / 20140118913 - USER INTERCHANGEABLE ORNAMENTAL PORTIONS OF ELECTRONIC DEVICES | 4 |
James Robert Latto | GB | Newcastle Upon Tyne | 2016-03-10 / 20160069484 - A FLEXIBLE PIPE BODY AND METHOD OF MANUFACTURE | 2 |
Omar M. Lattouf | US | 2008-10-09 / 20080249504 - Instrument port | 1 | |
Omar Lattouf | US | Atlanta | 2010-04-08 / 20100087907 - Apparatus And Methods For Treating The Aorta | 1 |
Omar M. Lattouf | US | Atlanta | 2013-09-26 / 20130253641 - METHODS FOR ACCESSING A LEFT VENTRICLE | 4 |
Hans-Juergen Lattrell | DE | Reilingen | 2010-12-30 / 20100332505 - Handling Data Access Requests in Computer Program Updates | 1 |
Tommi Lattu | ES | Madrid | 2009-04-16 / 20090098861 - Centralised Management for a Set of Network Nodes | 2 |
Jouni Lattu | FI | Vtt | 2015-10-08 / 20150284911 - MOLDABLE FIBROUS PRODUCT AND METHOD OF PRODUCING THE SAME | 1 |
Luciano Lattuada | IT | Ivrea | 2011-11-10 / 20110275850 - Process For The Preparation Of Triiodinated Carboxylic Aromatic Derivatives | 1 |
Luciano Lattuada | IT | Bussero | 2015-02-05 / 20150037261 - New Class Of Diazepine Derivative Chelating Agents And Complexes With Paramagnetic Metals Thereof As MRI Contrast Agents | 11 |
Luciano Lattuada | IT | Milan | 2008-12-25 / 20080317668 - Integrin Targeted Synthetic Ligands for Diagnostic and Therapeutic Applications | 1 |
Luciano Lattuada | IT | Colleretto Giacosa (to) | 2011-10-13 / 20110250133 - GASTRIN RELEASING PEPTIDE COMPOUNDS | 1 |
Debora Lattuada | IT | Milano | 2016-03-10 / 20160069911 - NON INVASIVE METHOD FOR PRENATAL DIAGNOSIS | 1 |
Luciano Lattuada | IT | Bussero | 2015-02-05 / 20150037261 - New Class Of Diazepine Derivative Chelating Agents And Complexes With Paramagnetic Metals Thereof As MRI Contrast Agents | 11 |
Luciano Lattuada | IT | Colleretto Giacosa | 2014-06-26 / 20140178302 - INTEGRIN TARGETED SYNTHETIC LIGANDS FOR DIAGNOSTIC AND THERAPEUTIC APPLICATIONS | 1 |
Luciano Lattuada | IT | Milano | 2011-10-20 / 20110256067 - Contrast agents endowed with high relaxivity | 1 |
Marco Lattuada | CH | Neyruz | 2014-07-03 / 20140186445 - METHOD FOR MAKING CUSTOMISED NANOPARTICLES, NANOPARTICLES AND USES THEREOF | 1 |
Luciano Lattuada | IT | Bussero (mi) | 2009-07-09 / 20090175786 - Gastrin Releasing Peptide Compounds | 2 |
Susan E. Latturner | US | Tallahassee | 2010-02-25 / 20100047161 - FORMULATION AND METHOD FOR IMPROVED ION EXCHANGE IN ZEOLITES AND RELATED ALUMINOSILICATES USING POLYMER SOLUTIONS | 1 |
Eric Latulipe | CA | Sainte-Julie | 2009-02-05 / 20090031555 - Rotor to Stator Temporary Interconnection Element for Bearingless Electric Machine | 1 |
Douglas Latulipe | US | Guilderland | 2015-02-26 / 20150054032 - METHODS FOR MAKING A SEMICONDUCTOR DEVICE WITH SHAPED SOURCE AND DRAIN RECESSES AND RELATED DEVICES | 2 |
Celine Latulipe | US | Charlotte | 2013-06-06 / 20130145269 - MULTI-MODAL COLLABORATIVE WEB-BASED VIDEO ANNOTATION SYSTEM | 1 |
Deborah A. Latulipe | US | Torrington | 2013-03-28 / 20130080298 - SYSTEM AND METHOD FOR PROCESSING A FINANCIAL ACCOUNT | 1 |
Douglas C. La Tulipe | US | New Fairfield | 2008-09-11 / 20080217782 - METHOD FOR PREPARING 2-DIMENSIONAL SEMICONDUCTOR DEVICES FOR INTEGRATION IN A THIRD DIMENSION | 3 |
Douglas C. La Tulipe | US | Guiderland | 2013-07-25 / 20130187229 - SEMICONDUCTOR DEVICE WITH A LOW-K SPACER AND METHOD OF FORMING THE SAME | 1 |
Douglas C. Latulipe, Jr. | US | Guilderland | 2014-05-29 / 20140145264 - METHODS OF FORMING WIRING TO TRANSISTOR AND RELATED TRANSISTOR | 3 |
Douglas C. La Tulipe, Jr. | US | Albany | 2011-06-23 / 20110147939 - METHOD OF FABRICATING ULTRA-DEEP VIAS AND THREE-DIMENSIONAL INTEGRATED CIRCUITS USING ULTRA-DEEP VIAS | 2 |
Douglas C. La Tulipe, Jr. | US | New Fairfield | 2010-06-03 / 20100133616 - METHODS OF FORMING WIRING TO TRANSISTOR AND RELATED TRANSISTOR | 1 |
Douglas C. La Tulipe, Jr. | US | Guilderland | 2015-12-24 / 20150371927 - COMBINATION OF TSV AND BACK SIDE WIRING IN 3D INTEGRATION | 28 |
Douglas C. La Tulipe, Jr. | US | Guilderland | 2015-12-24 / 20150371927 - COMBINATION OF TSV AND BACK SIDE WIRING IN 3D INTEGRATION | 28 |
Douglas C. La Tulipe, Jr. | US | Yorktown Heights | 2014-04-17 / 20140106473 - ADVANCED HANDLER WAFER BONDING AND DEBONDING | 2 |
Serge Latulippe | CA | St-Hyacinthe | 2016-04-21 / 20160107685 - Steering Knuckle for a Vehicle | 4 |
David Robert Latulippe | CA | St. George | 2009-01-15 / 20090018322 - Separation of different isoforms of plasmid DNA using ultrafiltration | 1 |
David R. Latulippe | CA | Paris | 2015-10-15 / 20150291952 - RNA APTAMER ISOLATION VIA DUAL-CYCLE (RAPID) SELECTION | 1 |
Paul N. Latulippe | US | Chino Valley | 2009-04-02 / 20090088631 - CATHETER | 1 |
David R. Latulippe | US | Ithaca | 2015-07-23 / 20150204859 - MULTIPLEXED MICROCOLUMN DEVICES AND PROCESSES FOR SELECTION OF NUCLEIC ACID APTAMERS | 1 |
Pierre Latulippe | CA | St-Amable | 2008-11-13 / 20080276823 - Remote-Controlled Vehicle Designed to be Mounted on a Support and Capable of Clearing an Obstacle | 1 |
Paul N. Latulippe, Jr. | US | Chino Valley | 2016-02-18 / 20160047612 - FIREARM SYSTEMS AND METHODS | 3 |
Donald R. Laturell | US | Allentown | 2011-11-10 / 20110274266 - Method and Apparatus for Non-Disruptive Telecommunication Loop Condition Determination | 1 |
Donald R. Laturell | US | Oak Hill | 2016-02-25 / 20160056848 - SINGLE-SIDEBAND TRANSMITTER USING CLASS-S AMPLIFIER | 14 |
Donald R. Laturell | US | Oak Hill | 2016-02-25 / 20160056848 - SINGLE-SIDEBAND TRANSMITTER USING CLASS-S AMPLIFIER | 14 |
Donald Raymond Laturell | US | Allentown | 2009-01-29 / 20090028320 - INDUCTIVE COUPLING FOR COMMUNICATIONS EQUIPMENT INTERFACE CIRCUITRY | 1 |
Donald Laturell | US | Oak Hill | 2010-12-30 / 20100329445 - Surge Immunity Circuit for Telecom DDA | 1 |
John Latus | GB | East Yorkshire | 2012-04-26 / 20120101201 - Slip and Antiblocking Agent | 1 |
William Latus | US | Menomonee Falls | 2014-01-16 / 20140013722 - HYBRID LAWN MOWER DRIVE SYSTEM | 1 |
Molly Latus | US | 2009-12-24 / 20090314423 - APPARATUSES AND METHODS RELATING TO FINDABLE BALLS | 1 | |
Gerald Latus | US | Los Gatos | 2015-01-22 / 20150020377 - APPARATUSES AND METHODS RELATING TO FINDABLE BALLS | 2 |
John Robin Latus | GB | Yorkshire | 2015-10-22 / 20150299098 - FATTY ACID ESTER COMPOSITIONS FOR USE AS EMOLLIENTS | 1 |
Gerald Latus | US | Las Gatos | 2011-12-29 / 20110316192 - APPARATUSES, METHODS AND SYSTEMS RELATING TO FINDABLE GOLF BALLS | 1 |
Clemens Latuske | DE | Dusseldorf | 2011-11-03 / 20110266837 - Body for a Motor Vehicle and Method for Producing a Motor Vehicle Body | 1 |
Marek Franciszek Latuskiewicz | US | Kirkland | 2012-05-24 / 20120130969 - GENERATING CONTEXT INFORMATION FOR A SEARCH SESSION | 1 |
Anna Latuskiewicz | US | Kirkland | 2013-02-21 / 20130046744 - SOCIAL KNOWLEDGEBASE | 1 |
Marek Latuskiewicz | US | Kirkland | 2013-10-24 / 20130282688 - ORGANIZING SEARCH HISTORY INTO COLLECTIONS | 3 |
Anna Mona Latuskiewicz | US | Kirkland | 2013-02-21 / 20130047061 - Collaborative Content Publishing | 1 |
Scott R. Latuszek | US | Pittsboro | 2011-02-10 / 20110030327 - LATCH FOR A PIVOTING MOWER DECK | 2 |
Martti Latva | FI | Rusko | 2009-08-27 / 20090211721 - METHOD AND ARRANGEMENT FOR FEEDING CHEMICALS INTO A PROCESS STREAM | 1 |
Antti Latva-Aho | FI | Kangasala | 2009-02-26 / 20090055639 - Methods and system for modular device booting | 1 |
Matti Latva-Aho | FI | Oulu | 2015-06-25 / 20150181562 - DISTRIBUTED MULTI-CHANNEL COGNITIVE MAC PROTOCOL | 5 |
Urpo Latvakangas | SE | Saltsjo-Boo | 2010-01-28 / 20100021269 - Binding machine a cassette machine and booklet binding method | 1 |
Juhani Latvakoski | FI | Haukipudas | 2012-12-20 / 20120324366 - SYSTEM AND A METHOD FOR REMOTELY USING ELECTRICAL DEVICES | 4 |
Jani Latvakoski | FI | Kiiminki | 2013-09-19 / 20130240629 - Electronic Module Holder With Sliding Door | 1 |
Veikko Latvala | FI | Vahto | 2015-04-09 / 20150098888 - METHOD FOR PRODUCING AMMONIA OR AMMONIUM BY FERMENTATION | 3 |
Esa Latva-Nirva | DE | Dusseldorf | 2011-08-11 / 20110192322 - METHOD OF PREPARING A WELL-DISPERSABLE MICROCRYSTALLINE TITANIUM DIOXIDE PRODUCT, THE PRODUCT, AND THE USE THEREOF | 1 |
Pasi Latva-Pukkila | FI | Ylojarvi | 2010-11-11 / 20100286965 - METHOD AND SOFTWARE PRODUCT FOR DESIGNING DRILLING PATTERN FOR ROCK CAVITY EXCAVATION | 1 |
Ray Latypov | US | Binghamton | 2014-12-25 / 20140375531 - METHOD OF ROVIDING TO THE USER AN IMAGE FROM THE SCREEN OF THE SMARTPHOME OR TABLET AT A WIDE ANGLE OF VIEW, AND A METHOD OF PROVIDING TO THE USER 3D SOUND IN VIRTUAL REALITY | 1 |
Azat Latypov | US | Danbury | 2009-02-05 / 20090033893 - Methods and Systems to Compensate for a Stitching Disturbance of a Printed Pattern in a Maskless Lithography System Not Utilizing Overlap of the Exposure Zones | 1 |
Azat M. Latypov | US | Danbury | 2009-01-22 / 20090021748 - Method and system for wavefront measurements of an optical system | 2 |
Ilyas Amirovich Latypov | RU | Moscow | 2010-03-04 / 20100057095 - Method of Refraction Surgery of the Eye and a Tool for Implanting Intraocular Refractive Lens | 1 |
Azat Latypov | US | San Jose | 2015-11-26 / 20150339429 - METHODS FOR FABRICATING INTEGRATED CIRCUITS INCLUDING GENERATING PHOTOMASKS FOR DIRECTED SELF-ASSEMBLY (DSA) USING DSA TARGET PATTERNS | 6 |
Alfred Latypov | US | Binghamton | 2014-12-25 / 20140375531 - METHOD OF ROVIDING TO THE USER AN IMAGE FROM THE SCREEN OF THE SMARTPHOME OR TABLET AT A WIDE ANGLE OF VIEW, AND A METHOD OF PROVIDING TO THE USER 3D SOUND IN VIRTUAL REALITY | 1 |
Ramil F. Latypov | US | Seattle | 2009-10-15 / 20090258017 - Lyophilized therapeutic peptibody Formulations | 1 |
Nurali Latypov | RU | Moscow | 2014-12-25 / 20140375531 - METHOD OF ROVIDING TO THE USER AN IMAGE FROM THE SCREEN OF THE SMARTPHOME OR TABLET AT A WIDE ANGLE OF VIEW, AND A METHOD OF PROVIDING TO THE USER 3D SOUND IN VIRTUAL REALITY | 1 |
Dmitri R. Latypov | US | San Mateo | 2016-05-19 / 20160142913 - METHODS AND APPARATUS FOR CONTENT SHARING BETWEEN MULTIPLE MOBILE ELECTRONIC DEVICES | 2 |
Alexandra Latypova | US | Rochester | 2009-03-26 / 20090082680 - PERSONALIZED CARDIAC SAFETY RISK | 1 |
Eicke Latz | US | Boston | 2010-11-18 / 20100291577 - Toll-Like Receptor 9 Modulators | 1 |
Guido Latz | DE | Hattersheim | 2012-11-01 / 20120276314 - High Impact Resistant Polyoxymethylene for Extrusion Blow Molding | 1 |
Mark D. Latz | US | Chicago | 2013-04-11 / 20130089389 - FASTENER WITH LOW PROFILE HEAD AND WASHER | 3 |
Ronald A. Latz | US | New Rochelle | 2009-05-07 / 20090117956 - ELECTRONIC DEVICE HOLSTER | 1 |
Brian R. Latz | US | Cedarburg | 2014-09-18 / 20140272247 - RIBBED BALSA | 1 |
Henning Latz | DE | Luneburg | 2011-04-14 / 20110086563 - ADHESIVE STRAPPING TAPE | 2 |
Steffen Latz | DE | Munich | 2009-12-03 / 20090293823 - TRACTION MECHANISM DRIVE WITH A COMPENSATING DEVICE FOR VIBRATION REDUCTION | 1 |
Rüdiger Latz | DE | Oberhausen | 2011-02-10 / 20110034703 - CRYSTAL FORMS OF N-[2-(DIETHYLAMINO)ETHYL]-5-[(5-FLUORO-1,2-DIHYDRO-2-OXO-3H-INDOL-3-YLIDE- NE)METHYL]-2,4-DIMETHYL-1H-PYRROLE-3-CARBOXAMIDE AND METHODS FOR THEIR PREPARATION | 1 |
Eicke Latz | US | Worcester | 2013-06-20 / 20130158100 - INTRACELLULAR DNA RECEPTOR | 3 |
Jochen Latz | DE | Koeln | 2010-07-29 / 20100187160 - METHOD FOR PURIFYING MINERAL OIL FRACTIONS AND DEVICE SUITABLE FOR CONDUCTING SAID METHOD | 1 |
Eicke Latz | DE | Bonn | 2010-06-17 / 20100150938 - METHODS AND COMPOSITIONS FOR REDUCING INFLAMMATION AND TREATING INFLAMMATORY DISORDERS | 1 |
Kersten Latz | DE | Krummesse | 2009-01-29 / 20090025856 - Method and Device for Internal Refurbishment of Corroded Hollow Sections | 1 |
Wilhelm Latz | DE | Frankfurt/main | 2009-01-29 / 20090029184 - Process for manufacturing a sliding contact piece for medium to high current densities | 1 |
Benedikt Latz | DE | Stuttgart | 2012-05-10 / 20120116194 - METHOD FOR AUTOMATIC SETTING TIME VARYING PARAMETER ALERT AND ALARM LIMITS | 1 |
Alexander Latz | DE | Waldkraiburg | 2012-05-24 / 20120126091 - DEVICE FOR SUSPENDING AN OSCILLATING LOAD, PARTICULARLY FOR AN EXHAUST GAS SYSTEM OF A MOTOR VEHICLE | 1 |
Rüdiger Latz | DE | Oberhausen | 2011-02-10 / 20110034703 - CRYSTAL FORMS OF N-[2-(DIETHYLAMINO)ETHYL]-5-[(5-FLUORO-1,2-DIHYDRO-2-OXO-3H-INDOL-3-YLIDE- NE)METHYL]-2,4-DIMETHYL-1H-PYRROLE-3-CARBOXAMIDE AND METHODS FOR THEIR PREPARATION | 1 |
Mark Latz | US | Chicago | 2009-07-23 / 20090185880 - Universal fastener | 1 |
Joerg Latza | DE | St. Leon-Rot | 2010-06-17 / 20100153432 - OBJECT BASED MODELING FOR SOFTWARE APPLICATION QUERY GENERATION | 1 |
Reinhard Latza | DE | St. Ingbert | 2009-07-09 / 20090176258 - TEST FOR THE DETECTION OF PATHOLOGICAL PRIONS | 1 |
Joerg Latza | DE | Walldorf | 2015-04-09 / 20150100952 - Runtime Version Access Infrastructure | 1 |
Stefan Latza | DE | Heilbronn | 2008-12-18 / 20080311248 - Packaged concentrate for preparing a bouillon, soup,sauce, gravy or for use as seasoning, the concentrate comprising modified starch. | 1 |
Carolin Latze | CH | Bern | 2012-08-02 / 20120196535 - USER-CONTROLLED METHOD AND SYSTEM FOR MODIFYING THE RADIATION OF A WIRELESS DEVICE IN ONE OR MORE USER-SELECTED VOLUMES | 1 |
Michael Latzel | US | Bedford | 2016-04-14 / 20160104233 - INTERACTIVE INFORMATION DISPLAY | 2 |
Wolfgang Latzel | DE | Berlin | 2009-10-08 / 20090249708 - Passenger Terminal Consisting of Mobile Room Units | 1 |
Harald Latzel | DE | Heidelberg | 2013-02-14 / 20130036926 - PRINTING FORM | 1 |
Martin Latzel | DE | Leimen | 2015-10-29 / 20150309305 - ARRANGEMENT FOR THE ACTUATION OF AT LEAST ONE ELEMENT IN AN OPTICAL SYSTEM | 3 |
Matthias Latzel | DE | Eggoslheim | 2011-06-23 / 20110150253 - METHOD AND DEVICE FOR SETTING A HEARING DEVICE BY DETECTING LISTENING EFFORT | 2 |
Silke Latzel | DE | Kleinsendelbach | 2014-03-20 / 20140077402 - HUMIDIFICATION CELL | 2 |
Werner Latzel | DE | Oberkirch | 2012-07-26 / 20120186317 - Finish-Rolling Device, As Well As Method For Manufacturing A Magnesium Strip In Such A Finish-Rolling Device | 1 |
Claudia Latzel | DE | Putzbrunn | 2015-08-06 / 20150219717 - A CIRCUIT ARRANGEMENT FOR LOGIC BUILT-IN SELF-TEST OF A SEMICONDUCTOR DEVICE AND A METHOD OF OPERATING SUCH CIRCUIT ARRANGEMENT | 1 |
Matthias Latzel | DE | Eggolsheim | 2013-08-08 / 20130202124 - METHOD FOR TESTING HEARING AIDS | 17 |
Markus Latzina | DE | Walldorf | 2012-02-02 / 20120030598 - DECISION AIDING USER INTERFACES | 2 |
Markus Latzina | DE | Wiesenback | 2008-11-20 / 20080288877 - System for composing software appliances using user task models | 1 |
Markus Latzina | DE | Wiesenbach | 2015-04-09 / 20150100905 - USAGE DESCRIPTION LANGUAGE | 16 |
Markus Latzina | DE | Wiesenbach | 2015-04-09 / 20150100905 - USAGE DESCRIPTION LANGUAGE | 16 |
Kenneth F. Latzko | US | Carmel | 2016-03-17 / 20160074323 - MICROCHIP SUBSTANCE DELIVERY DEVICES HAVING LOW-POWER ELECTROMECHANICAL RELEASE MECHANISMS | 2 |
Karl-Heinrich Latzsch | DE | Kohren-Sahlis | 2010-02-04 / 20100028673 - METHOD OF PRODUCING A BENT ROD AND ROD | 1 |
Sou Noeui Lau | US | Allen | 2012-10-18 / 20120260935 - NON-SLIP HAIR ACCESSORY | 1 |
Wing Cheong Lau | HK | Kwai Chung | 2008-09-11 / 20080219181 - HIGH-SPEED TRAFFIC MEASUREMENT AND ANALYSIS METHODOLOGIES AND PROTOCOLS | 1 |
Gary Lau | HK | Siu Lek Yuen Shatin | 2008-08-21 / 20080196676 - Self supporting pet toy | 1 |
Jan R. Lau | US | Windsor | 2015-09-24 / 20150265264 - SYSTEMS FOR VENOUS OCCLUSION FOR THE TREATMENT OF VENOUS INSUFFICIENCY | 19 |
Kevin H. Lau | US | San Jose | 2014-04-17 / 20140108578 - Geo-Data Spam Filter | 2 |
Steven Choon Meng Lau | SG | Singapore | 2012-10-11 / 20120259280 - Splatter Prevention Mechanism for a Syringe | 1 |
Hannes Lau | DE | Hamburg | 2013-10-17 / 20130275907 - VIRTUAL KEYBOARD | 1 |
Chak Ming Lau | HK | Hong Kong | 2016-05-12 / 20160134590 - METHODS AND SYSTEMS FOR ESTABLISHING VPN CONNECTIONS AT A VPN MANAGEMENT SERVER | 1 |
Daniel L. Lau | US | Lexington | 2016-04-21 / 20160109624 - Radial Lenticular Blending Effect | 20 |
Kei May Lau | HK | Hong Kong | 2016-02-25 / 20160057819 - Smart LED Lighting System | 4 |
Lee Cheung Lau | CN | Kowloon | 2013-10-24 / 20130277227 - METHOD AND APPARATUS FOR PRODUCING SILICON | 1 |
Albert Pui Sang Lau | CN | Kowloon | 2013-10-24 / 20130277227 - METHOD AND APPARATUS FOR PRODUCING SILICON | 1 |
James F. Lau | US | Orlando | 2014-04-17 / 20140107955 - GENERATOR NEUTRAL GROUND MONITORING SYSTEM AND METHOD | 3 |
Kwang Kee Lau | SG | Singapore | 2012-06-21 / 20120153465 - PACKAGE STRUCTURE | 1 |
Kin Keung Lau | US | Redwood City | 2012-06-21 / 20120153960 - Negative Peak Voltage Detection for Enhanced FuelGauge Empty Voltage Prediction | 1 |
Tiphanie Lau | CA | Vancouver | 2014-06-26 / 20140181758 - System and Method for Displaying Characters Using Gestures | 1 |
Michael Hei-Lung Lau | US | Rockville | 2015-10-29 / 20150312160 - SYSTEM FOR FLEXIBLE DYNAMIC REASSIGNMENT OF THROUGHPUT | 2 |
George Hon Kwong Lau | CA | Delta | 2011-12-01 / 20110289853 - DOOR LATCH SYSTEM AND METHOD | 1 |
Mun Chee Angela Lau | HK | Kowloon | 2015-12-03 / 20150342267 - Garment And Method Of Manufacturing Same | 1 |
William Lau | US | Bellevue | 2014-03-20 / 20140082115 - BATCHING NOTIFICATIONS TO OPTIMIZE FOR BATTERY LIFE | 2 |
Chun Yuen Lau | HK | Hong Kong | 2016-04-14 / 20160101365 - SQUEEZABLE FACE-COVERING TOY AND ITS SQUEEZE MECHANISM | 4 |
Marcus Lau | DE | Essen | 2014-06-19 / 20140171523 - METHOD FOR MANUFACTURE OF PURE, CARBON FREE NANOPARTICLES | 1 |
Christian Lau | DE | Heimenkirch | 2015-05-21 / 20150135650 - THERMO-FORMING PACKAGING MACHINE WITH ADJUSTABLE CHAIN GUIDE | 2 |
Carsten Lau | DE | Hannover | 2015-04-30 / 20150115177 - COLD-WORKED METAL ARTICLES INCLUDING LUMINESCENT PHOSPHOR PARTICLES, METHODS OF FORMING THE SAME, AND METHODS OF AUTHENTICATING THE SAME | 2 |
Kin Nang Lau | CN | Shenzhen | 2013-04-25 / 20130101009 - DATA STREAM PROCESSING METHOD, DEVICE, AND SYSTEM | 1 |
Kam Lau | AU | Queensland | 2013-08-01 / 20130196385 - PmST2 ENZYME FOR CHEMOENZYMATIC SYNTHESIS OF ALPHA-2-3-SIALYLGLYCOLIPIDS | 1 |
Benjamin Shui Chor Lau | SG | Singapore | 2015-12-03 / 20150346746 - BANDGAP REFERENCE VOLTAGE GENERATOR CIRCUITS | 1 |
Jean Lau | US | San Ramon | 2011-06-30 / 20110161383 - MESSAGE BASED MOBILE OBJECT WITH NATIVE PIM INTEGRATION | 1 |
Kelvin Lau | AU | Rosehill | 2015-03-26 / 20150086773 - AERATED FIBER CEMENT BUILDING PRODUCTS AND METHODS OF MAKING THE SAME | 1 |
Clara Bik San Lau | HK | Hong Kong | 2014-12-04 / 20140358094 - COMPOSITION, THE NANO-EMULSION AND TRANSDERMAL PATCH, METHODS OF PREPARATION AND USE THEREOF FOR TREATING TRAUMATIC INJURIES | 1 |
James Tac Lau | US | Mountain View | 2014-06-19 / 20140173020 - AUTOMATIC SCHEDULING OF CONTENT DELIVERY | 1 |
Gloria Lau | US | Los Altos | 2016-05-12 / 20160132190 - GUIDED EDIT OPTIMIZATION | 14 |
Wilmer Lau | CA | Vancouver | 2012-09-27 / 20120244801 - Dual-mode headset | 1 |
Yat O. Lau | US | San Jose | 2015-03-19 / 20150081637 - DIFFERENCE DETERMINATION IN A DATABASE ENVIRONMENT | 4 |
Chi-Kin Lau | TW | Taipei | 2012-01-26 / 20120023025 - SECURE DONATION SYSTEM AND ITS METHOD | 1 |
William Travis Lau | US | Beachwood | 2014-04-17 / 20140107671 - HANDHELD SAFETY SUTURING DEVICE | 2 |
Tai Wai David Lau | HK | Hong Kong | 2014-12-04 / 20140358094 - COMPOSITION, THE NANO-EMULSION AND TRANSDERMAL PATCH, METHODS OF PREPARATION AND USE THEREOF FOR TREATING TRAUMATIC INJURIES | 1 |
Michael T. Lau | US | Fremont | 2014-06-12 / 20140163347 - MULTI- ARRAY MONOPHASIC POTENTIAL MEDICAL DEVICE | 1 |
Cynthia W. Lau | US | Campbell | 2013-05-16 / 20130120381 - Fast rendering of knockout groups using a depth buffer of a graphics processing unit | 1 |
Kiang-Leong Lau | TW | Miaoli | 2014-06-12 / 20140164680 - METHOD FOR SWITCHING OPERATION MODE, MEMORY CONTROLLER AND MEMORY STORAGE APPARATUS | 1 |
Allen Lau | US | Cupertino | 2009-08-13 / 20090201622 - Detachable electrostatic chuck for supporting a substrate in a process chamber | 1 |
Yuk-Chiu Lau | US | Ballston Lake | 2014-07-10 / 20140193760 - COATED ARTICLE, PROCESS OF COATING AN ARTICLE, AND METHOD OF USING A COATED ARTICLE | 18 |
Elias Moises Lau | US | Boulder | 2013-06-06 / 20130141274 - ROTATIONAL PARABOLIC ANTENNA WITH VARIOUS FEED CONFIGURATIONS | 2 |
Chee-Wai Lau | TW | Hsin-Chu | 2014-04-24 / 20140111406 - ELECTROLUMINESCENT DISPLAY PANEL AND DRIVING METHOD THEREOF | 3 |
Kam Ming Lau | HK | Yuen Long | 2013-01-10 / 20130013090 - SYSTEMS AND METHODS FOR CONTROLLING AN ELECTRONIC DEVICE | 2 |
Wilma Lau | DE | Muenchen | 2015-03-05 / 20150064746 - METHOD FOR REDUCTION OF 1->3 READING FRAME SHIFTS | 4 |
Heng Yuan Paul Lau | SG | Singapore | 2015-06-04 / 20150151231 - CENTRIFUGAL CYCLONE SEPARATOR | 1 |
Yue Kwong Victor Lau | HK | Laguna City Kowloon | 2014-12-18 / 20140367712 - TINY 6 PIN SIDE VIEW SURFACE MOUNT LED | 2 |
Willie Lau | US | Lower Gwynedd | 2012-06-28 / 20120165459 - METHOD TO PRODUCE POLYMER MODIFIED GROUND TIRE RUBBER | 8 |
Kin Nang (vincent) Lau | CN | Hong Kong | 2012-04-19 / 20120093016 - METHOD AND APPARATUS FOR MULTI-INPUT MULTI-OUTPUT DOWNLINK TRANSMISSION CONTROL | 1 |
Tung Yan Lau | HK | New Territories | 2011-07-14 / 20110167639 - TRIMMER MECHANISM, HAIR TRIMMER, HAIR TRIMMER ATTACHMENT, BLADE ARRANGEMENT AND METHOD THEREFOR | 2 |
Nathaniel Lau | US | Los Angeles | 2010-01-14 / 20100006384 - PORTABLE ELECTRONIC DEVICE CARRIER | 1 |
Kwok-Hung (thomas) Lau | US | Los Altos | 2014-11-20 / 20140344211 - SUPPORTING COMBINATION OF FLOW BASED ETL AND ENTITY RELATIONSHIP BASED ETL | 2 |
Allen K. Lau | US | Cupertino | 2010-03-18 / 20100065216 - RING ASSEMBLY FOR SUBSTRATE PROCESSING CHAMBER | 1 |
Grace Y. Lau | US | Cupertino | 2010-06-10 / 20100143824 - INTERLOCKING STRUCTURE FOR HIGH TEMPERATURE ELECTROCHEMICAL DEVICE AND METHOD FOR MAKING THE SAME | 1 |
Ling Lau | US | Los Angeles | 2012-09-20 / 20120239478 - Systems and Methods for Employee Rewards | 1 |
Ken M. Lau | US | Pacifica | 2008-12-25 / 20080316754 - Compact, steerable, multidirectional photographic light diffuser and reflector | 1 |
Pang Cheng David Lau | SG | Singapore | 2016-04-21 / 20160106536 - Methods and Materials for an Artificial Voice Prosthesis | 1 |
Rosalyn Lau | US | Union City | 2015-07-30 / 20150210993 - Xylanases for Solubilising Arabinoxylan-Containing Material | 2 |
Tung Yan Lau | HK | Fotan | 2011-01-20 / 20110010941 - NOSE HAIR TRIMMER WITH DUAL CUTTING EDGES | 1 |
Cheuk Kun Lau | CA | Ile Bizard | 2015-02-26 / 20150057316 - NOVEL SULFONATE-BASED TRIMEBUTINE SALTS | 1 |
Wayne H. Lau | US | Ann Arbor | 2010-04-22 / 20100096001 - HIGH EFFICIENCY MULTIJUNCTION II-VI PHOTOVOLTAIC SOLAR CELLS | 1 |
James Lau | US | Cupertino | 2011-01-27 / 20110022570 - ALLOCATING FILES IN A FILE SYSTEM INTEGRATED WITH A RAID DISK SUB-SYSTEM | 1 |
Kai-Hung Lau | US | Cupertino | 2012-01-12 / 20120009116 - HIGH TEMPERATURE DECOMPOSITION OF COMPLEX PRECURSOR SALTS IN A MOLTEN SALT | 6 |
Chi Ming Darius Lau | HK | Hong Kong | 2015-02-26 / 20150058111 - METHOD AND SYSTEM FOR MEMBERSHIP RECRUITMENT THROUGH VALUE SENDING | 1 |
Ping Cheung Michael Lau | CN | Hong Kong | 2012-09-20 / 20120234905 - SURFACE IDENTIFICATION SYSTEM AND METHOD, OBJECT HAVING AN IDENTIFICATION CODE PATTERN, AND CODE READING APPARATUS FOR READING THE OBJECT | 1 |
Tung Yan Lau | HK | Shatin | 2009-12-03 / 20090298325 - ADAPTOR DEVICE | 3 |
Waikeung Lau | CN | Hong Kong | 2011-12-08 / 20110296673 - Row bar with smart sensor for forming sliders and method of manufacturing slider | 1 |
Jasper Lau | DK | Farum | 2012-06-28 / 20120165375 - Urea Glucokinase Activators | 1 |
Tung Yan Lau | HK | N.t. | 2011-05-26 / 20110119929 - Blade set for hair clippers | 4 |
Hiu Pok Lau | US | San Jose | 2012-06-28 / 20120166266 - ENABLING A SECOND SYSTEM TO MANAGE SELECTED ANONYMOUS USERS OF A FIRST SYSTEM IN A PERFORMANCE OF A TASK | 1 |
Lilip Lau | US | Sunnyvale | 2010-06-10 / 20100145434 - KINK RESISTANT BIFURCATED PROSTHESIS | 3 |
Lilip Lau | US | Los Altos | 2013-08-15 / 20130211190 - METHOD FOR INCREASING DISTENSIBILITY IN A GASTRIC BAND | 14 |
Thomas Lau | US | Mountain View | 2014-11-20 / 20140344778 - SYSTEM AND METHOD FOR CODE GENERATION FROM A DIRECTED ACYCLIC GRAPH USING KNOWLEDGE MODULES | 2 |
Bonny P. Lau | US | Bellevue | 2013-03-14 / 20130063446 - Scenario Based Animation Library | 5 |
Jocelyn J. Lau | US | Bloomfield Hills | 2009-01-22 / 20090024707 - Electronic Messaging System and Method For A Vehicle | 2 |
David K. Lau | US | Royal Oak | 2009-02-19 / 20090044724 - COATING COMPOSITIONS CONTAINING MONOMERIC, LONG-CHAIN REACTANTS | 1 |
Erwin Lau | CN | Yuen Long | 2010-06-03 / 20100138884 - INTERACTIVE MEDIA CONTENT DELIVERY USING ENHANCED WIRELESS BACKCHANNEL COMMUNICATIONS | 1 |
David Lau | US | Royal Oak | 2010-08-26 / 20100216940 - NONLINEAR POLYSILOXANE CONTAINING COATINGS WITH IMPROVED ADHESION PROPERTIES | 1 |
Vincent W. Lau | US | Sunnyvale | 2009-10-15 / 20090258683 - System and Method for Managing Applications and Media Content of a Wireless Communication Device | 2 |
Brooke L. Lau | US | Saint Joseph | 2014-01-16 / 20140014147 - RINSE AID RELEASE DETECTION METHOD | 6 |
Kai Kin Lau | AU | Dural | 2014-10-30 / 20140323454 - ANAESTHETIC COMPOSITION | 3 |
Raymond Lau | GB | Berkshire | 2010-05-13 / 20100120840 - Heterocyclic benzylamino derivatives, their manufacture and use as pharmaceutical agents | 1 |
Ming Woei Lau | US | East Lansing | / - | 1 |
Cheuk Ping Lau | HK | Yaumatei | 2013-01-31 / 20130025919 - METHOD FOR BACKDRILLING VIA STUBS OF MULTILAYER PRINTED CIRCUIT BOARDS WITH REDUCED BACKDRILL DIAMETERS | 2 |
Eric Lau | US | Tucson | 2012-05-24 / 20120125182 - SYSTEMS AND METHOD FOR IGNITING EXPLOSIVES | 2 |
Jesper F. Lau | DK | Farum | 2016-04-14 / 20160102129 - Stable GLP-1 Based GLP-1/Glucagon Receptor Co-Agonists | 15 |
Justine Yeeman Lau | AU | New South Wales | 2011-02-03 / 20110028408 - DIAGNOSTIC MOLECULE AND THERAPEUTIC TARGET | 1 |
Edmond Lau | US | Sunnyvale | 2009-03-05 / 20090060519 - Internal EMI Washer for Optical Transceiver with Parallel Optic Fiber Ribbon | 1 |
Wing-Hong Lau | CN | Hong Kong Sar | 2011-05-12 / 20110112779 - POWER QUALITY METER AND METHOD OF WAVEFORM ANAYLSIS AND COMPRESSION | 1 |
Daniel Lau | AT | Dornbirn | 2015-06-11 / 20150157902 - GROMMET STRIP | 2 |
Kwong Fat Lau | CN | Guangdong Province | 2011-01-20 / 20110012277 - Position adjusting means for hot runner nozzles, adjusting method and use thereof | 1 |
Jesper Faergeman Lau | DK | Farum | 2010-12-16 / 20100317057 - SEMI-RECOMBINANT PREPARATION OF GLP-1 ANALOGUES | 1 |
Ping Cheung Michael Lau | HK | Hong Kong | 2013-11-21 / 20130307436 - METHOD FOR IMPROVING OPERATION LIFETIME OF CAPACITOR, CAPACITOR CONTROL CIRCUIT STRUCTURE AND USE THEREOF | 1 |
Buon Kiong Lau | SE | Lund | 2014-03-20 / 20140078010 - Multiple Input Multiple Output (MIMO) Antennas Having Polarization and Angle Diversity and Related Wireless Communications Devices | 2 |
Cheuk Lau | CA | L'Lle Bizard | 2014-09-11 / 20140256743 - CATHEPSIN CYSTEINE PROTEASE INHIBITORS | 3 |
Alex Lau | GB | London | 2016-02-04 / 20160037663 - Support System | 5 |
Kwong Ying Lau | CN | Dong Guang | 2013-11-21 / 20130309507 - Wood Effect Fireplace Cabinet Trim | 1 |
Chi Keung Lau | NL | Maastricht | 2010-06-17 / 20100148688 - LAMP MODULE AND LIGHTING DEVICE COMPRISING SUCH A LAMP MODULE | 1 |
Brooke Bullock Lau | US | Leesville | 2015-01-15 / 20150018364 - CONTROL OF HYPOXIA-INDUCIBLE GENE EXPRESSION WITH OLIGOOXOPIPERAZINE NONPEPTIDIC HELIX MIMETICS | 1 |
David M. K. Lau | HK | Hong Kong | 2012-09-13 / 20120229085 - System for charging electric vehicle batteries from street lights and parking meters | 1 |
Leo W. M. Lau | CN | Hong Kong | 2012-09-13 / 20120227811 - ELECTROCHEMICAL METHOD OF PRODUCING COPPER INDIUM GALLIUM DISELENIDE (CIGS) SOLAR CELLS | 1 |
Chun Yui Lau | AU | New South Wales | 2012-01-19 / 20120016218 - DISCRIMINATION OF CHEYNE-STOKES BREATHING PATTERNS BY USE OF OXIMETRY SIGNALS | 1 |
David Lau | US | San Jose | 2013-06-20 / 20130159578 - System and method for Automatic Hardware Interrupt Handling | 1 |
Rosalyn Lau | CN | Shanghai | 2015-12-10 / 20150351433 - Method | 1 |
Alex Lau | CA | Markham | 2015-10-29 / 20150312249 - PASSWORD RETRIEVAL SYSTEM AND METHOD INVOLVING TOKEN USAGE WITHOUT PRIOR KNOWLEDGE OF THE PASSWORD | 7 |
Vincent Kin Nang Lau | CN | Hong Kong | 2012-11-08 / 20120281780 - PARTIAL INTERFERENCE ALIGNMENT FOR K-USER MIMO INTERFERENCE CHANNELS | 24 |
Alex Lau | GB | Barnes | 2012-07-12 / 20120175476 - MONITOR SUPPORT ARM | 2 |
Boaz Lau | CA | Edmonton | 2015-01-15 / 20150019340 - SYSTEMS AND METHODS FOR PROVIDING INFORMATION TO AN AUDIENCE IN A DEFINED SPACE | 1 |
Tecksoon Lau | NL | Cm Amsterdam | 2009-09-24 / 20090236084 - Apparatus for cooling a hot gas | 1 |
Megan Lau | US | San Mateo | 2015-02-19 / 20150052633 - MUTATION OF THE EAR MOTIF OF CLASS II HD-ZIP POLYPEPTIDES | 1 |
Wilson Lau | US | 2013-11-21 / 20130311631 - INTEGRATED CLOUD DATA CENTER MANAGEMENT | 1 | |
Mimi Lau | HK | Pokfulum | 2014-02-13 / 20140046715 - SYSTEMS ANDS METHODS FOR AUTOMATED SCHEDULING | 2 |
Fermi Chi Hung Lau | HK | Tsim Sha Tsui | 2013-11-28 / 20130313864 - Swivel stool | 1 |
Mogens Lonberg Lau | DK | Silkeborg | / - | 1 |
Wai-Yin Lau | US | Fremont | 2012-01-19 / 20120015280 - FLOW FIELD DESIGN FOR HIGH CURRENT FUEL CELL APPLICATIONS | 1 |
William Lau | CA | Toronto | 2016-04-28 / 20160113728 - INTELLIGENT POSITIONING SYSTEM AND METHODS THEREFORE | 4 |
Tai Chu Lau | HK | Kowloon Tong | 2011-09-22 / 20110230456 - Nitridoosmium(VI) Complexes for Treatment of Cancer | 1 |
Lam Yiu Lau | BR | Rio De Janeiro | 2015-04-02 / 20150094511 - ADDITIVES FOR MAXIMIZING LIGHT OLEFINS IN FLUID CATALYTIC CRACKING AND PROCESS UNITS | 5 |
Tecksoon Lau | NL | Amsterdam | 2009-02-26 / 20090053038 - BULK MATERIALS PUMP AND ITS USE | 1 |
Yuenie Lau | US | San Jose | 2013-12-26 / 20130342288 - Self Keying and Orientation System for a Repeatable Waveguide Calibration and Connection | 1 |
Man Fai Lau | US | Murray Hill | 2014-08-21 / 20140233944 - OPTICAL NETWORK TERMINAL (ONT) WAVELENGTH TUNING AND CORREPSONDING CALIBRATION PROCEDURE | 1 |
Gloria Lau | US | Mountain View | 2014-04-10 / 20140101186 - METHODS AND SYSTEMS FOR IDENTIFYING SIMILAR SCHOOLS | 1 |
Edmond Y. Lau | US | Dublin | 2011-06-23 / 20110151537 - Synthetic Catalysts that Separate CO2 from the Atmosphere and Gas Mixtures | 1 |
Ping Sun Lau | HK | Hong Kong | 2014-07-10 / 20140190063 - Mount Light for Firearm | 3 |
David Man Chu Lau | AU | Victoria | 2009-01-22 / 20090020173 - INDUSTRIAL PROCESS EFFICIENCY METHOD AND SYSTEM | 1 |
Erwin K. Lau | US | Berkeley | 2011-06-23 / 20110150502 - HIGH-SPEED OPTICAL TRANSMITTERS USING CASCADED OPTICALLY INJECTION-LOCKED LASERS | 1 |
Chun Yui Lau | AU | Sydney | 2014-09-25 / 20140283827 - CONNECTORS FOR CONNECTING COMPONENTS OF A BREATHING APPARATUS | 2 |
King Tong Lau | IE | Bettystown | 2008-09-04 / 20080213133 - FLOW ANALYSIS APPARATUS AND METHOD | 1 |
Chun-Piu Lau | CN | Kowloon | 2015-07-30 / 20150215155 - DETERMINING FAULTY NODES WITHIN A WIRELESS SENSOR NETWORK | 1 |
Schubert Lau | US | San Jose | 2014-01-02 / 20140006098 - METHODS AND SYSTEMS UTILIZING AN ENGAGEMENT CODE | 1 |
Hon Shing Lau | US | Dorado Hills | 2012-09-06 / 20120223741 - POWER REDUCING LOGIC AND NON-DESTRUCTIVE LATCH CIRCUITS AND APPLICATIONS | 1 |
Fermi Chi Hung Lau | HK | Kowloon | 2013-11-21 / 20130306121 - Hunting blind | 2 |
Katrina Lau | AU | Wallsend | 2015-08-06 / 20150223251 - Semi-Decentralized Scheduling in a Wireless Network | 3 |
Tiam Poh Lau | SG | Singapore | 2015-02-19 / 20150048761 - DIMMING RANGE EXTENSION | 1 |
Kin-Tak Lau | HK | Kowloon | 2010-04-29 / 20100106050 - Hand sensory assessment device | 2 |
Peter Y.f. Lau | US | Seattle | 2010-06-24 / 20100162153 - USER INTERFACE FOR A COMMUNICATION DEVICE | 1 |
Mei-Ling Lau | HK | Kowloon | 2010-04-29 / 20100106050 - Hand sensory assessment device | 1 |
Eik-Lang Lau | US | Buffalo Grove | 2015-12-31 / 20150374024 - MICROBIAL REDUCTION IN NUTRITIONAL PRODUCT USING AN EXTRUSION PROCESS | 2 |
Lisa D. Lau | US | Idaho Falls | 2011-01-27 / 20110017017 - RAPID SYNTHESIS AND SIZE CONTROL OF CHALCOPYRITE-BASED SEMI-CONDUCTOR NANOPARTICLES USING MICROWAVE IRRADIATION | 1 |
Daniel T. Lau | US | Waban | 2012-05-03 / 20120109025 - MULTIPLE DEGREE OF FREEDOM REHABILITATION SYSTEM HAVING A SMART FLUID-BASED, MULTI-MODE ACTUATOR | 1 |
Chun Ning Lau | US | Diamond Bar | / - | 1 |
Diana Lau | CA | Richmond Hill | 2015-03-19 / 20150081784 - INTEROPERABLE SOCIAL SERVICES | 5 |
Alex T. Lau | CA | Ontario | 2013-12-12 / 20130332481 - PREDICTIVE ANALYSIS BY EXAMPLE | 1 |
James Ching Sik Lau | CN | Hong Kong | 2016-03-10 / 20160072356 - ELECTRIC MOTOR | 43 |
Gih Keong Lau | SG | Singapore | 2014-03-06 / 20140061379 - MOTORISED DEVICE AND METHOD OF MOVING THE DEVICE | 1 |
Bill Lau | US | Daly City | 2009-12-17 / 20090312656 - Electrostatic Discharge Protection For Wrist-Worn Device | 1 |
Eric Lau | HK | Yuen Long | 2014-10-23 / 20140315470 - Toy Assembly with Blower and Color Changing Features | 2 |
Man Yuen Lau | CN | Hong Kong | 2014-06-19 / 20140169618 - METHOD FOR RETRIEVING ASSOCIATED INFORMATION USING AN IMAGE | 1 |
Aldrich Lau | US | Palo Alto | 2016-01-28 / 20160025671 - METHODS FOR GENERATING pH/IONIC CONCENTRATION GRADIENT NEAR ELECTRODE SURFACES FOR MODULATING BIOMOLECULAR INTERACTIONS | 6 |
Aston Lau | US | Sunnyvale | 2011-05-12 / 20110112957 - SYSTEM AND METHOD FOR ASSESSING CREDIT RISK IN AN ON-LINE LENDING ENVIRONMENT | 1 |
Wong Lau | CN | Hong Kong | 2012-02-23 / 20120043770 - Safety door stopper | 1 |
Richard C. Lau | US | Pittsburgh | 2010-11-11 / 20100281756 - Spinner arm | 1 |
Alexander Lau | CA | Vancouver | 2011-09-08 / 20110217197 - ROTARY COMPRESSOR-EXPANDER SYSTEMS AND ASSOCIATED METHODS OF USE AND MANUFACTURE, INCLUDING TWO-LOBED ROTOR SYSTEMS | 3 |
Carson Lau | US | San Francisco | 2015-04-02 / 20150091765 - ELECTRICAL-MECHANICAL INTERFACE WITH ANTENNA ELEVATED ABOVE SKIN SURFACE | 9 |
Aldrich N.k. Lau | US | Palo Alto | 2016-04-14 / 20160103097 - HIGH SPEED, HIGH RESOLUTION COMPOSITIONS, METHODS AND KITS FOR CAPILLARY ELECTROPHORESIS | 29 |
Francis Chi Nan Lau | US | Belmont | 2012-03-15 / 20120060258 - COMPOSITION FOR USE IN DECREASING THE TRANSMISSION OF HUMAN PATHOGENS | 2 |
Wai-Kei Lau | CN | Hong Kong | 2013-06-20 / 20130159153 - Apparatus and methods for energy management system | 1 |
Kin Nang Lau | CN | Hong Kong | 2012-11-08 / 20120281641 - ORTHOGONAL FREQUENCY DIVISION MULTIPLE ACCESS (OFDMA) SUBBAND AND POWER ALLOCATION | 2 |
Kiang-Giap Lau | TW | Hsinchu County | 2016-03-17 / 20160078951 - PROGRAMMING METHOD, MEMORY STORAGE DEVICE AND MEMORY CONTROLLING CIRCUIT UNIT | 3 |
Troy Lau | US | Ann Arbor | 2015-10-22 / 20150297141 - METHOD FOR IMPROVING THE SIGNAL TO NOISE RATIO OF A WAVE FORM | 1 |
Mun Chee Angela Lau | CN | Hong Kong | 2012-05-03 / 20120108142 - SUPPORTING WIRE FOR A BREAST COVERING GARMENT | 1 |
Louis Lau | US | San Jose | 2016-04-14 / 20160101316 - System, Method and Apparatus for Capturing and Training a Swing Movement of a Club | 2 |
Kevin Lau | US | Bellevue | 2013-04-25 / 20130100228 - Optimizing Video-call Quality of Service | 1 |
Wing-Fat A Lau | US | San Diego | 2014-11-06 / 20140329484 - JAMMER RESISTANT NOISE CANCELLING RECEIVER FRONT END | 1 |
Michael P.h. Lau | US | Edmonds | 2014-09-18 / 20140276995 - 4D Dynamically Contouring Mesh and Sutures | 5 |
Harry K. Lau | US | Norwalk | 2013-08-22 / 20130216073 - SPEAKER AND ROOM VIRTUALIZATION USING HEADPHONES | 11 |
Hon Shing Lau | US | El Dorado Hills | 2008-09-04 / 20080215855 - Execution unit for performing shuffle and other operations | 1 |
Victor Yue Kwong Lau | CN | Hong Kong | 2012-05-03 / 20120104426 - WHITE CERAMIC LED PACKAGE | 1 |
Hon Shing Lau | US | Folsom | 2010-11-18 / 20100289528 - POWER REDUCING LOGIC AND NON-DESTRUCTIVE LATCH CIRCUITS AND APPLICATIONS | 1 |
Man Kit Lau | US | Minnaepolis | 2013-01-31 / 20130030215 - Methods for Producing Isomers of Muconic Acid and Muconate Salts | 1 |
Allen Lau | US | Oakland | 2015-03-19 / 20150082188 - MESSAGING INTERACTION ENGINE | 2 |
Mark B. Lau | US | Superior | 2013-01-17 / 20130018794 - MOBILE COMMUNICATION DEVICE BASED MONETARY TRANSFER SYSTEM | 1 |
Wai Sin Lau | CN | Hong Kong | 2011-11-10 / 20110271952 - SYSTEM FOR RECLAIMING SOLAR ENERGY IN BUILDINGS | 1 |
Joy Lau | US | Chandler | 2008-10-02 / 20080237353 - Unique identifier on integrated circuit device | 1 |
Siu Yan Lau | CN | Hong Kong | 2011-11-10 / 20110272172 - MULTI-FUNCTION TOOL SYSTEM | 1 |
Stephen Yu-Hong Lau | US | Lake Oswego | 2015-09-10 / 20150255285 - METHOD AND APPARATUSES FOR REDUCING POROGEN ACCUMULATION FROM A UV-CURE CHAMBER | 6 |
Bue Lau | DK | Hjorring | 2014-12-11 / 20140365519 - INTELLIGENT PROCESSING OF USER INPUT TO A BUSINESS INTELLIGENCE SOFTWARE APPLICATION | 1 |
David Pang Cheng Lau | SG | Singapore | 2015-06-04 / 20150150642 - Device and Method for In-Office Unsedated Tracheoesophageal Puncture (TEP) | 2 |
Tze Kwan Lau | US | San Diego | 2015-10-15 / 20150296369 - Handling of Subscriber Identity Module (SIM) Cards with Multiple Profiles | 1 |
Tak Wai Lau | HK | Kwun Tong | 2015-04-30 / 20150121512 - AUTHENTICATION APPARATUS AND METHODS | 2 |
Pui Fun Lau | US | Vail | 2013-06-06 / 20130145115 - SPATIAL EXTENT MIGRATION FOR TIERED STORAGE ARCHITECTURE | 3 |
Yue-Ying Lau | US | Potomac | 2011-08-25 / 20110204785 - CROSSED FIELD DEVICE | 1 |
Keng Kiat Lau | SG | Singapore | 2011-08-25 / 20110204501 - INTEGRATED CIRCUIT PACKAGING SYSTEM INCLUDING NON-LEADED PACKAGE | 1 |
Chung Yan Lau | HK | Kwai Chung | 2015-12-24 / 20150370244 - APPARATUS AND METHOD OF USING AN IMAGING DEVICE FOR ADJUSTMENT OF AT LEAST ONE HANDLING DEVICE FOR HANDLING SEMICONDUCTOR COMPONENTS | 2 |
Markus Lau | DE | Duisburg | 2015-06-04 / 20150153206 - METHOD FOR PRODUCING A PRESSURE SENSOR WITH AN OPTICAL FIBER ON THE MEASURING DIAPHRAGM FOR DETECTING DEFLECTIONS | 2 |
Tsan-Shing Lau | HK | Hong Kong | 2015-10-15 / 20150293108 - MEMBRANE STAINING DYES CONTAINING PHOSPHORESCENT TRANSITION METAL POLYPYRIDINE COMPLEXES | 1 |
John H. Lau | TW | Taipei City | 2013-07-04 / 20130171747 - FABRICATING METHOD OF SEMICONDUCTOR DEVICE | 11 |
Jimmy K. Lau | US | Temple City | 2012-12-20 / 20120324047 - COMMUNICATION HUB FOR PRESENTING AUDIO-VISUAL DATA FROM ELECTRONIC DEVICES AND METHOD OF PROVIDING AND USING THE SAME | 3 |
Francis C. Lau | US | Benicia | 2015-04-30 / 20150119335 - Method of Reducing Exercise-induced Joint Pain in Non-arthritic Mammals | 1 |
Chi Hung Fermi Lau | HK | Kowloon | 2011-03-31 / 20110075400 - Clip light | 6 |
Chi Hung Fermi Lau | HK | Tsimshatsui | 2012-05-10 / 20120111382 - Collapsible shading device | 4 |
Garret C. Lau | US | Emmaus | 2014-10-16 / 20140308176 - Removal Of Hydrogen And Carbon Monoxide Impurities From Gas Streams | 2 |
Alex T. K. Lau | CA | Markham | 2013-04-25 / 20130103373 - ONLINE SIMULATION MODEL OPTIMIZATION | 1 |
Gary K. Lau | US | Seatac | 2015-04-30 / 20150121070 - FIRMWARE SECURITY | 1 |
Kenneth M. Lau | US | Campbell | 2016-02-11 / 20160044142 - Messaging System with Multiple Messaging Channels | 13 |
Derick Lau | US | Roseville | 2012-05-17 / 20120121612 - FERMENTED WHEAT GERM PROTEINS (FWGP) FOR THE TREATMENT OF CANCER | 1 |
James Chung-Kei Lau | US | Torrance | 2012-03-29 / 20120073290 - Ocean thermal energy conversion (OTEC) electric power plant | 1 |
Angela K. Lau | US | San Jose | 2013-05-23 / 20130132221 - SOCIAL SHOPPPING ON A NETWORKED PUBLICATION SYSTEM | 2 |
Johnson Yin-Nam Lau | US | Newport Beach | 2010-05-06 / 20100111874 - METHOD OF CANCER DETECTION AND TREATMENT | 1 |
Wing-Yeung (wayne) Lau | US | Chicago | 2014-11-06 / 20140330000 - Molecular Cartesian-Coordinate Platforms | 1 |
Johnson Yiu-Nam Lau | US | Newport Beach | 2016-03-17 / 20160074321 - OPHTHALMIC COMPOSITION, METHOD FOR PREPARING THE SAME, AND USE OF THE SAME | 4 |
W. M. Lau | CN | Hong Kong | 2011-10-06 / 20110244126 - METHOD FOR SELECTIVELY REMOVING HYDROGEN FROM MOLECULES | 1 |
Siu-Man Lau | US | Abbott Park | 2012-05-17 / 20120122076 - PURIFICATION OF ANTIBODIES USING SIMULATED MOVING BED CHROMATOGRAPHY | 1 |
Kai Fung Lau | HK | North Point | 2014-11-06 / 20140328652 - TRANSFER APPARATUS FOR TRANSFERRING ELECTRONIC DEVICES AND CHANGING THEIR ORIENTATIONS | 1 |
Joshua Jonathan Lau | US | Chicago | 2016-02-04 / 20160036035 - CARBON CONTAINING BINDERLESS ELECTRODE FORMATION | 1 |
William Siang Lim Lau | MY | Kuching | 2011-08-18 / 20110198690 - TRANSISTOR | 1 |
Priscilla Lau | US | Fremont | 2016-03-03 / 20160066133 - LOCATION-BASED TELEPHONE CALLS OVER A WIRELESS LOCAL AREA NETWORK (WLAN) | 67 |
Kok Chien Lau | SG | Singpore | 2013-07-04 / 20130168531 - Optocoupler with Multiple Photodetectors and Improved Feedback Control of LED | 1 |
Jackie Lau | US | Anaheim | 2012-02-23 / 20120046738 - Color-Coded Prosthetic Valve System and Methods for Using the Same | 2 |
David Lau | US | San Diego | 2015-10-22 / 20150298815 - REDUNDANT MOUNT SYSTEM | 9 |
James Kai Yu Lau | US | Bellevue | 2015-10-29 / 20150310259 - USING FACIAL DATA FOR DEVICE AUTHENTICATION OR SUBJECT IDENTIFICATION | 12 |
Kam Cham Lau | US | San Francisco | 2009-03-05 / 20090059578 - Decorative light devices | 2 |
Ker Yon Lau | MY | My | 2015-10-08 / 20150288355 - VOLTAGE LEVEL SHIFT WITH CHARGE PUMP ASSIST | 1 |
Derrick C. Lau | US | Milpitas | 2015-04-16 / 20150106638 - REDUCED ENERGY CONSUMPTION IN A COMPUTER SYSTEM THROUGH SOFTWARE AND HARDWARE COORDINATED CONTROL OF MULTIPLE POWER SUPPLIES | 1 |
Soon-Seng Lau | US | San Diego | 2014-09-18 / 20140270032 - Phase Detection and Correction for Non-Continuous Local Oscillator Generator | 8 |
Harry K. Lau | US | Norwalk | 2013-08-22 / 20130216073 - SPEAKER AND ROOM VIRTUALIZATION USING HEADPHONES | 11 |
Ting Lau | US | San Diego | 2016-01-07 / 20160001147 - MULTI-HELIX GRIP | 2 |
Kai Lau | AU | New South Wales | 2014-11-13 / 20140336163 - METHODS OF PRESERVING INJECTABLE PHARMACEUTICAL COMPOSITIONS COMPRISING A CYCLODEXTRIN AND A HYDROPHOBIC DRUG | 1 |
Billy Lau | US | Atlanta | 2015-04-16 / 20150106614 - SYSTEMS AND METHODS OF SAFEGUARDING USER INFORMATION WHILE INTERACTING WITH ONLINE SERVICE PROVIDERS | 1 |
Johnson Lau | US | Newport Beach | 2015-09-10 / 20150250794 - MODULATORS OF HEC1 ACTIVITY AND METHODS THEREFOR | 6 |
Thomas Lau | US | San Diego | 2010-09-16 / 20100234365 - COMPOUNDS AND COMPOSITIONS AS INHIBITORS OF CANNABINOID RECEPTOR 1 ACTIVITY | 1 |
Kenneth Lau | US | Los Angeles | 2009-05-28 / 20090138925 - Content distribution system | 1 |
Shu-Kwan Lau | US | Sunnyvale | 2016-03-10 / 20160071749 - UPPER DOME FOR EPI CHAMBER | 11 |
David Lau | US | San Diego | 2015-10-22 / 20150298815 - REDUNDANT MOUNT SYSTEM | 9 |
Thomas Lot Stevens Lau | US | San Diego | 2015-04-09 / 20150099720 - ANDROGEN RECEPTOR MODULATOR COMPOUNDS AND METHODS | 3 |
Kenneth G. Lau | US | Los Angeles | 2009-06-11 / 20090150219 - Systems and methods for delivering media content | 1 |
Mogens Lau | DK | Silkeborg | 2013-01-10 / 20130010505 - METHOD FOR CONTROLLING A POWER CONVERTER IN A WIND TURBINE GENERATOR | 1 |
Shu-Kwan Lau | US | Mountain View | 2015-02-05 / 20150037017 - SUBSTRATE SUPPORT WITH SURFACE FEATURE FOR REDUCED REFLECTION AND MANUFACTURING TECHNIQUES FOR PRODUCING SAME | 4 |
Wing Fat Andy Lau | US | San Diego | 2016-04-21 / 20160112146 - CIRCUIT PROVIDING HARMONIC RESPONSE REJECTION FOR A FREQUENCY MIXER | 6 |
Po Ying Lau | CN | Hong Kong Sar | / - | 1 |
Kevin Kin-Wai Lau | US | San Diego | 2009-08-06 / 20090193666 - Multifunction scissor | 1 |
Jacqueline Lau | US | Dobbs Ferry | 2012-01-12 / 20120009481 - Room Temperature Crosslinkable Ion Conductive Polymer System | 3 |
Waikeung Lau | CN | Dongguan | 2012-02-16 / 20120036706 - Row bar for forming sliders and method of manufacturing slider | 1 |
John H. Lau | TW | Taipei | 2015-03-19 / 20150076682 - THINNED INTEGRATED CIRCUIT DEVICE AND MANUFACTURING PROCESS FOR THE SAME | 1 |
Leo W.m. Lau | CA | London | 2012-03-15 / 20120061558 - METHOD FOR PRODUCING HYPERTHERMAL HYDROGEN MOLECULES AND USING SAME FOR SELECTIVELY BREAKING C-H AND/OR Si-H BONDS OF MOLECULES AT OR ON SUBSTRATE SURFACES | 2 |
Wing Chung Lau | HK | Hong Kong | 2013-07-11 / 20130174747 - COOKING APPLIANCE | 1 |
Hon-Shing John Lau | SG | Singapore | 2012-05-24 / 20120126419 - Substrate Arrangement and a Method of Manufacturing a Substrate Arrangement | 1 |
Francis Lau | US | Darien | 2013-07-18 / 20130181170 - FINES CAPTURE AND RECYCLE SYSTEM AND USES THEREOF | 1 |
Kenneth Lau | US | Carlsbad | 2014-06-12 / 20140160770 - LUMINAIRE POWER SWITCH | 3 |
Gary K.-W. Lau | US | Seatac | 2015-03-19 / 20150079573 - STORYTELLING ENVIRONMENT: STORY AND PLAYGROUP CREATION | 1 |
Kevin Hon Luen Lau | US | San Mateo | 2015-06-11 / 20150158851 - PYRAZOLE CARBOXAMIDE COMPOUNDS, COMPOSITIONS AND METHODS OF USE | 4 |
Leo Lau | HK | Kowloon | 2013-02-21 / 20130042902 - HYBRID SOLAR ENERGY CONVERSION SYSTEM WITH PHOTOCATALYTIC DISINFECTANT LAYER | 1 |
Mike Lau | DE | Stuttgart | 2010-06-03 / 20100132357 - INTERNAL COMBUSTION ENGINE SYSTEM | 1 |
Carsten Lau | DE | Niedersachsen | 2011-06-23 / 20110147614 - REFRACTIVE INDEX MATCHED PHOSPHORS AND SUBSTRATES FOR SECURITY APPLICATIONS | 4 |
Florian Lau | DE | Grunenbach | 2011-04-07 / 20110079297 - Servo Valve | 1 |
Berndt-Joachim Lau | DE | Jena | 2011-01-20 / 20110013275 - OBJECTIVE CHANGER HAVING REFLECTED LIGHT ILLUMINATION FOR LIGHT MICROSCOPES | 1 |
James Lau | US | Tustin | 2015-03-05 / 20150060038 - HVAC FAN CONTROLLER | 3 |
Stephen Yee Shun Lau | US | Houston | 2013-12-19 / 20130339812 - Dynamic Device Identification for Making a JTAG Debug Connection with a Internet Browser | 2 |
Tsuiyan Lau | CN | Hong Kong | 2012-05-24 / 20120130066 - METHOD FOR PRECIPITATING A SOLUTE FROM A SOLUTION | 1 |
Eric Wai Ho Lau | US | Mountain View | 2015-12-17 / 20150365419 - SECURING PARTNER-ENABLED WEB SERVICE | 5 |
Tessa Ann Lau | US | Mountain View | 2012-03-08 / 20120059830 - Identifying Computer Users Having Files With Common Attributes | 4 |
Liming Lau | US | Mountain View | 2015-12-03 / 20150342605 - SURGICAL IMPLANT AND METHOD AND INSTRUMENT FOR INSTALLING THE SAME | 12 |
Tessa A. Lau | US | Mountain View | 2014-01-16 / 20140019979 - AUTOMATED WEB TASK PROCEDURES BASED ON AN ANALYSIS OF ACTIONS IN WEB BROWSING HISTORY LOGS | 12 |
Kin Lau | US | Mountain View | 2011-08-11 / 20110197194 - TRANSACTION-INITIATED BATCH PROCESSING | 3 |
Matthias Lau | DE | Dresden | 2011-01-06 / 20110001968 - Spectrometer Measuring Head For Analyzing Characteristic Variables of Liquid, Pasty Or Solid Substances | 1 |
Michael Ping Cheung Lau | CN | Hong Kong | 2011-08-11 / 20110195779 - METHODS AND APPARATUSES FOR CONSTRUCTING INTERACTIVE VIDEO GAMES BY USE OF VIDEO CLIP | 1 |
Cher-Hon Lau | SG | Singapore | 2011-11-17 / 20110277631 - METHOD FOR MODIFYING A POLYIMIDE MEMBRANE | 1 |
Adrew Manson Lau | DE | Spaichingen | 2010-03-18 / 20100064556 - COMBINATION DEVICE FOR SNOW THROWING, MOWING, SCARIFYING, SOIL BREAKING OR THE LIKE | 1 |
Jesper Lau | DK | Farum | 2016-04-21 / 20160108102 - Novel GLP-1 Derivatives | 58 |
K. C. Lau | CN | Hong Kong | 2014-10-30 / 20140323672 - PERFLOUORO-t-BUTOXY ALLYL AND PROPARGYL ETHERS | 1 |
Kei May Lau | CN | Hong Kong | 2014-07-31 / 20140209979 - Metamorphic Growth Of III-V Semiconductor On Silicon Substrate By MOCVD for High Speed III-V Transistors | 5 |
Stephanie Ann Lau | US | Painesville | 2012-12-20 / 20120318748 - ELECTROCHLORINATION METHOD FOR ABOVE-GROUND SWIMMING POOLS | 1 |
Garrett Lau | US | Palmetto Bay | 2013-08-01 / 20130192998 - CONDUCTOR OF HIGH ELECTRICAL CURRENT AT HIGH TEMPERATURE IN OXYGEN AND LIQUID METAL ENVIRONMENT | 1 |
Veng-Chong Lau | TW | New Taipei City | 2014-10-30 / 20140321471 - SWITCHING FABRIC OF NETWORK DEVICE THAT USES MULTIPLE STORE UNITS AND MULTIPLE FETCH UNITS OPERATED AT REDUCED CLOCK SPEEDS AND RELATED METHOD THEREOF | 1 |
Rachel Lau | US | San Jose | 2011-03-17 / 20110062239 - ELECTRONIC PAYMENT CARD MANUFACTURING PROCESS | 1 |
Anthony Lau | US | San Francisco | 2011-08-04 / 20110189692 - ASSAY FOR PATHOGENIC CONFORMERS | 1 |
Yue Kwong Lau | HK | Laguna City | 2012-05-17 / 20120119230 - LED DEVICE HAVING A TILTED PEAK EMISSION AND AN LED DISPLAY INCLUDING SUCH DEVICES | 3 |
Ting Ting Lau | SG | Singapore | 2015-08-06 / 20150217024 - METHODS OF MANUFACTURING HYDROGEL MICROPARTICLES HAVING LIVING CELLS, AND COMPOSITIONS FOR MANUFACTURING A SCAFFOLD FOR TISSUE ENGINEERING | 3 |
David Yiu-Man Lau | US | San Jose | 2015-04-30 / 20150121044 - MERGED FLOATING POINT OPERATION USING A MODEBIT | 6 |
Matthias Lau | DE | Berlin | 2009-01-08 / 20090009084 - High-Pressure Discharge Lamp | 1 |
Katrina Lau | AU | New South Wales | 2012-12-13 / 20120314691 - Power Control Loop for a CDMA System | 1 |
Ade Lau | US | Longmont | 2013-05-30 / 20130133519 - REMOVAL OF IMPURITIES FROM HYDROGEN-CONTAINING MATERIALS | 2 |
Adrian Lau | US | San Francisco | 2012-05-31 / 20120135887 - CELL HANDLING, ELECTROPORATION AND ELECTROFUSION IN MICROFLUIDIC SYSTEMS | 1 |
Cheuk Wan William Lau | US | Bellevue | 2015-08-20 / 20150237151 - Enabling Push Notifications from Websites | 5 |
Simon Lau | DE | Alzenau | 2013-03-07 / 20130055953 - SUBSTRATE SUPPORT, SUBSTRATE PROCESSING DEVICE AND METHOD OF PLACING A SUBSTRATE | 2 |
Dannie Lau | US | Santa Clara | 2012-04-26 / 20120099594 - MEDIA DISTRIBUTION ARCHITECTURE | 1 |
Wai Cheong Lau | HK | South Horizons | 2012-04-26 / 20120099406 - TOUCH SCREEN WATCH | 1 |
Kim Lau | US | Burbank | 2010-05-06 / 20100110200 - GENERATION AND USE OF USER-SELECTED SCENES PLAYLIST FROM DISTRIBUTED DIGITAL CONTENT | 2 |
Yeuk Tin Lau | HK | Hong Kong | 2015-04-16 / 20150104857 - PHOTOBIOREACTOR SYSTEM FOR AIR PURIFICATION | 1 |
Liming Lau | US | Mountain View | 2015-12-03 / 20150342605 - SURGICAL IMPLANT AND METHOD AND INSTRUMENT FOR INSTALLING THE SAME | 12 |
Kevin Lau | US | Issaquah | 2016-05-12 / 20160135104 - Quality of Experience-Based Handover Management | 15 |
King Wai Thomas Lau | US | Santa Clara | 2010-04-29 / 20100103555 - SELF SERVO WRITING DISK CONTROLLER AND METHODS FOR USE THEREWITH | 2 |
Condon Lau | US | Cambridge | 2012-10-11 / 20120259228 - TRI MODAL SPECTROSCOPIC IMAGING | 2 |
Anthony Lau | CA | Toronto | 2012-02-09 / 20120036559 - SYSTEM, METHOD AND APPARATUS FOR SECURITY MANAGEMENT OF AN ELECTRONIC DEVICE | 1 |
David Lau | US | Wayland | 2008-12-25 / 20080318301 - Methods for Preparing Cells and Viruses | 1 |
Tessa A. Lau | US | Mountain View | 2014-01-16 / 20140019979 - AUTOMATED WEB TASK PROCEDURES BASED ON AN ANALYSIS OF ACTIONS IN WEB BROWSING HISTORY LOGS | 12 |
David Lau | US | Southport | 2010-07-15 / 20100175300 - Interactive Hunting decoy/platform with changeable decoys | 1 |
Wing K. Lau | US | Basking Ridge | 2011-08-11 / 20110196660 - Methods and Apparatus for Fabricating Porous 3-Dimensional Cell Culture Construct for Cell Culture and Other Biomedical Applications | 3 |
Edward Lau | US | Boulder | 2010-09-30 / 20100249016 - METAL-BINDING COMPOUNDS AND USES THEREFOR | 2 |
Richard Lau | US | Morganville | 2012-09-13 / 20120231786 - SYSTEM AND METHOD FOR QUANTIFYING ANCHOR PLACEMENT IMPACT PLACEMENT ON LOCATION ACCURACY | 5 |
Gregory Yuen Fun Lau | US | Mocksville | 2009-01-22 / 20090020126 - Attachment of a bridge band to an oxygen mask | 1 |
Steven Lau | US | Matawan | 2009-06-11 / 20090146959 - Input Device for a Portable Terminal | 1 |
Stephen Kwokwai Lau | US | Marlboro | 2010-12-16 / 20100315434 - AUTHORITATIVE DISPLAY FOR CRITICAL SYSTEMS | 1 |
Maureen Y. Lau | US | Warren | 2009-09-03 / 20090218655 - Integrated passive devices | 1 |
Soon Lau | US | Middlesex | 2009-08-06 / 20090196601 - Alarm surveillance for cascaded optical access | 1 |
Jason Lau | US | Lafayette | 2016-04-28 / 20160119054 - LAYER-2 CONNECTIVITY FROM SWITCH TO ACCESS NODE/GATEWAY | 12 |
John Lau | US | Richardson | 2009-01-29 / 20090026722 - Baggage Handling Device for Airline Check-in Counter | 1 |
Christopher Lau | US | Mount Pleasant | 2016-03-03 / 20160060021 - SEED VAULT, ORGANIZER, MANIPULATOR, AND SEED GERMINATOR | 1 |
Benedict Lau | US | San Jose | 2016-05-19 / 20160142053 - Calibration Methods and Circuits to Calibrate Drive Current and Termination Impedance | 10 |
John R. Lau | US | Howard | 2015-05-07 / 20150125518 - ORALLY BIOAVAILABLE LIPID-BASED CONSTRUCTS | 14 |
Wingfu Aaron Lau | US | San Jose | 2012-03-29 / 20120074923 - Fast Voltage Regulators For Charge Pumps | 4 |
Christie Lau | US | San Jose | 2012-02-16 / 20120041874 - NON-REVERSIBLE PAYMENT PROCESSING | 2 |
Joseph Lau | US | Natick | 2009-03-26 / 20090083200 - METHOD AND SYSTEM FOR ACTIVE LEARNING SCREENING PROCESS WITH DYNAMIC INFORMATION MODELING | 1 |
Kam C. Lau | US | Potomac | 2010-07-15 / 20100176270 - Volumetric error compensation system with laser tracker and active target | 3 |
Robert G. Lau | US | Isanti | 2012-12-06 / 20120304714 - Locking Mechanism for Configurable Enclosure | 1 |
Sophia S. Lau | US | Woodinville | 2016-03-03 / 20160063375 - DETERMINATION OF SUBSTANCES IN AN ASSEMBLY | 1 |
Ka Wai Lau | US | Brooklyn | 2013-09-05 / 20130230200 - Flat panel speaker mounting system with remote operation | 2 |
Garrett Lau | US | Cambridge | 2015-05-14 / 20150132628 - ELECTROCHEMICAL ENERGY STORAGE DEVICES | 1 |
Raymond Lau | US | Charlestown | 2014-07-24 / 20140207449 - USING SPEECH TO TEXT FOR DETECTING COMMERCIALS AND ALIGNING EDITED EPISODES WITH TRANSCRIPTS | 3 |
Aron Lau | US | San Leandro | 2015-05-14 / 20150133314 - REAGENTS AND METHODS FOR SEQUENCING | 1 |
Swee Aun Lau | MY | Penang | 2013-06-06 / 20130140640 - N-WELL/P-WELL STRAP STRUCTURES | 3 |
Teck Beng Lau | MY | Petaling Jaya | 2016-01-21 / 20160020189 - FLEXIBLE PACKAGED INTEGRATED CIRCUIT | 4 |
Hoiching Lau | HK | Hong Kong | 2016-05-05 / 20160121136 - PHOTOTHERAPY TEXTILE WRAPPER | 1 |
Thomas Lau | US | Bend | 2013-08-15 / 20130210769 - COMPOUNDS AND COMPOSITIONS AS INHIBITORS OF CANNABINOID RECEPTOR 1 ACTIVITY | 1 |
Michael Lau | US | Miami Beach | 2009-06-25 / 20090161693 - METHOD AND SYSTEM FOR PROGRAMMABLE BANDWIDTH ALLOCATION | 1 |
Philip Y. Lau | US | Houston | 2008-08-21 / 20080196892 - Enzyme enhanced oil recovery (EEOR) for waterflooding operations | 1 |
Raymond Lau | US | Arlington | 2008-08-28 / 20080208821 - INFORMATION RETRIEVAL FROM A COLLECTION OF DATA | 1 |
Brian Lau | US | Glenview | 2009-02-19 / 20090045229 - FLEXIBLE PANEL PITCHER | 2 |
Ming H. Lau | US | Glenview | 2010-06-24 / 20100159089 - Oxidation Stability Using Natural Antioxidants | 1 |
Benedict C. Lau | US | San Jose | 2014-06-19 / 20140173240 - MEMORY CONTROLLER WITH STAGGERED REQUEST SIGNAL OUTPUT | 4 |
Alan G. Lau | US | Glendale Heights | 2008-11-13 / 20080280696 - Golf Club Adapter | 1 |
Lester F. Lau | US | Chicago | 2009-03-26 / 20090081228 - CYR61 COMPOSITIONS AND METHODS | 1 |
Te Hua Lau | US | Glenview | 2011-07-28 / 20110180225 - PANELS INCLUDING RENEWABLE COMPONENTS AND METHODS FOR MANUFACTURING | 3 |
Ronald A. Lau | US | North Aurora | 2016-05-12 / 20160129396 - Selective Catalyic NOx Reduction Apparatus Providing Improved Gasification of Urea | 2 |
Kin-Hing William Lau | US | Redlands | 2016-05-05 / 20160120944 - SYSTEMIC AND LOCAL EX VIVO GENE THERAPY OF THE SKELETON | 11 |
Rich Jan Lau | US | Deer Park | 2010-03-04 / 20100058352 - System and Method for Dynamic Resource Provisioning for Job Placement | 1 |
Wing Fat Lau | US | San Diego | 2015-04-16 / 20150102842 - Apparatuses and Methods for Conversion of Radio Frequency (RF) Signals to Intermediate Frequency (IF) Signals | 1 |
Ritz Mingho Lau | US | Superior | 2009-05-21 / 20090128954 - ELECTRICAL LAPPING GUIDE FOR MAGNETIC TAPE HEADS | 1 |
S.k. Lau | US | Broadview Heights | 2010-10-21 / 20100266770 - OXIDATION INHIBITION OF CARBON-CARBON COMPOSITES | 1 |
Sophia S. Lau | US | Rochester | 2008-09-11 / 20080217868 - Electroactive Polymer Compressed Gasket for Electromagnetic Shielding | 1 |
Stephen Lau | US | Lake Oswego | 2015-03-19 / 20150075626 - FLOW BALANCING IN GAS DISTRIBUTION NETWORKS | 7 |
Tessa Ann Lau | US | New York | 2008-09-04 / 20080215697 - AUTOMATED EMAIL ACTIVITY MANAGEMENT | 1 |
Victor Lau | US | Marlboro | 2009-05-14 / 20090125908 - Hardware Port Scheduler | 1 |
Chung Ming Lau | HK | Hong Kong | 2016-05-19 / 20160142074 - STRUCTURE AND DECODER ARCHITECTURE OF A CLASS OF LOW-DENSITY PARITY-CHECK CODE | 2 |
Max Lau | US | San Ramon | 2011-06-30 / 20110159411 - Phase-shift photomask and patterning method | 2 |
Francis C. Lau | US | Vallejo | 2010-12-02 / 20100303898 - COLLAGEN DISPERSION AND METHOD OF PRODUCING SAME | 1 |
Brian K. Lau | US | Orange | 2010-09-09 / 20100227488 - Non-electric plug | 1 |
Hon Chung Lau | US | Bellaire | 2013-12-05 / 20130319681 - SURFACE CLOSE PROXIMITY WELLS | 3 |
Edward Lau | US | San Jose | 2009-09-24 / 20090241058 - APPARATUS AND METHOD FOR DISPLAYING SEARCH RESULTS WITH AN ASSOCIATED ANCHOR AREA | 2 |
Michael Lau | US | Elk Grove | 2014-10-16 / 20140307740 - Traffic Manager with Programmable Queuing | 5 |
Antonio Lau | US | Richmond | 2015-12-10 / 20150353392 - OZONE OXIDATION PROCESS FOR TREATMENT OF WATER CONTAINING AZOLES AND AZOLE-TYPE COMPOUNDS | 2 |
Enoch Chee-Lok Lau | AU | Ultimo | 2014-07-24 / 20140208246 - SUPPORTING USER INTERACTIONS WITH RENDERED GRAPHICAL OBJECTS | 1 |
Shu-Kwan Lau | US | Sunnyvale | 2016-03-10 / 20160071749 - UPPER DOME FOR EPI CHAMBER | 11 |
Siu Yan Lau | CN | Fanling | 2015-10-29 / 20150306756 - MULTI-FUNCTION TOOL SYSTEM | 2 |
Jesper Faergemann Lau | DK | Farum | 2012-02-02 / 20120028974 - TRIAZOLOPYRIDINES AS PHOSPHODIESTERASE INHIBITORS FOR TREATMENT OF DERMAL DISEASES | 1 |
Edwin Lau | US | San Jose | 2009-07-30 / 20090192887 - Guide based content services | 1 |
Lok Ting Lau | CN | Hk | 2011-07-21 / 20110176135 - METHOD FOR DETECTION OF ANALYTE IN MICROARRAY OF SAMPLES AND APPARATUS FOR PERFORMING SUCH METHOD | 1 |
Wing Yan Thomas Lau | US | Seneca | 2010-07-01 / 20100162463 - Cloth and plastic mitten with elastic properties that restricts the movement of the fingers and the thumb from acting in concert or separately | 1 |
Yuk-Chiu Lau | US | Schenectady | 2014-09-11 / 20140254740 - FUEL RODS WITH WEAR-INHIBITING COATINGS AND METHODS OF MAKING THE SAME | 7 |
Clifford Lau | US | Honolulu | 2011-07-21 / 20110174235 - HIGH EFFICACY SIGNAL FORMAT & THIN-PROFILE ANKLE-MOUNTING FOR ELECTRONIC SHARK DETERRENT | 1 |
Vincent Wan-Ming Lau | HK | Shatin | 2015-09-17 / 20150264535 - Method and System of Incorporating Passive-Based Proximity Data for Position Determination | 1 |
Edwin J. Lau | US | San Jose | 2013-07-25 / 20130191748 - GUIDE BASED CONTENT SERVICES | 3 |
Hon Tat Lau | SG | Singapore | 2016-05-12 / 20160134594 - METHOD PERFORMED BY AT LEAST ONE SERVER FOR PROCESSING A DATA PACKET FROM A FIRST COMPUTING DEVICE TO A SECOND COMPUTING DEVICE TO PERMIT END-TO-END ENCRYPTION COMMUNICATION | 2 |
Tauman T. Lau | US | San Jose | 2014-10-23 / 20140312475 - DIE REUSE IN ELECTRICAL CIRCUITS | 3 |
Yuenie S. Lau | US | San Jose | 2010-04-01 / 20100079224 - Manually Adjustable Attenuator | 2 |
Benedict Chung-Kwong Lau | US | San Jose | 2012-08-09 / 20120204054 - Memory System with Calibrated Data Communication | 2 |
Wesley George Lau | US | San Jose | 2009-06-04 / 20090139540 - REPAIRING SURFACE DEFECTS AND CLEANING RESIDUES FROM PLASMA CHAMBER COMPONENTS | 1 |
Sei-Wei Henry Lau | MY | Penang | 2013-08-22 / 20130219137 - REDUNDANCY LOADING EFFICIENCY | 1 |
Kit Hong Lau | HK | Wan Chai | 2015-04-09 / 20150097043 - TOY RACETRACK WITH MOVEABLE OBSTACLE | 2 |
Wilson W. Lau | US | San Francisco | 2012-08-23 / 20120215919 - MULTIDIMENSIONAL MODELING OF SOFTWARE OFFERINGS | 2 |
Carolin Lau | CH | Cham | 2014-02-06 / 20140038066 - Paper-Based Fuel Cell | 1 |
Ron Lau | US | Los Altos Hills | 2013-11-28 / 20130318617 - MANAGING NETWORK SECURITY | 3 |
Steven E. Lau | US | Harbor City | 2015-05-21 / 20150137362 - REWORKABLE EPOXY RESIN AND CURATIVE BLEND FOR LOW THERMAL EXPANSION APPLICATIONS | 3 |
Michael Lau | US | San Francisco | 2012-09-27 / 20120243171 - COMPUTER COMPONENT VIBRATION ISOLATION | 2 |
Aldrich N. Lau | US | Palo Alto | 2013-05-02 / 20130105314 - HIGH SPEED, HIGH RESOLUTION COMPOSITIONS, METHODS AND KITS FOR CAPILLARY ELECTROPHORESIS | 2 |
Aldrich N. K. Lau | US | Palo Alto | 2015-04-23 / 20150111200 - Luminescence Reference Standards | 12 |
Hang Yung Alaster Lau | HK | Hong Kong | 2014-07-31 / 20140212971 - Mast Cell Culture and Methods to Produce the Culture | 2 |
Ching-Fun Lau | HK | New Territories | 2015-04-02 / 20150093799 - HISTIDYL-TRNA SYNTHETASES FOR TREATING AUTOIMMUNE AND INFLAMMATORY DISEASES | 4 |
Hak Wah Lau | HK | Admiralty | 2014-02-13 / 20140042996 - Voltage Regulating Device | 1 |
Michael Lau | DE | Hamburg | 2015-05-21 / 20150136907 - Deployable barrier arrangement for selectively blocking a passage in an aircraft cabin | 1 |
James Ching Sik Lau | HK | Shatin | 2013-10-10 / 20130264906 - Multi-Layer Brush | 1 |
Ming Tung Lau | HK | Pokfulam | 2013-04-25 / 20130103772 - METHOD FOR AN INSTANT MESSAGING SYSTEM AND INSTANT MESSAGING SYSTEM | 1 |
Vincent Kin Nang Lau | HK | Tseung Kwan O | 2013-03-28 / 20130080616 - PEER TO PEER SHARING OF FUNCTIONALITY OF MOBILE DEVICES | 1 |
Yiu Kei Lau | HK | Fo Tan | 2014-04-17 / 20140104892 - FM/PWM HIGH SPEED CONTROLLER FOR RESONANT TYPE SWITCHING MODE POWER SUPPLY | 1 |
Roger K.t. Lau | US | Sunnyvale | 2011-12-01 / 20110295811 - CHANGING A NUMBER OF DISK AGENTS TO BACKUP OBJECTS TO A STORAGE DEVICE | 2 |
Yue Kwong Victor Lau | HK | Laguna City | 2013-02-14 / 20130038644 - ALIGNED MULTIPLE EMITTER PACKAGE | 1 |
Hoi Sze Lau | US | Palo Alto | 2010-03-18 / 20100068155 - Reactant Formulations and Methods for Controlled Heating | 3 |
James Lau | US | Redmond | 2015-11-19 / 20150331838 - DESCRIBING DATA TRANSFORMATIONS USING MARKUP LANGUAGE EXTENSIONS | 1 |
Liming Lau | US | Palo Alto | 2009-01-22 / 20090023986 - Vessel Harvesting | 1 |
Te-Li Lau | US | Palo Alto | 2009-01-15 / 20090019261 - High-Performance, Superscalar-Based Computer System with Out-of-Order Instruction Execution | 1 |
Hon Shing Lau | US | Palo Alto | 2010-08-26 / 20100215314 - Apparatus Having an Embedded 3D Hybrid Integration for Optoelectronic Interconnects | 2 |
Roger K. T. Lau | US | Sunnyvale | 2011-04-07 / 20110082972 - BACKING UP FILESYSTEMS TO A STORAGE DEVICE | 1 |
Shek Fai Lau | US | Foster City | 2013-12-26 / 20130344221 - BLENDER WITH ELEVATOR ASSEMBLY AND REMOVABLE SPINDLE | 6 |
Judy Lau | US | Palo Alto | 2014-02-06 / 20140035812 - GESTURE SENSING DEVICE | 1 |
Susanna Kar-Pui Lau | CN | Hong Kong | 2013-09-05 / 20130230529 - NOVEL PARAMYXOVIRUS AND USES THEREOF | 1 |
James N. Lau | US | Palo Alto | 2013-12-12 / 20130331646 - APPARATUS, SYSTEMS, AND METHODS FOR PERFORMING LAPAROSCOPIC SURGERY | 1 |
Kwok Yan Michael Lau | HK | Kowloon | 2014-11-27 / 20140346977 - CONTROLLER FOR A FLUORESCENT LAMP | 1 |
Kah Chun Lau | US | Darien | 2013-09-05 / 20130230783 - LI-AIR BATTERIES HAVING ETHER-BASED ELECTROLYTES | 1 |
Josiah Lau | CA | Calgary | 2015-11-12 / 20150327014 - Location Agent Geofence | 2 |
Ricky Lau | CA | Toronto | 2012-02-02 / 20120030488 - METHOD AND APPARATUS FOR INDICATING MULTI-POWER RAIL STATUS OF INTEGRATED CIRCUITS | 2 |
Ngai Ming Lau | US | Fountain Hills | 2014-12-25 / 20140375341 - Die Fracture Detection and Humidity Protection with Double Guard Ring Arrangement | 1 |
Alex Lau | CA | Vancouver | 2015-06-25 / 20150176526 - SEMI-ISOTHERMAL COMPRESSION ENGINES WITH SEPARATE COMBUSTORS AND EXPANDERS, AND ASSOCIATED SYSTEMS AND METHODS | 1 |
Stephen Lau | US | Torrance | 2010-04-01 / 20100078887 - TRAVEL GAME | 1 |
Vincent Kin Nang Lau | CN | New Territories | 2014-12-25 / 20140376655 - INTERFERENCE ALIGNMENT FOR PARTIALLY CONNECTED CELLULAR NETWORKS | 1 |
Janet K. Lau | US | Union City | 2014-05-01 / 20140116903 - Integrated Tabbed Note and Fastener | 2 |
Wing Chung Joseph Lau | CN | Hong Kong | 2012-07-19 / 20120180673 - FRYER | 1 |
Kam Chuan Lau | MY | Perak | 2016-02-18 / 20160049357 - THIN PLASTIC LEADLESS PACKAGE WITH EXPOSED METAL DIE PADDLE | 2 |
Wen Han Lau | MY | Penang | 2009-02-12 / 20090042329 - Laser Process for Reliable and Low-Resistance Electrical Contacts | 1 |
Wai Shin Lau | MY | Penang | 2009-06-18 / 20090158071 - INTEGRATED POWER MANAGEMENT LOGIC | 1 |
Siong Cho Lau | MY | Perak | 2011-04-07 / 20110079908 - Stress buffer to protect device features | 2 |
Kin Yip Lau | MY | Kuala Lumpur | 2013-10-17 / 20130275283 - Tariff Management Test Automation | 4 |
Stephen P.k. Lau | US | Torrance | 2015-02-05 / 20150038047 - BUILDING SET | 3 |
Steven Min Lau | US | Belmont | 2013-12-26 / 20130346122 - METHOD AND SYSTEM FOR ILLUSTRATING WHERE A TICKET IS LOCATED IN AN EVENT VENUE | 3 |
Sie-Wei Henry Lau | MY | Penang | 2015-09-10 / 20150253988 - Memory Access Bases on Erase Cycle Time | 1 |
Mansang Lau | CN | Hong Kong | 2011-11-03 / 20110268814 - USE OF EXTRACTS FROM RABBIT SKIN INFLAMED BY VACCINIA VIRUS FOR THE MANUFACTURE OF A MEDICAMENT FOR THE TREATMENT OF ACUTE CEREBROVASCULAR DISEASE | 1 |
Wayne H. Lau | US | Goleta | 2009-06-11 / 20090146233 - NON-MAGNETIC SEMICONDUCTOR SPIN TRANSISTOR | 1 |
Wellen Lau | US | San Ramon | 2009-11-19 / 20090288155 - DETERMINING AN IDENTITY OF A THIRD-PARTY USER IN AN SAML IMPLEMENTATION OF A WEB-SERVICE | 1 |
Andrea Chor Ying Lau | CA | Scarborough | 2012-11-08 / 20120279698 - TEMPERATURE CONTROL SETPOINT OFFSET FOR RAM AIR MINIMIZATION | 1 |
William Lau | US | Foster City | 2012-11-01 / 20120278783 - SIGNAL DELAY SKEW REDUCTION SYSTEM | 3 |
Siu Lau | US | Hampton | 2015-03-05 / 20150062833 - MECHANICAL ASSEMBLY AND METHOD TO PROVIDE FORM-FACTOR AND WIRE ALIKE ADAPTATION OF EXISTING PLATFORM HARDWARE MODULES INTO NEW PRODUCTS | 2 |
Henry C. Lau | US | Fremont | 2014-03-27 / 20140089565 - SOLID STATE DEVICE WRITE OPERATION MANAGEMENT SYSTEM | 2 |
Chung Lau | US | Sunnyvale | 2016-02-18 / 20160050533 - METHOD AND APPARATUS FOR LOCATION IDENTIFICATION AND PRESENTATION | 15 |
Soo Yong Lau | SG | Singapore | 2015-08-20 / 20150231636 - Apparatuses, Systems and Methods for Providing Scalable Thermal Cyclers and Isolating Thermoelectric Devices | 3 |
Choon How Lau | SG | Singapore | 2012-06-14 / 20120147922 - SENSOR DEVICE | 1 |
Tian Chong Lau | CA | Ontario | 2012-11-08 / 20120279114 - QUALITY CERTIFICATION OF OXYGENATED GASOLINE | 1 |
Patrick Lau | US | San Jose | 2012-02-02 / 20120030451 - PARALLEL AND LONG ADAPTIVE INSTRUCTION SET ARCHITECTURE | 2 |
Henry S. Lau | US | Lexington | 2009-04-16 / 20090096935 - Integrated Programmable Tuner | 1 |
Kenneth H. Lau | US | La Crescenta | 2009-09-24 / 20090237613 - Peripheral filtering optical eyeglasses | 2 |
Wai Kit Lau | US | Boston | 2012-11-01 / 20120278169 - TECHNIQUES FOR RENDERING ADVERTISEMENTS WITH RICH MEDIA | 1 |
Kei May Lau | HK | Kowloon | 2015-11-19 / 20150332635 - PASSIVE-MATRIX LIGHT-EMITTING DIODES ON SILICON MICRO-DISPLAY | 2 |
Fermi Chi Hung Lau | HK | Hongkong | 2013-09-26 / 20130250560 - Spotlight | 1 |
Wai-Hung Lau | HK | Chaiwan | 2011-03-31 / 20110073690 - COMBINATION COFFEE GRINDER AND COFFEE STORAGE DEVICE | 1 |
Fermi Chi Hung Lau | HK | Hong Kong | 2013-09-26 / 20130250564 - Collapsible Lantern | 1 |
Chuen Chiu Lau | HK | Hong Kong | 2015-03-05 / 20150061516 - LIGHT-EMITTING DIODE LIGHTING SYSTEM | 1 |
Vincent W.s. Lau | HK | Sai Kung | 2012-04-19 / 20120090699 - AIR VALVE FOR INFLATABLE DEVICE | 6 |
Siu Wing Lau | HK | Kwai Chung | 2011-03-03 / 20110051124 - DIE BONDING PROCESS INCORPORATING INFRARED VISION SYSTEM | 1 |
Daniel Lau | US | Bellevue | 2014-07-24 / 20140207623 - PRICE DIFFERENTIATION BY MARKET FOR IN-APP SOFTWARE PURCHASES | 1 |
Kim Lau | US | Temple City | 2011-10-27 / 20110264551 - TRADING AND DISTRIBUTION SYSTEM FOR AUDIO-VIDEO CONTENT | 1 |
Kenny Kin Wah Lau | US | Temecula | 2013-09-26 / 20130254431 - METHOD AND APPARATUS FOR MODE SWITCHING OF INTERFACE PORTS | 1 |
Francis Lau | FR | Labruguiere | 2012-04-19 / 20120094543 - AUXILIARY ELECTRIC SOCKET FOR AUTOMOBILE | 1 |
Priscilla Lau | US | Fremont | 2016-03-03 / 20160066133 - LOCATION-BASED TELEPHONE CALLS OVER A WIRELESS LOCAL AREA NETWORK (WLAN) | 67 |
Yue Kwong Lau | HK | Laguna City Kwung Tong | 2011-01-06 / 20110001149 - LIGHT EMITTING DIODE DISPLAY WITH TILTED PEAK EMISSION PATTERN | 1 |
Edward S. Lau | US | Menomonee Falls | 2015-03-05 / 20150063935 - Drill Bit | 1 |
Lee-Lee Lau | MY | Melaka | 2012-04-19 / 20120094488 - CHEMICAL MECHANICAL POLISHING PROCESS | 1 |
Dannie Lau | US | Los Angeles | 2014-01-09 / 20140010515 - PLAYBACK SYNCHRONIZATION | 1 |
Gloria Lau | US | Los Altos | 2016-05-12 / 20160132190 - GUIDED EDIT OPTIMIZATION | 14 |
Erwin Lau | HK | Yuen Long | 2014-10-16 / 20140310751 - SYSTEM AND METHOD FOR USING TELEVISION INFORMATION CODES | 5 |
Ka Leung Lau | HK | Tai Po | 2010-12-02 / 20100302104 - ISOLATION ENHANCEMENT TECHNIQUE FOR DUAL-POLARIZED PROBE-FED PATCH ANTENNA | 1 |
John H. Lau | TW | Taipei City | 2013-07-04 / 20130171747 - FABRICATING METHOD OF SEMICONDUCTOR DEVICE | 11 |
Johny Lau | US | Oakland Gardens | 2014-05-08 / 20140125253 - LED BALLAST CONTROLLER DEVICE | 1 |
Billy Tsz Cheong Lau | US | Palo Alto | 2016-01-07 / 20160003798 - APPARATUSES AND METHODS FOR DETERMINING ANALYTE CHARGE | 2 |
Man Kit Lau | US | Minneapolis | 2015-08-13 / 20150225329 - ALTERNATIVE PATHWAYS TO ADIPIC ACID BY COMBINED FERMENTATION AND CATALYTIC METHODS | 3 |
Fermi Chi Hung Lau | HK | Tsimshatsui | 2010-10-28 / 20100271810 - Head light | 1 |
Michael Lau | US | Sunnyvale | 2014-04-10 / 20140099644 - NOVEL COMPOSITIONS, METHODS AND KITS FOR REAL TIME POLYMERASE CHAIN REACTION (PCR) | 1 |
Stephen Lau | US | Milpitas | 2014-04-17 / 20140105025 - Dynamic Assignment of Traffic Classes to a Priority Queue in a Packet Forwarding Device | 2 |
Vincent Kin Nang Lau | HK | New Territories | 2015-10-29 / 20150312774 - AUTONOMOUS ROBOT-ASSISTED INDOOR WIRELESS COVERAGE CHARACTERIZATION PLATFORM | 6 |
Ping Cheung Michael Lau | HK | North Point | 2014-06-12 / 20140160692 - METHOD FOR SURFACE DECORATION OF AN OBJECT WITH 3-DIMENSIONAL GEOMETRY AND THE OBJECT OBTAINED THEREFROM | 1 |
Wilson Lau | US | San Francisco | 2016-02-25 / 20160057019 - INTEGRATED CLOUD DATA CENTER MANAGEMENT | 2 |
Tai-Chu Lau | CN | Hong Kong | 2011-10-27 / 20110262950 - REDOX MEDIATORS | 1 |
James Leych Lau | US | Tustin | 2015-06-11 / 20150159905 - ENERGY SAVING CONTROLLER | 2 |
Michael Lau | US | Fremont | 2013-01-03 / 20130006082 - MONO-PHASIC ACTION POTENTIAL ELECTROGRAM RECORDING CATHETER, AND METHOD | 4 |
Kit Lau | US | Fremont | 2014-06-26 / 20140179548 - MOLECULAR PROGNOSTIC SIGNATURE FOR PREDICTING BREAST CANCER METASTASIS, AND USES THEREOF | 3 |
Vincent W.s. Lau | HK | Kowloon | 2015-06-11 / 20150157133 - Connecting Structure for Inflatable Products | 3 |
Adeline Hui Ling Lau | SG | Singapore | 2011-01-13 / 20110008871 - Production of Homogeneous Cell Line Highly Permissive To Porcine Circovirus Type 2 (PCV2) Infection | 1 |
Jennifer Siew Kee Lau | SG | Singapore | 2011-01-13 / 20110008871 - Production of Homogeneous Cell Line Highly Permissive To Porcine Circovirus Type 2 (PCV2) Infection | 1 |
Francis Lau | US | Fremont | 2013-06-13 / 20130147768 - Acoustic Touch Signal Dispersion Mitigation | 8 |
Yiu Woon Lau | US | Fremont | 2014-11-13 / 20140337314 - HASH JOIN USING COLLABORATIVE PARALLEL FILTERING IN INTELLIGENT STORAGE WITH OFFLOADED BLOOM FILTERS | 2 |
Chi Leung Lau | US | Basking Ridge | 2015-08-27 / 20150243004 - METHOD AND APPARATUS TO RECOVER SCENE DATA USING RE-SAMPLING COMPRESSIVE SENSING | 1 |
Wen Jian Lau | SG | Singapore | 2009-02-26 / 20090053689 - DEVICE FOR PROCESSING A BIOLOGICAL AND/OR CHEMICAL SAMPLE AND METHOD OF USING THE SAME | 1 |
Grace Y. Lau | US | Fremont | 2009-07-23 / 20090183650 - OPTIMIZATION OF CARBON COATINGS | 1 |
Lai Yong Lau | SG | Singapore | 2010-09-16 / 20100235878 - METHOD AND SYSTEM FOR FILE DISTRIBUTION | 1 |
Jimmy Lau | US | Santa Clara | 2009-12-03 / 20090300312 - INSTANT HARDWARE ERASE FOR CONTENT RESET AND PSEUDO-RANDOM NUMBER GENERATION | 1 |
Ontario D. Lau | US | Los Angeles | 2015-04-02 / 20150094518 - MODULAR POLYMER PLATFORM FOR THE TREATMENT OF CANCER | 1 |
Rosalyn Lau | US | Hayward | 2016-04-28 / 20160115465 - COMPOSITIONS AND METHODS OF USE | 3 |
Mathew Thye Ngak Lau | SG | Singapore | 2010-02-04 / 20100028378 - TOXIN-ANTITOXIN SYSTEM AND APPLICATIONS THEREOF | 1 |
Ching Ong Lau | SG | Singapore | 2015-01-22 / 20150024479 - Thermal Cycling Apparatus and Method for Providing Thermal Uniformity | 4 |
Lee-Lee Lau | SG | Sengkang | 2008-10-02 / 20080242198 - MULTI-STEP PLANARIZING AND POLISHING METHOD | 1 |
Vincent Lau | US | St. Peters | 2013-10-10 / 20130263404 - Handheld Vacuum Cleaner Tool Comprising a Vacuum Driven Motorized Brush | 1 |
Kwok Hung Lau | US | Mountain View | 2016-03-31 / 20160092499 - OBJECT MAPPING USING INTRINSIC PERSISTENCE METADATA AND PATTERN-BASED RULES FOR MAPPING TRANSFORMATION | 2 |
Chung Yin Lau | HK | Kwai Chung | 2014-02-27 / 20140054132 - VIBRATION FEEDING APPARATUS AND METHOD | 2 |
Gary Lau | HK | Shatin Nt | 2010-08-12 / 20100199923 - CAT ATTRACTANT TOY | 1 |
Carsten Lau | DE | Niedersachen | 2014-01-23 / 20140021369 - ARTICLES, METHODS OF VALIDATING THE SAME, AND VALIDATION SYSTEMS EMPLOYING DECAY CONSTANT MODULATION | 1 |
Denny Lau | US | Emeryville | 2012-07-26 / 20120189180 - Systems and Methods for Machine Learning Based Hanging Protocols | 6 |
Ngar Chen Stella Lau | SG | Singapore | 2016-05-19 / 20160139502 - PELLICLES AND DEVICES COMPRISING A PHOTOMASK AND THE PELLICLE | 1 |
Yeuk Tin Lau | CN | Hong Kong | 2014-12-04 / 20140356259 - Selective Separation of Rare Earth Metals by Integrated Extraction and Crystallization | 1 |
Lok-Ting Lau | HK | Tung-Chung | 2010-05-06 / 20100112548 - KIT FOR DETECTING NON-PATHOGENIC OR PATHOGENIC INFLUENZA A SUBTYPE H5 VIRUS | 1 |
Jack Lau | HK | Wanchai | 2013-03-21 / 20130074004 - METHOD AND DEVICE FOR DISPLAYING DIGEST OF RSS BY WINDOW | 2 |
Kin Nang Lau | HK | Tseung Kwan O | 2009-02-26 / 20090052411 - ADAPTIVE INTERFERENCE CONTROL | 1 |
Francis S. Lau | US | Darien | 2015-06-25 / 20150175914 - Steam Generating Slurry Gasifier for the Catalytic Gasification of a Carbonaceous Feedstock | 14 |
Chiew Tong Lau | SG | Singapore | 2014-10-09 / 20140301462 - LOSSLESS IMAGE AND VIDEO COMPRESSION | 1 |
Michael Honsing Lau | US | Chicago | 2016-01-28 / 20160022975 - DRUG DELIVERY DEVICE AND METHODS HAVING A RETAINING MEMBER | 3 |
James Kai Yu Lau | US | Bellevue | 2015-10-29 / 20150310259 - USING FACIAL DATA FOR DEVICE AUTHENTICATION OR SUBJECT IDENTIFICATION | 12 |
Sie Wei Henry Lau | MY | Bayan Lepas | 2014-07-03 / 20140185393 - DESIGN FOR TEST (DFT) READ SPEED THROUGH TRANSITION DETECTOR IN BUILT-IN SELF-TEST (BIST) SORT | 1 |
Chee-Wai Lau | TW | Hsinchu | 2009-11-19 / 20090284483 - Display Device and Method Having Sensing Function | 1 |
Erwin Lau | HK | Tsuen Wan | 2015-07-16 / 20150201245 - Systems and methods for using an interactive media guidance application on mobile user equipment | 1 |
Cheuk Lau | CA | I'Lle Bizard | 2010-02-25 / 20100048551 - Tricyclic Compounds Useful as Inhibitors of Kinases | 1 |
Taichu Lau | CN | Hong Kong | 2012-08-16 / 20120205321 - NITROGEN DOPED A2NB4O11, PROCESS FOR PREPARATION THEREOF, AND METHOD FOR DEGRADATION OF ORGANIC POLLUTANTS | 1 |
Ivan Ka Yu Lau | HK | Hk | 2014-09-11 / 20140251435 - NON-VACUUM METHOD OF MANUFACTURING LIGHT-ABSORBING MATERIALS FOR SOLAR CELL APPLICATION | 1 |
Seng-Yong Lau | TW | Taipei County | 2010-12-02 / 20100304662 - MULTI-PATH DATA DISSEMINATION METHOD FOR MAGNETIC DIFFUSION WIRELESS NETWORK AND SYSTEM THEREOF | 1 |
Frank Lau | DE | Bad Aibling | 2014-09-11 / 20140252498 - METHOD FOR FABRICATING A FIELD EFFECT TRANSISTOR, AND FIELD EFFECT TRANSISTOR | 1 |
Cheuk K. Lau | CA | L'Lle-Bizard | 2013-10-24 / 20130281365 - FUSED AROMATIC PTP-1B INHIBITORS | 2 |
Kwok Wai Lau | HK | Hong Kong | 2009-06-11 / 20090146740 - Average power efficiency enhancement and linearity improvement of microwave power amplifiers | 1 |
Tak Chiu Alfred Lau | CN | Hong Kong | 2009-10-01 / 20090241350 - HAND-HELD POWER TOOL | 1 |
Yat On Lau | US | San Jose | 2015-07-16 / 20150199415 - PARALLEL TRANSACTION MESSAGES FOR DATABASE REPLICATION | 1 |
Chi-Sang Lau | TW | Taipei | 2011-01-27 / 20110017244 - DRY CLEANING AND SURFACE TREATMENT EQUIPMENT USED FOR BIOCHIP OR MEDICAL APPARATUS | 1 |
Shingcheong Lau | CN | Hong Kong | 2009-11-12 / 20090277591 - Auxiliary fixture for debonding sliders and method for manufacturing sliders using the same | 1 |
Kin Nang Lau | HK | Hong Kong | 2009-12-03 / 20090299717 - ENHANCED CHANNEL SIMULATOR FOR EFFICIENT ANTENNA EVALUATION | 1 |
Yi Ming Lau | TW | Hsinchu City | 2011-03-17 / 20110063608 - Sensing Module for Light-Emitting Devices and Testing Apparatus Using the Same | 2 |
Choon-Tyng Lau | TW | Tu-Cheng | 2011-06-23 / 20110149496 - COMPUTER SYSTEM EMPLOYING LIGHT-GUIDING MEMBER | 7 |
Wing Cheong Lau | CN | Hong Kong | 2014-09-11 / 20140254796 - METHOD AND APPARATUS FOR GENERATING AND/OR PROCESSING 2D BARCODE | 1 |
Seng-Yong Lau | TW | Yonghe City | 2011-04-07 / 20110082664 - METHOD OF PREDICTING POSITION OF OBJECT | 2 |
Allan Sik-Yin Lau | CN | Hong Kong | 2014-12-11 / 20140364499 - CORIOLUS VERSICOLOR EXTRACTS, METHODS OF ISOLATING BIOLOGICALLY-ACTIVE COMPOUNDS, AND USES THEREOF | 11 |
Kafai Lau | US | Redwood City | 2012-08-09 / 20120204025 - SYSTEM AND METHOD FOR CLIENT-SIDE AUTHENTICATION FOR SECURE INTERNET COMMUNICATIONS | 1 |
Tsun Yin Lau | US | Fremont | 2012-08-09 / 20120201030 - PHOTOLUMINESCENCE COLOR WHEELS | 1 |
Yu Lau | CN | Hong Kong | 2011-06-23 / 20110146032 - STRAPPING SYSTEM | 1 |
Yue Kwong Victor Lau | CN | Hong Kong | 2010-06-24 / 20100155748 - Aligned multiple emitter package | 1 |
Leo Lau | CA | Thornhill | 2010-01-21 / 20100017429 - METHOD AND APPARATUS OF DISTRIBUTING DATA IN PARTIONED DATABASES OPERATING ON A SHARED-NOTHING ARCHITECTURE | 1 |
Jack Lau | HK | Hong Kong | 2011-07-07 / 20110165998 - Method For Monitoring Exercise, And Apparatus And System Thereof | 2 |
Jason M. Lau | US | Cedar Park | 2012-08-09 / 20120200154 - SYSTEM AND METHOD FOR A REDUNDANT AND KEYED POWER SOLUTION | 1 |
Chin Tung Derek Lau | HK | Hong Kong | 2008-10-02 / 20080238340 - METHOD AND APPARATUS FOR SETTING OPERATING CURRENT OF LIGHT EMITTING SEMICONDUCTOR ELEMENT | 1 |
Victor Lau | US | Farmington Hills | 2013-12-26 / 20130346052 - MODULAR SYSTEM AND METHOD FOR SIMULATING PERFORMANCE OF AN ELECTRICAL DEVICE | 1 |
James Sheung Lau | CA | Toronto | 2009-09-17 / 20090235366 - METHODS, SYSTEMS, SIGNALS AND MEDIA FOR ENCOURAGING USERS OF COMPUTER READABLE CONTENT TO REGISTER | 1 |
Siu Yan Lau | HK | Hong Kong | 2008-10-16 / 20080252726 - Video aid system | 1 |
Wing Yan Lau | CA | Toronto | 2009-08-27 / 20090216709 - OPTIMIZED COLLECTION OF JUST-IN-TIME STATISTICS FOR DATABASE QUERY OPTIMIZATION | 2 |
Yiu Woon Lau | US | New York | 2014-09-11 / 20140258266 - METHODS AND APPARATUS OF SHARED EXPRESSION EVALUATION ACROSS RDBMS AND STORAGE LAYER | 1 |
Yin Ki Lau | HK | Hong Kong | 2008-10-30 / 20080269832 - Device and method for sleep apnea management using SpO2 | 1 |
Chantal Lau | US | 2015-07-16 / 20150196247 - Systems for Monitoring Infant Oral Motor Kinetics During Nutritive and Non-Nutritive Feeding | 1 | |
Leo Tat Man Lau | CA | Thomhill | 2009-08-06 / 20090198716 - METHOD OF BUILDING A COMPRESSION DICTIONARY DURING DATA POPULATING OPERATIONS PROCESSING | 1 |
Soon-Seng Lau | US | San Diego | 2014-09-18 / 20140270032 - Phase Detection and Correction for Non-Continuous Local Oscillator Generator | 8 |
Tian Chong Lau | CA | Whitby | 2009-06-25 / 20090158824 - Method for certifying composition and property variables of manufactured petroleum products | 1 |
Alan Kin-Tak Lau | HK | Hong Kong | 2009-01-08 / 20090008402 - Hygienic Rubbish Bin | 1 |
Ken Siu-Kwong Lau | CA | Toronto | 2009-04-16 / 20090099130 - Methods and compositions for preventing and treating a disease related to glycan dysregulation | 1 |
Kei Him Davy Lau | HK | Hong Kong | 2009-03-05 / 20090056761 - APPARATUS FOR MAINTAINING A CLEAN BONDING ENVIROMENT | 1 |
Chung Lau | US | Sunnyvale | 2016-02-18 / 20160050533 - METHOD AND APPARATUS FOR LOCATION IDENTIFICATION AND PRESENTATION | 15 |
Siu Wing Lau | HK | Hong Kong | 2009-03-12 / 20090067043 - OPTICAL SYSTEM HAVING SELECTABLE FIELD FOR INSPECTION | 1 |
Bill Lau | CN | Hong Kong | 2009-03-12 / 20090066579 - HIGH GAIN PLANAR ANTENNA | 1 |
Kenneth Kwok-Cheung Lau | CA | Vancouver | 2015-12-17 / 20150360387 - STRAND ORIENTATION SYSTEM AND METHOD | 2 |
Eddie Lau | CN | Hong Kong | 2009-06-11 / 20090147837 - WIRELESS SYSTEM SYNCHRONIZATION USING FREQUENCY SHIFT MODULATION AND ON-OFF KEYING MODULATION | 2 |
Marcus Kock Wha Lau | CA | Vancouver | 2013-05-09 / 20130112862 - SYSTEM AND METHOD FOR DILUTION OF A SAMPLE FOR INTRODUCTION TO A QUANTITATIVE ANALYSIS APPARATUS | 1 |
George Lau | HK | Hong Kong | 2009-07-02 / 20090170071 - SERUM BIOMARKERS OF HEPATITIS B VIRUS INFECTED LIVER AND METHODS FOR DETECTION THEREOF | 1 |
Kenny Lau | CN | Hong Kong | 2009-09-24 / 20090236351 - HANGERS, PACKAGE ASSEMBLIES AND METHODS OF READYING PACKAGES FOR DISPLAY | 1 |
Jacky Lau | US | Woburn | 2015-02-19 / 20150047113 - STRUCTURED MATERIAL FOR IMPACT PROTECTION | 2 |
Leo T. M. Lau | CA | Thornhill | 2009-03-05 / 20090063589 - APPARATUS AND METHOD TO DECOUPLE LARGE OBJECT DATA PROCESSING FROM MAIN-LINE DATA PROCESSING IN A SHARED-NOTHING ARCHITECTURE | 2 |
Kar Pui Susanna Lau | CN | Hong Kong | 2009-12-10 / 20090305282 - NOVEL HUMAN VIRUS CAUSING RESPIRATORY TRACT INFECTION AND USES THEREOF | 1 |
Yu-Lung Lau | HK | Hong Kong | 2014-05-15 / 20140134145 - METHOD TO INDUCE AND EXPAND THERAPEUTIC ALLOANTIGEN-SPECIFIC HUMAN REGULATORY T CELLS IN LARGE-SCALE | 2 |
King-Hon Lau | US | Breinigsville | 2014-09-18 / 20140270122 - Serial Protocol for Agile Sample Rate Switching | 2 |
Danny Lau | HK | Hong Kong | 2010-04-29 / 20100101962 - Immersion method | 1 |
Wai Ching Andy Lau | HK | Hong Kong | 2011-11-17 / 20110281499 - ULTRASONIC CUT AND BONDED ELASTIC MATERIAL | 3 |
Hiu Fung Lau | CN | Hong Kong | 2010-06-17 / 20100153521 - Method and Device for Providing Offline Web Services | 2 |
Allan Sy Lau | CN | Hong Kong | 2014-09-11 / 20140255450 - NOVEL COMPOUNDS AND USES THEREOF FOR TREATING INFLAMMATION AND MODULATING IMMUNE RESPONSES | 3 |
P. Chiu Lau | CN | Hong Kong | 2010-07-22 / 20100180911 - ELASTIC BAND | 1 |
Kyaw-Oo Lau | TW | Tainan City | 2012-08-02 / 20120194813 - SENSOR CHIP FOR BIOMEDICAL AND MICRO-NANO STRUCTURED SUBSTANCES AND METHOD FOR MANUFACTURING THE SAME | 1 |
Leo T.m. Lau | CA | Thornhill | 2009-03-05 / 20090063807 - DATA REDISTRIBUTION IN SHARED NOTHING ARCHITECTURE | 3 |
Allen N.l. Lau | CA | Markham | 2009-01-01 / 20090007081 - System and Method of Generating Applications for Mobile Devices | 1 |
Shelley Lau | CA | Scarborough | 2008-08-28 / 20080204452 - MAXIMIZE DATA VISIBILITY USING SLATED VIEWER | 1 |
Gustavo Lau | US | Milpitas | 2011-03-31 / 20110078299 - Systems and Methods for Reconfiguring a Network Adapter in Sleep Mode | 1 |
Hong Thye Lau | CA | North Vancouver | 2015-02-12 / 20150046569 - EASY RADIO STATION NETWORK | 1 |
Sze Hang Lau | HK | Hong Kong | 2014-10-09 / 20140303085 - HEMOGLOBIN-BASED OXYGEN CARRIER-CONTAINING PHARMACEUTICAL COMPOSITION FOR CANCER TARGETING TREATMENT AND PREVENTION OF CANCER RECURRENCE | 3 |
Lok-Ting Lau | HK | Hong Kong | 2011-07-07 / 20110165665 - APPARATUS AND METHODS FOR DETECTING DNA IN BIOLOGICAL SAMPLES | 2 |
Ching Fun Lau | CN | Hong Kong | 2010-11-25 / 20100297149 - COMPOSITIONS AND METHODS COMPRISING HISTIDYL-TRNA SYNTHETASE SPLICE VARIANTS HAVING NON-CANONICAL BIOLOGICAL ACTIVITIES | 1 |
Wing C. Lau | CN | Hong Kong | 2010-11-25 / 20100295659 - IDENTIFYING RFID CATEGORIES | 1 |
Chu-Pak Lau | CN | Hong Kong | 2010-12-30 / 20100331271 - Use of Acacetin and Related Compounds as Potassium Channel Inhibitors | 2 |
Kenneth Lau | HK | Tai Wai | 2012-07-12 / 20120177777 - Elastomeric Ball and Method of Manufacturing Same | 1 |
Allan Sik-Yin Lau | CN | Hong Kong | 2014-12-11 / 20140364499 - CORIOLUS VERSICOLOR EXTRACTS, METHODS OF ISOLATING BIOLOGICALLY-ACTIVE COMPOUNDS, AND USES THEREOF | 11 |
Kenneth K.s. Lau | US | Cherry Hill | 2011-12-15 / 20110305837 - POLY(ETHYLENE GLYCOL) AND POLY(ETHYLENE OXIDE) BY INITIATED CHEMICAL VAPOR DEPOSITION | 1 |
Vincent W.s. Lau | HK | Hong Kong | 2012-05-24 / 20120124734 - Spa assembly | 4 |
Kwok Din Lau | CN | Hong Kong | 2012-04-05 / 20120080331 - Resilient Jewel Case | 3 |
Maureen Lau | CN | Hong Kong | 2014-12-11 / 20140364499 - CORIOLUS VERSICOLOR EXTRACTS, METHODS OF ISOLATING BIOLOGICALLY-ACTIVE COMPOUNDS, AND USES THEREOF | 2 |
Sherman Lau | CA | Markham Ontario | 2008-08-28 / 20080208802 - METHOD AND SYSTEM FOR UTILIZING A HIERARCHICAL BITMAP STRUCTURE TO PROVIDE A FAST AND RELIABLE MECHANISM TO REPRESENT LARGE DELETED DATA SETS IN RELATIONAL DATABASES | 1 |
Lai Kit Lau | CN | Hong Kong | 2011-03-31 / 20110073009 - Moldable Matrix | 1 |
Daniel L. Lau | US | Lexington | 2016-04-21 / 20160109624 - Radial Lenticular Blending Effect | 20 |
Wingshun Lau | CN | Guangdong Province | 2011-06-16 / 20110140860 - HEAT TRANSFER PRINTING ELECTRONIC RADIO FREQUENCY IDENTIFICATION TAG | 1 |
Tessa Ann Lau | US | San Jose | 2012-03-29 / 20120079395 - AUTOMATING WEB TASKS BASED ON WEB BROWSING HISTORIES AND USER ACTIONS | 1 |
Wilbur Lau | US | Fremont | 2014-09-18 / 20140271239 - SPARK SUPPRESSION BALLAST CLOSELY COUPLED TO EMITTER ELECTRODE OF ION GENERATOR | 1 |
Pan Hoi Lau | HK | Hong Kong | 2013-12-05 / 20130321120 - Thermostat | 1 |
Charles T. Lau | US | Philadelphia | 2011-05-05 / 20110105984 - DUAL LUMEN DIALYSIS CATHETER WITH INTERNALLY BORED OR EXTERNALLY-GROOVED SMALL BORE | 1 |
Willi Lau | US | Lower Gwynedd | 2010-07-01 / 20100167013 - Thermoplastic roofing membranes | 1 |
Gregory Y. Lau | US | Cornelius | 2014-09-18 / 20140274568 - COMBINATION SPIROMETER AND PEP BREATHING EXERCISER | 1 |
Angus Zoen Lau | GB | Headington | 2014-09-18 / 20140275969 - FUNCTIONAL BROWN ADIPOSE TISSUE IMAGING TECHNIQUE | 1 |
Ching Lau | US | Burbank | 2014-09-18 / 20140282001 - GESTURE BASED VIDEO CLIPPING CONTROL | 1 |
Andrew Man-Hon Lau | US | Cambridge | 2014-09-18 / 20140279233 - SYSTEM AND METHOD FOR AN AFFINITY CAPTURE, USER FEEDBACK AND AFFINITY ANALYSIS | 3 |
Kenneth Lau | US | Campbell | 2016-03-24 / 20160087880 - ROUTING NETWORK TRAFFIC BASED ON SOCIAL INFORMATION | 2 |
Ming Woei Lau | US | Maryville | 2014-09-18 / 20140273105 - GRADIENT PRETREATMENT OF LIGNOCELLULOSIC BIOMASS | 1 |
Kinnang Lau | CN | Hong Kong | 2014-05-29 / 20140146903 - RESOURCE ALLOCATION METHOD AND BASE STATION IN ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING SYSTEM | 2 |
Chung Ming Lau | CN | Hong Kong | 2011-04-07 / 20110083052 - METHOD AND SYSTEM FOR ENCODING AND DECODING LOW-DENSITY-PARITY-CHECK (LDPC) CODES | 1 |
Cheuk K. Lau | CA | L'Ile Bizard | 2015-02-12 / 20150045325 - FUSED AROMATIC PTP-1B INHIBITORS | 2 |
Bonny Lau | US | Bellevue | 2015-08-06 / 20150221120 - COORDINATION OF ANIMATIONS ACROSS MULTIPLE APPLICATIONS OR PROCESSES | 5 |
Jack Lau | CN | Hong Kong | 2013-01-03 / 20130002558 - INPUT METHOD AND INPUT DEVICE | 1 |
Kelby Lau | US | San Francisco | 2015-02-05 / 20150037319 - METHOD FOR PREPARING A COMPOSITION COMPRISING HIGHLY CONCENTRATED ANTIBODIES BY ULTRAFILTRATION | 1 |
Willie Lau | US | Lower Geynedd | 2010-05-20 / 20100125108 - Process for making polymer composites having thermoplastic properties | 1 |
Kin-Tak Lau | HK | Hong Kong | 2008-11-20 / 20080285908 - Fiber optic transducer for simultaneous pressure and temperature measurement in fluid flow | 1 |
Kai Fung Lau | HK | Hong Kong | 2014-04-17 / 20140102850 - APPARATUS FOR PROCESSING ELECTRONIC DEVICES | 2 |
Benjamin Lau | SG | Singapore | 2016-05-05 / 20160125114 - METHOD AND APPARATUS FOR BITCELL MODELING | 4 |
Jason Lau | US | Lafayette | 2016-04-28 / 20160119054 - LAYER-2 CONNECTIVITY FROM SWITCH TO ACCESS NODE/GATEWAY | 12 |
Willie Lau | US | Lower Gwynedd | 2012-06-28 / 20120165459 - METHOD TO PRODUCE POLYMER MODIFIED GROUND TIRE RUBBER | 8 |
Michael Lau | US | Rockville | 2014-03-13 / 20140075444 - Multiple Cell Dequeue for High Speed Queueing | 4 |
Tung Yan Lau | CN | Guangdong Province | 2016-03-03 / 20160059428 - Double-blade hair trimming device | 4 |
Heng Tung Joseph Lau | SG | Singapore | 2014-09-18 / 20140268426 - ARM ASSEMBLY WITH LIFT TAB | 1 |
Terence Lau | CA | Burnaby | 2012-07-26 / 20120188229 - Enhanced Lookup of Display Driving Values | 1 |
Aldrich N. K. Lau | US | Palo Alto | 2015-04-23 / 20150111200 - Luminescence Reference Standards | 12 |
Carlos Aleredo Lau | CA | Vancouver | 2012-02-23 / 20120047206 - System and Method for Delivering Web Content to a Mobile Device | 1 |
Emil O. Lau | US | Sherman Oaks | 2013-02-07 / 20130036007 - CROSS-PLATFORM COLLECTION OF ADVERTISING METRICS | 1 |
Denny Wingchung Lau | US | Redwood City | 2011-10-20 / 20110255760 - SYSTEMS AND METHODS FOR SYNCHRONIZED IMAGE VIEWING WITH AN IMAGE ATLAS | 2 |
Samuel Lau | US | San Jose | 2014-09-25 / 20140287712 - DISASTER RESPONSE SYSTEM | 2 |
Chee-Wai Lau | TW | Hsinchu County | 2013-06-06 / 20130141877 - FAN-OUT CIRCUIT AND ELECTRONIC DEVICE HAVING THE SAME | 1 |
Wai Man Lau | SG | Singapore | 2014-11-13 / 20140331552 - BIOREACTOR | 1 |
Edwin K. Lau | US | San Francisco | 2010-05-27 / 20100131995 - Reducing Unicast Session Duration with Restart TV | 1 |
Hin-Fan M. Lau | US | Redmond | 2015-10-29 / 20150308377 - THRUST-REVERSER ASSEMBLIES THAT UTILIZE ACTIVE FLOW-CONTROL AND SYSTEMS AND METHODS INCLUDING THE SAME | 1 |
Terence Ka Wing Lau | CA | Burnaby | 2013-06-13 / 20130147777 - Application of MEMs Pixels in Display and Imaging Devices | 1 |
Ka Wing Terence Lau | CA | Burnaby | 2014-09-11 / 20140253609 - METHODS AND APPARATUS FOR BACKLIGHTING DUAL MODULATION DISPLAY DEVICES | 2 |
Koon Hang Lau | HK | Hk | 2015-04-23 / 20150106996 - Brake and Turn Signal Gear | 1 |
Elaine Y. L. Lau | CA | Markham | 2014-02-27 / 20140059441 - MESSAGE ORIENTED CONSTRUCTION OF WEB SERVICES | 1 |
Francis Lau | US | Fremont | 2013-06-13 / 20130147768 - Acoustic Touch Signal Dispersion Mitigation | 8 |
Dannie C. Lau | US | Santa Clara | 2012-11-15 / 20120290738 - AUTOMATIC ROLLOVER TO STREAMED RADIO | 3 |
Dannie C. Lau | US | Santa Clarita | 2008-12-11 / 20080303803 - USER INTERFACE FOR MULTIFUNCTION DEVICE | 1 |
Dannie Lau | US | Santa Clarita | 2008-09-18 / 20080229249 - USER INTERFACE FOR MULTIFUNCTION DEVICE | 1 |
Chu-Pak Lau | US | Sacramento | 2011-01-13 / 20110008888 - Novel Genetic Approaches to Reduce or Inhibit Tumorgenicity of Human Embryonic Stem Cells and Derivatives Following Transplantation | 1 |
Patrick Lau | US | Hayward | 2014-01-16 / 20140018264 - REDUCING ADAPTER DIMER FORMATION | 2 |
John Hon-Shing Lau | TW | Hsinchu | 2013-02-07 / 20130032390 - PACKAGING SUBSTRATE HAVING EMBEDDED INTERPOSER AND FABRICATION METHOD THEREOF | 1 |
Charles K. Lau | US | Everett | 2008-10-30 / 20080266887 - AIRCRAFT INTERIOR SIDEWALL PANELING SYSTEMS PROVIDE ENHANCED CABIN LIGHTING AND VENTILATION | 2 |
Johnson Y. Lau | US | Newport Beach | 2014-08-21 / 20140235578 - METHODS FOR TREATING NEOPLASIA AND FOR IDENTIFYING COMPOSITIONS USEFUL IN SUCH THERAPY | 1 |
Kam Lau | AU | Parkwood | 2014-10-09 / 20140302565 - PMST1 MUTANTS FOR CHEMOENZYMATIC SYNTHESIS OF SIALYL LEWIS X COMPOUNDS | 2 |
Terence Boonkheng Lau | AU | Ashfield | 2013-05-16 / 20130119225 - STAND FOR SUPPORTING PORTABLE ELECTRONIC DISPLAY DEVICE | 1 |
Tai-Chu Lau | HK | Kowloon | 2009-12-10 / 20090301873 - REDOX MEDIATORS | 1 |
Michael Lau | US | Edmonds | 2012-10-25 / 20120271222 - ULTRASOUND BASED COSMETIC THERAPY METHOD AND APPARATUS | 5 |
Chantal Lau | US | Santa Fe | 2015-07-16 / 20150196247 - Systems for Monitoring Infant Oral Motor Kinetics During Nutritive and Non-Nutritive Feeding | 4 |
Tsang Lau | US | New York | 2012-03-29 / 20120077758 - INFERTILITY ASSOCIATED DEFB-126 DELETION POLYMORPHISM | 1 |
Julian Lau | US | San Mateo | 2012-08-30 / 20120218468 - TECHNIQUES TO MAGNIFY IMAGES | 1 |
Lai Kit Lau | HK | Hong Kong | 2012-07-05 / 20120171429 - Novel Decorative Products and the Production Methods Therefor | 1 |
Alvin Lau | US | Palo Alto | 2013-04-25 / 20130102283 - MOBILE DEVICE USER BEHAVIOR ANALYSIS AND AUTHENTICATION | 1 |
Michael Robert Lau | US | San Ramon | 2009-03-12 / 20090066598 - Modular waveguide feed horn | 1 |
Peter Lau | CN | Shanghai | 2016-05-12 / 20160133036 - SYSTEMS AND METHODS FOR DISPLAYING FACILITY INFORMATION | 2 |
Bonny Pui Kwan Lau | US | Bellevue | 2009-11-19 / 20090287523 - SHOWING AND CORRECTING IRREGULARITIES IN A SCHEDULE | 1 |
Christopher Matthew Lau | US | New Berlin | 2014-03-20 / 20140079521 - HOSE ARRANGEMENT FOR STICK AND BUCKET OF MACHINE | 1 |
Michael Lau | DE | Chemnitz | 2014-08-28 / 20140237984 - Device and Method for Producing Knitted Fabric | 1 |
Michael Chi Kin Lau | US | San Francisco | 2016-03-17 / 20160077145 - COMPUTER COMPONENT CONNECTOR | 3 |
Ming Kin Lau | HK | Hong Kong | 2014-08-28 / 20140238044 - AIR CONDITIONED HEADGEAR AND AIR CONDITIONED CLOTHING | 1 |
Michael P. H. Lau | US | Edmonds | 2009-02-05 / 20090036773 - METHODS AND APPARATUS FOR ENGAGEMENT AND COUPLING OF AN INTRACAVITORY IMAGING AND HIGH INTENSITY FOCUSED ULTRASOUND PROBE | 1 |
Lilip Lau | US | Los Altos | 2013-08-15 / 20130211190 - METHOD FOR INCREASING DISTENSIBILITY IN A GASTRIC BAND | 14 |
John R. Lau | US | Howard | 2015-05-07 / 20150125518 - ORALLY BIOAVAILABLE LIPID-BASED CONSTRUCTS | 14 |
Paul Lau | HK | Kowloon | 2009-11-05 / 20090274335 - Shoulder/neck supporting electronic application | 1 |
Kin Nang Lau | HK | Kowloon | 2008-11-27 / 20080293410 - METHOD AND SYSTEM FOR SENSING DISCONTIGUOUS CHANNELS IN A WIRELESS NETWORK | 1 |
Joseph Lau | US | Hamburg | 2015-08-20 / 20150231212 - ST6GAL-1 MEDIATED MODULATION OF HEMATOPOIESIS | 2 |
Keith Lau | CN | Kowloon | 2008-11-06 / 20080276318 - Spam detection system based on the method of delayed-verification on the purported responsible address of a message | 1 |
Betty Y. Lau | US | Fremont | 2012-08-23 / 20120215516 - IR Drop Analysis in Integrated Circuit Timing | 1 |
Suzanne K. Lau | CA | Willowdale | 2012-01-05 / 20120004116 - METHODS FOR BIOMARKER IDENTIFICATION AND BIOMARKER FOR NON-SMALL CELL LUNG CANCER | 1 |
Lok Ting Lau | HK | Kowloon | 2012-01-12 / 20120010093 - APPARATUS AND METHODS FOR DETECTING NUCLEIC ACID IN BIOLOGICAL SAMPLES | 2 |
Wei-Chin Lau | MY | Bayan Lepas | 2014-08-28 / 20140237815 - STIFFENER FRAME FIXTURE | 1 |
Kevin Lau | US | Redmond | 2009-01-08 / 20090012805 - Portable Digital Rights for Multiple Devices | 1 |
Tik Ho Lau | HK | Hong Kong | 2015-12-10 / 20150353996 - Reusable Long Period Microfiber Grating for detection of DNA Hybridization | 1 |
Kevin Lau | US | Issaquah | 2016-05-12 / 20160135104 - Quality of Experience-Based Handover Management | 15 |
Carson Lau | US | San Francisco | 2015-04-02 / 20150091765 - ELECTRICAL-MECHANICAL INTERFACE WITH ANTENNA ELEVATED ABOVE SKIN SURFACE | 9 |
Nelsaon Siu Kau Lau | US | 2013-12-05 / 20130319389 - AIR GUN FIRING OPERATING SYSTEM | 1 | |
Kevin Lau | US | Sammamish | 2011-07-14 / 20110173321 - OVER-THE-AIR DELIVERY OF METERING CERTIFICATES AND DATA | 2 |
Jesper F. Lau | DK | Farum | 2016-04-14 / 20160102129 - Stable GLP-1 Based GLP-1/Glucagon Receptor Co-Agonists | 15 |
Carsten Lau | DE | Garbsen | 2015-09-10 / 20150252256 - LUMINESCENT PHOSPHOR COMPOUNDS, ARTICLES INCLUDING SUCH COMPOUNDS, AND METHODS FOR THEIR PRODUCTION AND USE | 10 |
Anthony P. Lau | CA | Kitchener | 2012-05-03 / 20120108268 - EVENT REMINDER METHOD | 2 |
Ming Tung Lau | CN | Hong Kong | 2015-01-22 / 20150026274 - METHOD AND APPARATUS FOR ROUTING A MESSAGE | 1 |
Cheuk Kun Lau | CA | Montreal | 2013-09-05 / 20130231345 - Compounds and Method for Treatment of Cancer | 2 |
Chi Man Lau | HK | Hong Kong | 2015-12-10 / 20150359022 - SYSTEM AND METHOD OF BLUETOOTH PAIRING WITH A GROUP OF BLUETOOTH DEVICES | 1 |
Janice Lau | US | San Mateo | 2010-01-07 / 20100004234 - SPECIFIC KINASE INHIBITORS | 1 |
Wai Yiu William Lau | HK | Kowloon | 2010-08-05 / 20100196211 - Volumetric Pipet | 1 |
George H.k. Lau | CA | Vancouver | 2014-10-16 / 20140305421 - MODULAR FLUE ADAPTER SYSTEM AND METHOD | 6 |
Kenneth M. Lau | US | Campbell | 2016-02-11 / 20160044142 - Messaging System with Multiple Messaging Channels | 13 |
Kam Hing Lau | HK | Kowloon | 2010-07-15 / 20100180043 - Systems, Methods, and Computer Program Products for Transmitting and/or Receiving Media Streams | 1 |
Allen Ka-Ling Lau | US | Cupertino | 2012-01-05 / 20120000773 - REACTIVE SPUTTERING ZINC OXIDE TRANSPARENT CONDUCTIVE OXIDES ONTO LARGE AREA SUBSTRATES | 1 |
Thomas Lau | CA | Edmonton | 2011-10-27 / 20110260099 - Doped Lithium Transition Metal Oxides Containing Sulfur | 3 |
Kui Yan Lau | CA | Markham | 2015-11-19 / 20150331586 - PROCESS DATA PRESENTATION BASED ON PROCESS REGIONS | 4 |
Albert Pui Sang Lau | HK | Kowloon | 2015-06-11 / 20150159320 - IMITATION LEATHER FABRIC AND METHOD OF PRODUCING SAME | 1 |
Michael H. Lau | US | Elk Grove | 2010-02-18 / 20100042780 - MULTIPLE MODE CONTENT-ADDRESSABLE MEMORY | 1 |
James F. Lau | US | Oviedo | 2012-08-16 / 20120209545 - METHOD FOR MONITORING THE CONDITION OF A VIBRATION SENSOR | 1 |
Diana H. Lau | CA | Markham | 2010-12-23 / 20100325605 - GRAPHICAL MODELING TOOL | 1 |
Ray M. Lau | US | Foster City | 2014-02-27 / 20140059200 - FLOW DE-DUPLICATION FOR NETWORK MONITORING | 1 |
James Lau | US | Bellevue | 2012-08-16 / 20120208549 - AUTOMATIC CHECK-OUT UPON LOCATION DEPARTURE | 1 |
Peter C.k. Lau | CA | Kirkland | 2010-11-11 / 20100285569 - Pectate lyases with increased thermostability and/or enzymatic activity | 2 |
Hon Wu Lau | SG | Singapore | 2015-11-05 / 20150315417 - POLISHING COMPOSITION FOR EDGE ROLL-OFF IMPROVEMENT | 4 |
Charles S. Lau | CA | Calgary | 2010-09-16 / 20100234191 - PORTABLE EXERCISE APPARATUS | 1 |
Carsten Lau | DE | Garbsen | 2015-09-10 / 20150252256 - LUMINESCENT PHOSPHOR COMPOUNDS, ARTICLES INCLUDING SUCH COMPOUNDS, AND METHODS FOR THEIR PRODUCTION AND USE | 10 |
Leo Tat Man Lau | CA | Ontario | 2010-05-20 / 20100125555 - EFFICIENT UNDO-PROCESSING DURING DATA REDISTRIBUTION | 1 |
Kin-Hing William Lau | US | Redlands | 2016-05-05 / 20160120944 - SYSTEMIC AND LOCAL EX VIVO GENE THERAPY OF THE SKELETON | 11 |
Christina P. Lau | CA | Scarborough | 2010-05-06 / 20100114631 - MANAGING REUSABLE BUSINESS PROCESS MODELING (BPM) ASSETS | 2 |
Diana H. Lau | CA | Toronto | 2010-04-15 / 20100094883 - Method and Apparatus for Integrated Entity and Integrated Operations of Personalized Data Resource Across the World Wide Web for Online and Offline Interactions | 2 |
Benedict Lau | US | San Jose | 2016-05-19 / 20160142053 - Calibration Methods and Circuits to Calibrate Drive Current and Termination Impedance | 10 |
Sherman Lau | CA | Markham | 2010-04-01 / 20100082545 - COMPRESSION OF SORTED VALUE INDEXES USING COMMON PREFIXES | 1 |
Wai Shan Lau | HK | Sham Shui Po | 2013-12-19 / 20130335334 - MULTI-DIMENSIONAL IMAGE DETECTION APPARATUS | 1 |
Katrina Lau | AU | Newcastle | 2014-05-15 / 20140133421 - Methods and Devices for Scheduling Uplink Transmission in a Cellular Radio System | 2 |
Man Fai Lau | US | Baltimore | 2012-05-17 / 20120121265 - Apparatus And Method For Two-Stage Optical Network | 2 |
Stephen Lau | CA | Ottawa | 2014-10-23 / 20140317495 - RETROACTIVE WORD CORRECTION | 4 |
Wendy Lau | US | Chicago | 2016-01-07 / 20160000115 - CENTER-FILLED CONFECTIONS AND METHOD OF MAKING SAME | 1 |
George K. Lau | US | Syosset | 2008-10-02 / 20080235855 - Chest protector in sports medicine | 1 |
Woan-Yoke Lau | US | Austin | 2015-01-29 / 20150032415 - System and Method for Software Application Usage Metering Using Data Store | 1 |
Seng-Yong Lau | TW | Taipei | 2012-08-16 / 20120206400 - TOUCH PANEL OPERATION APPARATUS AND METHOD THEREOF | 1 |
Jason Hoi Fun Lau | US | Foster City | 2015-08-27 / 20150241975 - SOUND TO HAPTIC EFFECT CONVERSION SYSTEM USING WAVEFORM | 4 |
Chi-Chung Lau | TW | Taipei City | 2009-06-25 / 20090161944 - TARGET DETECTING, EDITING AND REBUILDING METHOD AND SYSTEM BY 3D IMAGE | 1 |
Jonathan Lau | TW | Taoyuan | 2009-08-27 / 20090213038 - Head Mounted Display and Real-Time Video Device | 1 |
Yi Ming Lau | TW | Jhudong Township | 2009-09-24 / 20090237102 - HEATING APPARATUS FOR SEMICONDUCTOR DEVICES | 1 |
Christine S. Laub | US | Roselle | 2015-11-05 / 20150313412 - Food Product Cooking Basket | 1 |
Mauricio Laub | US | Atlanta | 2008-12-18 / 20080307655 - Culinary Tool for Cutting and Transporting Food | 1 |
Glenn W. Laub | US | Princeton | 2015-10-01 / 20150272883 - Methods and Compositions for Administering an Active Agent to the Pleura of a Patient | 11 |
Michael Frederick Laub | US | Harrisburg | 2009-03-12 / 20090066598 - Modular waveguide feed horn | 1 |
Leo Laub | US | Richmond | 2014-11-20 / 20140342407 - NEUTRALIZING GP41 ANTIBODIES AND THEIR USE | 1 |
Michael Fredrick Laub | US | Harrisburg | / - | 1 |
Frank Laub | US | Seattle | 2015-04-09 / 20150100790 - PROTOCOL LINK LAYER | 9 |
Gustav Laub | US | San Jose | 2011-08-25 / 20110209112 - METHOD FOR CLOCK LOAD ALIGNMENT DURING STANDARD CELL OPTIMIZATION | 1 |
Leon W. Laub | US | Fort Collins | 2014-12-04 / 20140356801 - Reduced-Friction Buccal Tube and Method of Use | 5 |
Rudiger Laub | DE | Leipzig | 2011-12-08 / 20110300069 - Methods of Using Labeled Ligands Having Human CD4 Specificity | 1 |
Frank Laub | US | Seattle | 2015-04-09 / 20150100790 - PROTOCOL LINK LAYER | 9 |
Michael F. Laub | US | Enola | 2014-05-22 / 20140141548 - METHOD OF MANUFACTURING A METAL CLAD CIRCUIT BOARD | 2 |
Glenn W. Laub | US | Guilford | 2013-07-04 / 20130172952 - Medical Device with Status Indication | 9 |
Steven Alan Laub | US | Atherton | 2013-05-02 / 20130106441 - Flexible Touch Sensor | 1 |
Charles Laub | US | Riverside | 2012-08-16 / 20120206715 - METHANE MONITORING SYSTEM | 1 |
Gerhard Laub | US | San Mateo | 2015-02-05 / 20150038829 - Methods, Systems and Appartuses for Using Flexible Triggered Segmentation to Optimize Magnetic Resonance Imaging | 2 |
Glenn W. Laub | US | Guilford | 2013-07-04 / 20130172952 - Medical Device with Status Indication | 9 |
Gerhard Laub | US | Burlingame | 2013-05-16 / 20130119990 - SYSTEM FOR ORDERING FREQUENCY DOMAIN COMPONENTS REPRESENTING MR IMAGE DATA | 3 |
Achim Laub | DE | Waiblingen | 2015-12-24 / 20150369190 - PISTON FUEL PUMP FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Glenn W. Laub | US | Princeton | 2015-10-01 / 20150272883 - Methods and Compositions for Administering an Active Agent to the Pleura of a Patient | 11 |
Udo Laub | DE | Hambrucken | 2010-08-05 / 20100198779 - DATA PROCESSING SYSTEM AND METHOD FOR SUPPLY CHAIN MANAGEMENT | 1 |
Markus Laub | DE | Essen | 2016-05-19 / 20160136336 - Process for the Production of Storable Implants with an Ultrahydrophilic Surface | 2 |
Peter Christian Laub | DK | Farum | 2013-12-12 / 20130332817 - METHOD AND A SYSTEM FOR MANAGING THIRD PARTY OBJECTS FOR A WEBSITE | 1 |
Mike Laub | US | Enola | 2016-03-24 / 20160086000 - CONNECTOR MODULE | 1 |
Leo B. Laub | US | Richmond | 2014-11-27 / 20140348785 - NEUTRALIZING GP41 ANTIBODIES AND THEIR USE | 1 |
Orgad Laub | IL | Tel-Aviv | 2016-03-10 / 20160067371 - FIBRINOGEN-BASED TISSUE ADHESIVE PATCHES | 6 |
Michael Fredrick Laub | US | Enola | 2014-04-24 / 20140111960 - LEADFRAME MODULE FOR AN ELECTRICAL CONNECTOR | 4 |
Christine S. Laub | US | Carol Stream | 2014-09-18 / 20140274647 - DUNNAGE SUPPLY DAISY CHAIN STABILIZER | 2 |
Christine Laub | US | Carol Stream | 2008-12-04 / 20080295768 - Modular spray gun with replaceable components | 1 |
Mark E. Laubach | US | Cupertino | 2010-02-04 / 20100027769 - GLOBAL TELECOMMUNICATIONS NETWORK PROACTIVE REPOSITORY, WITH COMMUNICATION NETWORK OVERLOAD MANAGEMENT | 1 |
Kevin Laubach | US | Beverly Hills | 2016-03-17 / 20160077721 - Input Device User Interface Enhancements | 12 |
Marco Laubach | US | Marion | 2015-08-06 / 20150219259 - SWIVEL HOSE COUPLING WITH OUTER GRIP | 1 |
Marco Laubach | US | Wheeling | 2016-04-07 / 20160099606 - Inductive Charging Holster for Power Tool | 9 |
Gregory L. Laubach | US | Bethesda | 2016-04-07 / 20160100002 - RESOURCE ALLOCATION METHODS AND APPARATUS | 1 |
Kevin Laubach | US | Beverly Hills | 2016-03-17 / 20160077721 - Input Device User Interface Enhancements | 12 |
Jeffrey M. Laubach | US | Emmaus | 2016-03-24 / 20160082193 - PHARMACEUTICAL SYRINGE PISTON | 1 |
Lisa Jo Laubach | US | Edwardsburg | 2015-03-19 / 20150074902 - SINK CLIP | 1 |
Adam Laubach | US | Kingwood | 2015-09-17 / 20150257918 - THERMOFORMABLE MEDICAL MEMBER WITH HEATER AND METHOD OF MANUFACTURING SAME | 8 |
Marco Laubach | US | Wheeling | 2016-04-07 / 20160099606 - Inductive Charging Holster for Power Tool | 9 |
Mark Edward Laubach | US | Redwood City | 2016-04-21 / 20160112214 - COMMUNICATION SYSTEM WITH PROACTIVE NETWORK MAINTENANCE AND METHODS FOR USE THEREWITH | 4 |
Adam Laubach | US | College Station | 2015-08-20 / 20150232254 - CONTAINER HAVING SELF-CONTAINED HEATER MATERIAL | 1 |
Adam E. Laubach | US | Kingwood | 2015-03-05 / 20150059729 - PACKAGE FOR OXYGEN ACTIVATED PORTABLE HEATER | 1 |
Christopher Jon Laubach | US | Marietta | 2015-07-30 / 20150214729 - PLUGGABLE SURGE PROTECTION SYSTEM | 5 |
William F. Laubach | US | Elk Grove Village | 2013-06-06 / 20130139607 - Time Delay Adjustment Mechanism for Sprinkler System Water Flow Detector | 3 |
William F. Laubach | US | Inverness | 2013-05-09 / 20130111833 - ASSEMBLY AND METHOD FOR SECURING A DOOR OPENING OR OTHER OPENING OF A BUILDING STRUCTURE | 1 |
Mark E. Laubach | US | Redwood City | 2014-02-27 / 20140056586 - Channel Bonding for Ethernet Passive Optical Network Over coax (EPoC) Networks | 1 |
Adam Laubach | US | Kingwood | 2015-09-17 / 20150257918 - THERMOFORMABLE MEDICAL MEMBER WITH HEATER AND METHOD OF MANUFACTURING SAME | 8 |
Mark Laubach | US | Redwood City | 2015-12-10 / 20150358437 - Physical Layer (PHY) Link Signaling for Cable Networks | 4 |
Johannes Laubach | AT | Weer | 2015-04-09 / 20150096510 - OIL MIST SEPARATOR | 1 |
Benno Laubach | DE | Krefeld | 2012-06-07 / 20120137934 - REDUCING AGENT FOR THE SOLUBLE CHROMATE CONTENT OF CEMENT AND METHODS FOR PRODUCTION THEREOF | 1 |
Amber Laubacher | US | Ventura | 2010-11-18 / 20100290718 - FRANGIBLE SEALS FOR MULTIPLE COMPARTMENT BAGS, MULTIPLE COMPARTMENT BAGS INCORPORATING THE SAME, AND METHODS OF FORMING THE SAME | 1 |
Daniel Bruce Laubacher | US | Wilmington | 2008-12-04 / 20080295580 - METHOD OF DETERMINING THE COMPONENTS OF A FLUOROOLEFIN COMPOSITION, METHOD OF RECHARGING A FLUID SYSTEM IN RESPONSE THERETO, AND SENSORS USED THEREFOR | 1 |
Thomas Laubacher | DE | Waiblingen | 2013-07-11 / 20130175249 - METHOD AND APPARATUS FOR BALANCING AN ELECTRODE ARM OF A WELDING DEVICE WITH DETERMINATION OF DIFFERENTIAL BALANCE PRESSURE | 1 |
Karl-Eugen Laubacher | DE | Koengen | 2015-09-10 / 20150251638 - Method and Device for Operating a Vehicle and Vehicle Having Such a Device | 1 |
Brian A. Laubacher | US | Pleasant View | 2015-05-14 / 20150128799 - AIRBAG INFLATION SYSTEMS AND METHODS | 2 |
Brady Lauback | US | Palo Alto | 2014-02-20 / 20140052539 - Aggregating Connections Of Social Networking System Users For Targeting Or Display Of Content | 1 |
Stephen G. Laube | US | Duluth | 2009-05-21 / 20090126094 - User-controlled water saving toilet | 1 |
Richard J. Laube | US | Holtsville | 2014-11-27 / 20140349672 - SYSTEM AND METHOD WITH AUTOMATIC RADIUS CROSSING NOTIFICATION FOR GPS TRACKER | 3 |
Beth Laube | US | Baltimore | 2013-02-07 / 20130032140 - VACCINE NEBULISERS | 2 |
Thomas Laube | DE | Leonberg | 2012-01-26 / 20120017877 - DEVICE FOR COOLING CHARGE AIR | 3 |
Andreas Laube | DE | Furstenwalde | 2011-08-18 / 20110198053 - METHOD FOR HEATING AND COOLING A ROOM AND A BUILDING WITH A PLURALITY OF ROOMS | 1 |
Sheldon Laube | US | Los Altos | 2014-03-27 / 20140085323 - System and Method for Comparing and Reviewing Documents | 3 |
Tim Laube | DE | Nuernberg | 2012-01-26 / 20120022782 - TECHNIQUE FOR DETERMINING POINTS OF INTEREST FOR A NAVIGATION DEVICE | 1 |
Jörg Laube | DE | Laufenburg | 2010-09-16 / 20100233579 - ZIRCONIUM OXIDE AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Simon Laube | CH | Schlieren | 2015-03-19 / 20150078620 - Aircraft, Methods for Providing Optical Information, Method for Transmission of Acoustic Information and Method for Observing or Tracking an Object | 1 |
Frank Laube | DE | Burghausen | 2016-02-11 / 20160041107 - Method For Monitoring The Operational State Of A Surface Inspection System For Detecting Defects On The Surface Of Semiconductor Wafers | 3 |
Viktor Laube | DE | Ochsenhausen | 2011-03-24 / 20110067431 - Subassembly for a refrigerating and/or freezing apparatus, refrigerating and/or freezing apparatus and process for assembly of a refrigerating and/or freezing apparatus | 6 |
Udo Laube | DE | Wasserbury | 2010-08-05 / 20100194084 - SIDE AIRBAG HAVING HOSE AS VENTILATION OPENING | 1 |
Falk Laube | DE | Berlin | 2009-08-27 / 20090211107 - Dryer with cooled motor | 1 |
Peter Laube | DE | Hochstadt/aisch | 2011-04-07 / 20110081800 - PLUG OF A PLUG CONNECTOR | 1 |
Donna K. Laube | US | Peoria | 2012-06-14 / 20120144704 - CYCLE COUNTER FOR WHEELED TRACTOR SCRAPER | 4 |
Edgar Laube | US | St. Paul | 2008-12-11 / 20080306823 - ELECTRONIC PUBLICATION ADVERTISING SYSTEM | 1 |
Annett Laube | FR | Valbonne | 2009-02-19 / 20090049517 - METHOD AND SYSTEM FOR PERFORMING AN UNTRACEABLE SECRET MATCHING | 2 |
Steffen Laube | DE | Senftenberg | 2008-12-25 / 20080315903 - METHOD FOR MEASUREMENT OF A DEVICE UNDER TEST | 1 |
David P. Laube | US | Mesa | 2009-02-26 / 20090050272 - DEPOSITION RING AND COVER RING TO EXTEND PROCESS COMPONENTS LIFE AND PERFORMANCE FOR PROCESS CHAMBERS | 1 |
Britta Laube | DE | Hannover | 2008-11-13 / 20080280357 - Cryopreservation of Hepatocytes | 1 |
Martin Laube | DE | Lohr | 2013-09-19 / 20130243624 - Rotor Blade Pitch Adjustment Device | 1 |
Jörg Laube | DE | Laufenburg | 2010-09-16 / 20100233579 - ZIRCONIUM OXIDE AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Friedrich Laube | DE | Guetersloh | 2016-03-10 / 20160066759 - METHOD FOR OPERATING A DOMESTIC APPLIANCE SYSTEM | 1 |
Thomas Laube | DE | Leonburg | 2011-01-06 / 20110000201 - EXHAUST SYSTEM FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Joerg Laube | DE | Laufenburg | 2011-06-30 / 20110160036 - VALVE METAL OXIDE FORMULATION | 2 |
Douglas N. Laube | US | Farmersville | 2016-04-21 / 20160113130 - DISPLAY DEVICE HOUSING AND FORM-FITTED CONFIGURATION | 5 |
Jochen Laubender | DE | Markgrollingeu | 2011-05-26 / 20110120406 - STARTER DEVICE FOR STARTING INTERNAL COMBUSTION ENGINES | 1 |
Jochen Laubender | DE | Markgroeningen | 2015-03-19 / 20150078690 - RADIAL BEARING | 7 |
Jochen Laubender | DE | Markgrorningen | 2015-04-23 / 20150110595 - METHOD FOR ADJUSTING A BAROMETRIC CELL | 1 |
Jochen Laubender | DE | Markgroningen | 2012-12-20 / 20120321231 - AXIAL BEARING ARRANGEMENT | 3 |
Matthias Laubender | DE | Schifferstadt | 2014-03-06 / 20140065197 - CARRIER SYSTEM FOR FRANGRANCES | 21 |
Jochen Laubender | DE | Ingolstadt | 2015-06-11 / 20150159502 - EXHAUST GAS TURBOCHARGER, IN PARTICULAR FOR A MOTOR VEHICLE | 1 |
Jochen Laubender | DE | Iugolstadt | 2014-04-03 / 20140090372 - METHOD FOR OPERATING A COMPRESSOR | 1 |
Jochen Laubender | DE | Stuttgart | 2009-05-28 / 20090136338 - TURBOCHARGER WITH AT LEAST ONE VARIABLE TURBINE GEOMETRY TURBINE | 2 |
Peter A. Laubenstein | US | Sharpsville | 2011-05-12 / 20110112792 - CURRENT AND TEMPERATURE SENSING OF STANDARD FIELD-EFFECT TRANSISTORS | 1 |
Ruediger Laubenstein | DE | Reutlingen | 2014-05-29 / 20140145639 - METHOD AND ELECTRICAL CIRCUIT FOR OPERATING A LIGHT SOURCE OF A MOTOR VEHICLE HEADLIGHT WITH DIRECT CURRENT, AND LIGHT MODULE OF A MOTOR VEHICLE HEADLIGHT COMPRISING SUCH A CIRCUIT AND MOTOR VEHICLE HEADLIGHT COMPRISING SUCH A LIGHT MODULE | 3 |
Thomas Alan Laubenstein | US | Waukesha | 2013-06-20 / 20130155731 - SYSTEM AND METHOD FOR LOW SPEED CONTROL OF POLYPHASE AC MACHINE | 2 |
Joseph W. Laubenstein | US | Conroe | 2011-12-15 / 20110303157 - Methods of Treating Waste from a Chicken House using Short Paper Fibers | 1 |
Brad D. Laubenstein | US | Port Washington | 2008-10-16 / 20080251954 - Strip diffuser | 1 |
Michael Laubenthal | DE | Wirfus | 2009-03-12 / 20090066270 - DEVICES, SYSTEMS, AND/OR METHODS FOR ELECTRICALLY COUPLING A HIGH VOLTAGE ELECTRIC MOTOR | 2 |
Jeffrey Scott Laubenthal | US | Centerville | 2014-03-20 / 20140077116 - METHODS AND SYSTEMS FOR OPERATING AN INTEGRATED ACTUATOR | 1 |
Jeffrey Scott Laubenthal | US | West Chester | 2016-01-07 / 20160003086 - GAS TURBINE ENGINE SPRING MOUNTED MANIFOLD | 1 |
Frank Laubenthal | DE | Wirfus | 2011-06-02 / 20110127121 - Vehicle Disc Brake | 1 |
Stefan Lauber | CH | Biel | 2015-05-21 / 20150135851 - FLOWMETER | 1 |
Anton Lauber | AT | Weisskirchen | 2012-05-24 / 20120125905 - CONNECTION SYSTEM COMPRISING A COUPLING DEVICE AND A PLUG ELEMENT FOR A WELDING TORCH | 1 |
Pamela J. Lauber | US | Middletown | 2009-10-01 / 20090245492 - SURVIVABLE PHONE BEHAVIOR USING SIP SIGNALING IN A SIP NETWORK CONFIGURATION | 3 |
Timothy Edward Lauber | US | Sylmar | 2015-11-19 / 20150333855 - METHOD AND APPARATUS FOR MIXING EVENT DRIVEN MEDIA | 2 |
Christoph Lauber | DE | Wetzlar | 2014-07-24 / 20140204033 - MULTIPLE-VIEW DISPLAY SYSTEM WITH USER RECOGNITION AND OPERATION METHOD THEREOF | 1 |
Jan A. Lauber | US | San Francisco | 2015-06-25 / 20150179400 - Defect Discovery and Inspection Sensitivity Optimization Using Automated Classification of Corresponding Electron Beam Images | 2 |
Emmanuelle Lauber | FR | Castanet-Tolosan | 2009-10-22 / 20090265808 - P15 HAIRPIN CONSTRUCTS AND USE | 1 |
Dan John Lauber | US | Somerville | 2015-01-15 / 20150015932 - ELECTRO-OPTIC DISPLAY WITH CONTROLLED ELECTROCHEMICAL REACTIONS | 1 |
Matthew Ryan Lauber | US | Cincinnati | 2013-05-23 / 20130125989 - BALL AND SOCKET BREAKAWAY CONNECTOR | 1 |
Joe Lauber | CH | Zermatt | 2015-01-15 / 20150013173 - Method for Producing at Least One Cutting Unit Segment of a Cutting Unit | 2 |
Uwe Lauber | DE | Laufenburg | 2012-10-18 / 20120262024 - Squirrel-Cage Rotor And Method For Producing Such A Squirrel-Cage Rotor | 1 |
Chris Lauber | US | Boulder | 2015-10-08 / 20150284811 - MICROBIOME BASED SYSTEMS, APPARATUS AND METHODS FOR THE EXPLORATION AND PRODUCTION OF HYDROCARBONS | 2 |
Stephane Lauber | CH | Tramelan | 2008-12-11 / 20080304372 - Diver's Watch | 1 |
Felix Lauber | DE | Muenchen | 2016-02-11 / 20160041624 - Method for Interacting with an Object Displayed on Data Eyeglasses | 3 |
Matthew Lauber | US | North Smithfield | 2014-11-27 / 20140350263 - RAPID FLUORESCENCE TAGGING OF GLYCANS AND OTHER BIOMOLECULES WITH ENHANCED MS SIGNALS | 1 |
Thomas Lauber | AT | Kufstein | 2014-10-02 / 20140294756 - Long-Term Storage of Non-Glycosylated Recombinant Human G-CSF | 3 |
Matthew A. Lauber | US | North Smithfield | 2016-05-19 / 20160139136 - RAPID FLUORESCENCE TAGGING OF GLYCANS AND OTHER BIOMOLECULES WITH ENHANCED MS SIGNALS | 3 |
Yair Z. Lauber | IL | Givat Shmuel | 2015-07-23 / 20150204720 - SPECTROMETER AND METHOD OF USE | 1 |
Jan Lauber | US | San Francisco | 2015-05-07 / 20150125065 - Method and System for Correlating Optical Images with Scanning Electron Microscopy Images | 1 |
Yair Lauber | IL | Givat Shmuel | 2014-06-05 / 20140155812 - Epilation | 1 |
Thomas Lauber | AT | Kundl | 2014-07-03 / 20140186351 - STABLE PHARMACEUTICAL LIQUID FORMULATIONS OF THE FUSION PROTEIN TNFR:Fc | 1 |
Rene Lauber | CA | Cornwall | 2010-04-22 / 20100096423 - Article carrier for an invalid vehicle | 1 |
Matthew R. Lauber | US | Cincinnati | 2014-04-10 / 20140096868 - Fuel Dispensing Nozzle | 5 |
Kevin Lauber | US | Minnetonka | 2011-11-03 / 20110270147 - Rolled Mat Heat Exchanger and Method of Manufacture | 1 |
Ulli Laubereau | DE | Regensburg | 2016-05-12 / 20160133399 - SELECTOR SWITCH FOR TAP-CHANGING TRANSFORMERS AND SUPPORT ARM FOR A TAP SELECTOR THEREOF | 1 |
Jurgen Laubersheimer | CH | Buchs | 2016-03-03 / 20160059495 - METHOD AND DEVICE FOR CONSTRUCTING A SHAPED BODY LAYER-BY-LAYER | 9 |
Jurgen Laubersheimer | CH | Buchs | 2016-03-03 / 20160059495 - METHOD AND DEVICE FOR CONSTRUCTING A SHAPED BODY LAYER-BY-LAYER | 9 |
Jürgen Laubersheimer | CH | Buchs | 2012-12-06 / 20120308837 - Process for the generative preparation of ceramic shaped bodies by 3D inkjet printing | 5 |
Nikolay Laubert | US | Allentown | 2011-12-22 / 20110313528 - STANDALONE INTERBODY FUSION DEVICE WITH LOCKING AND RELEASE MECHANISM | 1 |
Nikolay S. Laubert | US | Allentown | 2011-04-28 / 20110098715 - SELF-RETAINING SURGICAL DRIVER | 1 |
Nikolay Laubert | US | Alburtis | 2009-07-30 / 20090192613 - STANDALONE DYNAMIC INTERBODY | 1 |
Nikolay S. Laubert | US | Center Valley | 2015-06-25 / 20150173915 - SPINAL INTERBODY DEVICE, SYSTEM AND METHOD | 1 |
Peteris Lauberts | SE | Göteborg | 2011-01-06 / 20110000469 - CHARGE AIR SYSTEM AND CHARGE AIR OPERATION METHOD | 1 |
Peteris Lauberts | SE | Göteborg | 2011-01-06 / 20110000469 - CHARGE AIR SYSTEM AND CHARGE AIR OPERATION METHOD | 1 |
Rich Laubhan | US | Fort Collins | 2013-03-28 / 20130080988 - IMPLEMENTING AND CHECKING ELECTRONIC CIRCUITS WITH FLEXIBLE RAMPTIME LIMITS AND TOOLS FOR PERFORMING THE SAME | 1 |
Vicki Laubhan | US | Arvada | 2013-07-04 / 20130171301 - Microwave Heating Construct with Elevatable Bottom | 2 |
Lorenz Laubinger | US | San Francisco | 2016-04-28 / 20160116294 - ACCURATE POSITION DETERMINATION NEAR EXIT LANES | 4 |
Terry James Laubis | US | Porrage | 2010-02-18 / 20100037667 - Advanced method for processing fossil fuels | 1 |
Terry James Laubis | US | Portage | 2015-03-05 / 20150061200 - Apparatus for making liquid iron and steel | 7 |
Christopher Laubis | US | Lincolndale | 2011-02-24 / 20110044488 - PRENATAL AUDIO SYSTEM | 1 |
Terry James Laubis | US | Portage Ohio | 2009-01-29 / 20090025292 - Method and apparatus for gasifying solid fuels | 1 |
Julius Laubli | CH | Rapperswil | 2014-11-20 / 20140338779 - METHOD FOR PRODUCING TUBULAR BODIES FOR PACKAGING TUBES, AND A PACKAGING TUBE WITH A TUBULAR BODY | 4 |
Thomas S. Laubner | US | Merrimac | 2012-06-07 / 20120142397 - INTEGRATED GPS RECEIVER AND CELLULAR TRANSCEIVER MODULE FOR AUTOMOTIVE BUS APPLICATIONS | 2 |
Joachim Laubner | DE | Ahrweiler | 2013-02-14 / 20130037283 - Length Adjustable Lifting Strut | 1 |
Thomas Sherman Laubner | US | Merrimac | 2009-03-19 / 20090073066 - Grid Antenna | 1 |
Robert Laubner | DE | Mutterstadt | 2010-11-25 / 20100298583 - METHOD FOR THE DEPOLYMERIZATION OF MIXTURES THAT CONTAIN MONO-AND/OR DIESTERS OF POLYTETRAHYDROFURANE | 1 |
Guido Laubner | DE | Kroeppelshagen | 2015-02-12 / 20150046590 - IDENTIFIER MANAGEMENT | 1 |
Theodor Lauboeck | DE | Hohenbrunn | 2016-04-21 / 20160106942 - BREATHING MASK AND A SEALING LIP DEVICE FOR A BREATHING MASK | 4 |
Malte Laubrock | DE | Hamburg | 2013-02-21 / 20130043845 - METHOD FOR OPERATING A WIND TURBINE IN THE EVENT OF THE OCCURRENCE OF A GRID FAULT WITH A VOLTAGE DROP AND SUCH A WIND TURBINE | 2 |
Ansgar Laubsch | DE | Regensburg | 2012-06-28 / 20120161103 - ELECTRICALLY PUMPED OPTOELECTRONIC SEMICONDUCTOR CHIP | 1 |
Andrea M. Laubscher | US | Paso Robles | 2016-02-04 / 20160029645 - FOOD PRODUCT HAVING HIGH MILK PROTEIN CONTENT AND PROCESS OF MAKING SAME | 1 |
Thomas Laubscher | CH | Rhazuns | 2011-10-20 / 20110257549 - APPARATUS FOR ASSESSING THE STRESS ON THE CIRCULATION OF A PERSON DURING ASSISTED BREATHING BY MEANS OF A RESPIRATOR | 4 |
Markus Laubscher | NL | Eindhoven | 2012-09-06 / 20120225446 - PREPARATION OF THIN LAYERS OF A FLUID CONTAINING CELLS FOR ANALYSIS | 4 |
Thomas Laubscher | CH | Rhaezuens | 2015-08-06 / 20150217069 - SYSTEM FOR AUTOMATED ADJUSTMENT OF A PRESSURE SET BY A VENTILATION DEVICE | 1 |
Bryan Edward Laubscher | US | Olympia | 2014-08-28 / 20140240715 - Natural light interferometer | 4 |
George R. Laubshire, Jr. | US | Fairlawn | 2012-08-23 / 20120211175 - 3-WAY BLIND SYSTEMS | 1 |
Gordon Lauc | HR | Zagreb | 2011-01-27 / 20110020941 - GLYCOSYLATION MARKERS FOR PANCREATITIS, SEPSIS AND PANCREATIC CANCER | 1 |
Gordan Lauc | HR | Zagreb | 2016-04-14 / 20160103137 - METHOD FOR THE ANALYSIS OF N-GLYCANS ATTACHED TO IMMUNOGLOBULIN G FROM HUMAN BLOOD PLASMA AND ITS USE | 2 |
Laurie J. Lauchlan | US | Saratoga | 2014-11-13 / 20140335395 - IONICALLY PERMEABLE STRUCTURES FOR ENERGY STORAGE DEVICES | 5 |
Lance Lauchle | US | Groton | 2012-05-03 / 20120104807 - HEADREST FOR SOFT BACK CHAIRS | 1 |
Ryan Lauchli | US | Redwood City | 2009-08-06 / 20090197880 - ANTI-VIRAL COMPOUNDS, COMPOSITIONS, AND METHODS OF USE | 2 |
Ryan Lauchli | US | Pasadena | 2011-12-22 / 20110314063 - Method and apparatus for an electronic environment for legal services having a dynamic workspace to prepare and exhibit the same | 1 |
Ryan Lauchli | US | San Mateo | 2011-02-24 / 20110044943 - Anti-Viral Compounds, Compositions, And Methods Of Use | 3 |
Craig E. Lauchner | US | Mountain View | 2016-02-25 / 20160051303 - BONE CEMENT MIXING AND DELIVERY DEVICE | 12 |
Craig E. Lauchner | US | Mountain View | 2016-02-25 / 20160051303 - BONE CEMENT MIXING AND DELIVERY DEVICE | 12 |
Craig E. Lauchner | US | Sunnyvale | 2015-12-24 / 20150366583 - CANNULA AND METHODS OF USE | 2 |
Daniel L. Lauchner | US | Englewood | 2011-11-10 / 20110273710 - Turbidity Sensors and Probes | 1 |
John Lauchnor | US | West Simsbury | 2016-04-07 / 20160097577 - REFRIGERATED CHEST FOR RAPIDLY QUENCHING BEVERAGES | 2 |
John C. Lauchnor | US | West Simsbury | 2012-08-09 / 20120198871 - REFRIGERATED CHEST FOR RAPIDLY QUENCHING BEVERAGES, AND VISUALLY IDENTIFYING WHEN SUCH BEVERAGES REACH TARGET TEMPERATURE | 2 |
Mr. John Lauchnor | US | West Simsbury | 2014-06-26 / 20140174115 - MULTI TRAY REFRIGERATED CHEST FOR RAPIDLY QUENCHING BEVERAGES | 1 |
Guido Lauck | DE | Florsheim | 2011-06-23 / 20110147573 - SENSOR CAP ASSEMBLY SENSOR CIRCUIT | 2 |
Thomas Zachary Lauck | US | San Francisco | 2014-11-27 / 20140347565 - MEDIA DEVICES CONFIGURED TO INTERFACE WITH INFORMATION APPLIANCES | 1 |
David Michael Lauck | US | Gillette | 2008-12-11 / 20080302235 - Adjustable/lockable safety-selector switch for AR15/M16 style firearms | 1 |
Guido Lauck | DE | Bingen | 2013-06-06 / 20130144563 - Radiation Sensor | 1 |
Joachim Lauckner | DE | Chemnitz | 2011-12-29 / 20110318442 - APPARATUS FOR PRODUCING MONOFILAMENTS OR RIBBONS | 1 |
Jonathan J. Lauckner | US | Oakland Township | 2009-08-20 / 20090210357 - REMOTE POWER USAGE MANAGEMENT FOR PLUG-IN VEHICLES | 1 |
Johannes Lauckner | DE | Hamburg | 2014-06-05 / 20140150649 - SYSTEM FOR SUPPLYING AN AIRCRAFT WITH INERT GAS, METHOD FOR SUPPLYING AN AIRCRAFT WITH INERT GAS, USE OF A MEMBRANCE AND AIRCRAFT | 4 |
Sebastian Lauckner | DE | Bremen | 2014-05-22 / 20140140864 - HYDRAULIC MOTOR-PUMP-ARRANGEMENT AND HYDRAULIC SYSTEM FOR A VEHICLE | 2 |
Timothy G. Laud | US | Libertyville | 2012-06-21 / 20120155513 - Repeater Using Baseband Data | 5 |
Hilmar Laudahn | DE | Dessau | 2009-11-12 / 20090277223 - Method and apparatus for producing a crucible of quartz glass | 1 |
Christophe Laudamiel | US | New York | 2012-09-27 / 20120245075 - High Performance Fragrance Formulation | 2 |
Christophe Laudamiel | BE | Brussels | 2012-03-15 / 20120065114 - AMINE REACTION COMPOUNDS COMPRISING ONE OR MORE ACTIVE INGREDIENT | 7 |
Raymond J. Laudano | US | Libertyville | 2010-03-04 / 20100056450 - Method For Reducing Postprandial Blood Glucose Levels With A Whey Protein/Fiber Composition | 1 |
Ray Laudano | US | Glenview | 2010-07-15 / 20100178391 - FLEXIBLE PAD FOR PREPARING A BEVERAGE | 1 |
John M. Laudano | US | Middletown | 2011-12-01 / 20110290712 - INFLUENT LIQUID TREATMENT SYSTEM | 3 |
Mladen Laudanovic | US | New York | 2016-03-24 / 20160085943 - System and Method for Monitoring Clinical Trial Progress | 2 |
Anthony C. Laudato | US | Westfield | 2008-10-09 / 20080249810 - Method and System For Administering A Variable Universal Life Insurance Product Having A Volatility Reduction Feature | 1 |
Anthony Laude | US | West Chester | 2016-01-07 / 20160003094 - CMC CORE COWL AND METHOD OF FABRICATING | 1 |
Lucien Diego Laude | FR | Rabastens De Bigarre | 2013-07-25 / 20130188468 - Legally Safe Recordable Information Storage Medium | 1 |
Juergen Laude | DE | Bremen | 2012-06-28 / 20120160347 - DEVICE FOR BURST PIPE SAFETY | 2 |
Jurgen Laude | DE | Bremen | 2010-07-08 / 20100170238 - SYSTEM AND METHOD FOR THE TEMPERATURE REGULATION OF A HYDRAULIC FLUID | 1 |
Michael Laude | US | San Diego | 2012-11-15 / 20120289331 - System for Playing Games of Misdirection and Detection | 2 |
Rene G. Laude | US | Elgin | 2015-05-21 / 20150139405 - COLOR CODED DENTAL X-RAY POSITIONING DEVICE | 3 |
Michael Ernst Laude | US | San Diego | 2011-03-17 / 20110066683 - Apparatus and Methods for Creating, Updating, and Using Learning Tools | 1 |
Thorsten Laude | DE | Hannover | 2015-09-17 / 20150264361 - METHOD FOR SCREEN CONTENT CODING | 2 |
Vincent Laude | FR | Devecey | 2011-06-09 / 20110133859 - HIGH-FREQUENCY ACOUSTIC WAVE DEVICE | 2 |
Lucien D. Laude | FR | Rabastens-De-Bigorre | 2015-04-16 / 20150105864 - Biocompatible Implants and Methods of Making and Attaching the Same | 2 |
Olivier Laude | FR | Amilly | 2012-08-30 / 20120216461 - Opening Leaf Upper Module for a Vehicle Glass Panel | 3 |
Lucien Laude | FR | Rabastens-De-Bigorre | 2008-12-11 / 20080305320 - NANOSCALE SURFACE ACTIVATION OF SILICONE VIA LASER PROCESSING | 1 |
Kennan Laudel | US | San Jose | 2016-03-03 / 20160064937 - METHOD AND APPARATUS FOR DETECTION OF LOCAL LINE PHASE IN A MULTI-PHASE POWER LINE SYSTEM | 10 |
Kennan Herbert Laudel | US | San Jose | 2011-06-23 / 20110150112 - Remote transmit IQ imbalance calibration and correction at multicarrier receivers | 2 |
Kennan H. Laudel | US | San Jose | 2011-03-24 / 20110069744 - METHODS AND SYSTEMS TO COMPENSATE IQ IMBALANCE IN WIDEBAND ZERO-IF TUNERS | 1 |
Kennan Laudel | US | San Jose | 2016-03-03 / 20160064937 - METHOD AND APPARATUS FOR DETECTION OF LOCAL LINE PHASE IN A MULTI-PHASE POWER LINE SYSTEM | 10 |
Kennan Ken Laudel | US | San Jose | 2011-06-23 / 20110150160 - METHODS AND SYSTEMS TO SYNCHRONIZE NETWORK NODES | 1 |
Christopher P. Laudeman | US | Durham | 2012-11-22 / 20120295915 - AZABENZIMIDAZOLES AS FATTY ACID SYNTHASE INHIBITORS | 4 |
Christopher Patrick Laudeman | US | Durham | 2016-03-17 / 20160075740 - ANTIFUNGAL AGENTS AND USES THEREOF | 4 |
Thomas Laudenbach | DE | Pleidelsheim | 2011-12-15 / 20110303202 - INTERNAL COMBUSTION ENGINE | 2 |
Andreas Laudenbach | DE | Haag | 2016-04-07 / 20160099349 - SEMICONDUCTOR DEVICE WITH NON-ISOLATED POWER TRANSISTOR WITH INTEGRATED DIODE PROTECTION | 5 |
Erich Laudenbach | DE | Feldkirchen | 2012-06-07 / 20120141633 - PROCESS AND A MACHINE FOR PRODUCING A FILLED SHEET OF PROCESS CHEESE, AS WELL AS A FILLED SHEET OF PROCESS CHEESE | 1 |
Manfred Laudenklos | DE | Schoeneck | 2012-08-09 / 20120202722 - CONCENTRATE FOR PRODUCING A COOLING AND RELEASE AGENT OR A COOLING AND LUBRICATING AGENT AND SUCH COOLING AND RELEASE AGENTS AND COOLING AND LUBRICATING AGENTS | 8 |
Manfred Laudenklos | DE | Schoeneck | 2012-08-09 / 20120202722 - CONCENTRATE FOR PRODUCING A COOLING AND RELEASE AGENT OR A COOLING AND LUBRICATING AGENT AND SUCH COOLING AND RELEASE AGENTS AND COOLING AND LUBRICATING AGENTS | 8 |
Manfred Laudenklos | DE | Schoneck | 2010-11-25 / 20100297344 - PASSIVATING MEANS, SURFACE TREATMENT MEANS, SURFACE TREATMENT SPRAY MEANS AND METHOD FOR TREATING METALLIC SURFACES OF WORK PIECES OR CAST MOLDS | 1 |
Michael Laudenslager | US | Landisville | 2010-09-23 / 20100236812 - LAN CABLE AND METHOD FOR MAKING THE SAME | 1 |
Douglas Glenn Laudenslager | US | Firestone | 2010-09-02 / 20100219841 - Conductivity Sensor | 1 |
Douglas Glen Laudenslager | US | Firestone | 2013-06-06 / 20130141116 - Conductivity Sensor | 1 |
James B. Laudenslager | US | Carlsbad | 2015-04-16 / 20150105714 - METHODS AND DEVICES FOR TREATMENT OF STENOSIS OF ARTERIOVENOUS FISTULA SHUNTS | 2 |
Mike Laudenslager | US | Landisville | 2015-11-05 / 20150318075 - PROFILED CROSS FILLER IN LAN CABLES | 1 |
Andrew D. Lauder | GB | Oxford | 2015-06-11 / 20150160696 - COVER | 10 |
Arthur W. Lauder | CA | Edmonton | 2015-12-24 / 20150368989 - DOWNHOLE COUPLING | 3 |
Warren Andrew Lauder | NZ | Otaki | 2013-08-22 / 20130212964 - APPARATUS FOR CONSTRUCTION OF SAFETY MATS | 2 |
Timothy Fred Lauder | US | Oxford | 2014-12-25 / 20140373521 - TORQUE CONVERTER FOR ROTORCRAFT | 11 |
Scott Robert Lauder | CA | Delta | 2015-09-24 / 20150269393 - SYSTEMS AND METHODS FOR SHARING DIGITAL CONTENT IN FRAGMENTS | 2 |
Nicholas R. Lauder | US | Somerville | 2013-08-15 / 20130206654 - HUB AND REMOVABLE CARTRIDGE FOR PRODUCING AND DELIVERING OZONATED WATER | 4 |
Arnold J. Lauder | CA | Winnipeg | 2015-09-24 / 20150267593 - SYSTEM AND METHOD FOR FORMING ELONGATED PERFORATIONS IN AN INNER BARREL SECTION OF AN ENGINE | 2 |
Andy Lauder | US | San Francisco | 2013-01-03 / 20130000078 - HINGE MECHANISM | 2 |
Gary Mark Lauder | US | Atherton | 2011-07-14 / 20110169633 - SYSTEMS AND METHODS OF AUTOMATED CORRELATION OF WEAPON FIRE DATA WITH MONITORED PERSONS-OF-INTEREST/LOCATION DATA | 1 |
Andrew D. Lauder | GB | Oxford | 2015-06-11 / 20150160696 - COVER | 10 |
Andrew David Lauder | GB | Oxford | 2014-10-16 / 20140307377 - HOUSING COMPONENTS FOR ELECTRONIC DEVICES | 1 |
Andrew Lauder | US | San Francisco | 2015-05-21 / 20150138149 - PORTABLE MULTI-TOUCH INPUT DEVICE | 26 |
Timothy F. Lauder | US | Oxford | 2010-03-04 / 20100051890 - WINCH SYSTEM FOR VTOL AIRCRAFT | 1 |
Andrew David Lauder | US | San Francisco | 2012-08-23 / 20120211148 - 3-DIMENSIONAL CURVED SUBSTRATE LAMINATION | 3 |
Timothy Fred Lauder | US | Oxford | 2014-12-25 / 20140373521 - TORQUE CONVERTER FOR ROTORCRAFT | 11 |
Andrew Nelthropp Lauder | US | San Jose | 2012-10-18 / 20120265518 - Software Application for Ranking Language Translations and Methods of Use Thereof | 2 |
Scott Lauder | US | Boxborough | 2011-10-06 / 20110243887 - IL-7 FUSION PROTEINS | 3 |
Andrew David Lauder | US | Santa Clara | 2009-02-12 / 20090040703 - HOUSING COMPONENTS FOR ELECTRONIC DEVICES AND METHODS FOR CONSTRUCTING THE SAME | 1 |
Andrew Lauder | US | Santa Clara | 2009-01-29 / 20090027583 - MAGNETIC-BASED VISUAL DISPLAY COVER ARRANGEMENT | 1 |
Nick Lauder | US | Newton | 2009-01-01 / 20090004547 - Fuel cell stacks and methods | 2 |
Nick Lauder | US | Somerville | 2015-11-26 / 20150335326 - SYSTEMS AND METHODS FOR SUTURING TISSUE | 1 |
Andrew Lauder | GB | Oxford | 2013-09-12 / 20130233762 - ACCESSORY UNITS FOR CONSUMER ELECTRONIC DEVICES AND RELATED ASSEMBLIES AND METHODS | 1 |
Colin Lauder | US | Richmond | 2014-11-06 / 20140328137 - MIXING METHODS AND SYSTEMS FOR FLUIDS | 2 |
Gary M. Lauder | US | Atherton | 2015-08-20 / 20150237486 - SIGNATURE CONTROL FOR SMS | 2 |
Sally-Ann Lauder | AU | Bowen Hills | 2014-06-12 / 20140162240 - ASSESSMENT METHOD AND APPARATUS | 1 |
Andrew Lauder | US | San Francisco | 2015-05-21 / 20150138149 - PORTABLE MULTI-TOUCH INPUT DEVICE | 26 |
Matthew A. Lauderbach | US | Belford | 2011-03-17 / 20110065357 - TOY VEHICLE FOR PICKING UP AND RELAYING TRACK | 1 |
Christopher Lauderback | US | Blacksburg | 2009-12-10 / 20090306228 - ACTIVE AGENT DELIVERY SYSTEMS AND METHODS FOR PROTECTING AND ADMINISTERING ACTIVE AGENTS | 50 |
James D. Lauderdale | US | Statham | 2014-06-05 / 20140155362 - BHQ-CONJUGATES, AND RELATED COMPOUNDS, METHODS OF MAKING THE SAME, AND METHODS OF USE THEREOF | 3 |
Donald Powell Lauderdale | US | Cypress | 2012-07-05 / 20120168175 - METHOD AND APPARATUS FOR CONTROLLING FLUID FLOW INTO A BOREHOLE | 1 |
Susan Clair Lauderdale | US | Corning | 2010-10-21 / 20100264568 - SYSTEM AND METHOD FOR FORMING CERAMIC PRECURSOR MATERIAL FOR THIN-WALLED CERAMIC HONEYCOMB STRUCTURES | 1 |
Susan Clair Lauderdale | US | Painted Post | 2016-03-24 / 20160083298 - SELECTED BINDERS FOR THE EXTRUSION OF ULTRA-THIN WALL CELLULAR CERAMICS | 3 |
Donald Lauderdale | US | Cypress | 2013-12-26 / 20130340832 - REDUNDANT ACTUATION SYSTEM | 7 |
Christopher G. Lauderdale | US | Newark | 2012-06-21 / 20120158817 - Distributed Computing Architecture | 1 |
Daniel J. Lauderdale | US | Wentzville | 2014-04-03 / 20140093862 - METHOD OF SIMULATING AN ENVIRONMENT | 1 |
James W. Lauderdale | US | Augusta | 2015-03-26 / 20150087587 - Process for the Synchronization of Ovulation for Timed Breeding Without Heat Detection | 5 |
Donald P. Lauderdale | US | Cypress | 2016-02-25 / 20160053565 - Drive Off Method from Subsea Well with Pipe Retention Capability | 8 |
Christopher Galen Lauderdale | US | Newark | 2014-04-17 / 20140108489 - FLOWLET-BASED PROCESSING | 1 |
Donald P. Lauderdale | US | Cypress | 2016-02-25 / 20160053565 - Drive Off Method from Subsea Well with Pipe Retention Capability | 8 |
Norm Laudermilch | US | Herndon | 2014-12-04 / 20140357253 - SYSTEM AND METHOD FOR CONTROLLING MOBILE DEVICE ACCESS TO A NETWORK | 3 |
Frédéric Laudet | FR | Venissieux | 2013-10-17 / 20130270856 - TRUCK AND METHOD FOR CONTROLLING SUCH A TRUCK | 2 |
Frédéric Laudet | FR | Venissieux | 2013-10-17 / 20130270856 - TRUCK AND METHOD FOR CONTROLLING SUCH A TRUCK | 2 |
Alain Laudet | BE | Namur | 2016-05-12 / 20160129394 - Method and Device for Treating Gas By Injecting a Powdered Compound and an Aqueous Phase | 8 |
Gerard Laudet | FR | Rebais | 2014-10-02 / 20140294554 - PACKAGING OF OBJECTS LOCATED IN ONE OR MORE LAYERS | 3 |
Jean-Benoit Laudet | FR | Pau | 2015-02-05 / 20150033862 - METHOD FOR CHARACTERISING THE MECHANICAL BEHAVIOUR OF CEMENTS | 1 |
Alain Laudet | BE | Namur | 2016-05-12 / 20160129394 - Method and Device for Treating Gas By Injecting a Powdered Compound and an Aqueous Phase | 8 |
David A. Laudick | US | Spring City | 2012-03-29 / 20120078208 - Contained ostomy appliance | 1 |
David A. Laudick | US | Kokomo | 2010-02-11 / 20100032183 - Compliant pin strip with integrated dam bar | 2 |
David A. Laudick | IN | Kokomo | 2009-04-30 / 20090106974 - Method of producing an overmolded electronic module with a flexible circuit pigtail | 1 |
Giovanna Laudisio | GB | Bristol | 2013-12-26 / 20130340821 - CONDUCTIVE COMPOSITIONS AND PROCESSES FOR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - ORGANIC MEDIUM COMPONENTS | 22 |
Giovanna Laudisio | GB | Bristol | 2013-12-26 / 20130340821 - CONDUCTIVE COMPOSITIONS AND PROCESSES FOR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - ORGANIC MEDIUM COMPONENTS | 22 |
John S. Laudo | US | Hilliard | 2015-09-24 / 20150268158 - Gas Sensor and Method for Sensing Presence of Ethanol Vapor in a Cabin | 9 |
John S. Laudo | US | Columbus | 2014-06-19 / 20140170691 - System for Conducting the Identification of Bacteria in Biological Samples | 5 |
John S. Laudo | US | Hilliard | 2015-09-24 / 20150268158 - Gas Sensor and Method for Sensing Presence of Ethanol Vapor in a Cabin | 9 |
Moshe Laudon | IL | Kfar Saba | 2015-09-24 / 20150266940 - CD44 SPLICE VARIANTS IN NEURODEGENERATIVE DISEASES | 10 |
Moshe Laudon | IL | Kfar Saba | 2015-09-24 / 20150266940 - CD44 SPLICE VARIANTS IN NEURODEGENERATIVE DISEASES | 10 |
Angelo J. Laudon | US | Allen | 2008-10-09 / 20080248848 - CONTROL SCHEME FOR REAL TIME STRATEGY GAME | 1 |
James Laudon | US | Madison | 2014-09-18 / 20140281107 - EFFICIENT INPUT/OUTPUT (I/O) OPERATIONS | 1 |
Christophe Henri Edouard Laudon | FR | Le Pradet | 2012-03-15 / 20120066253 - Mapping Product Identification Information To A Product | 1 |
Michael Laudon | US | San Jose | 2012-06-14 / 20120144665 - IMPEDANCE TUNING FOR CIRCUIT BOARD SIGNAL PATH SURFACE PAD STRUCTURES | 1 |
Ivano Laudonia | CH | Chur | 2010-05-20 / 20100125119 - Polyamide molding material, molded articles that can be produced therefrom and the use thereof | 1 |
Frank Laue | DE | Paehl-Fischen | 2013-05-02 / 20130109060 - Nucleic Acid Amplification in the Presence of Modified Randomers | 7 |
Hans Joachim Laue | DE | Osterroenfeld | 2016-05-19 / 20160135425 - Suction teat arrangement for an automatic feeder | 1 |
Stefan Laue | DE | Neuleiningen | 2015-07-09 / 20150192452 - Filling Level Measurement | 1 |
Jörg Laue | DE | Dormagen | 2010-12-09 / 20100312009 - GAS-PHASE PHOSGENATION PROCESS | 1 |
Matthew R. Laue | US | Joshua Tree | 2014-05-08 / 20140129683 - SHARED ACCESS TO A REMOTELY RUNNING APPLICATION | 3 |
Heike Laue | CH | Duebendorf | 2016-04-21 / 20160108342 - 3-(4-ISOBUTYL-2-METHYLPHENYL) PROPANAL AS PERFUME INGREDIENT | 2 |
Thomas Laue | DE | Elsdorf | 2012-03-22 / 20120070823 - METHOD AND DEVICE FOR AUTOMATICALLY PROCESSING A SAMPLE | 2 |
Gregory Laue | US | Freeport | 2009-02-26 / 20090050452 - SWITCHING DEVICE HAVING WELDING TABS FOR SECURING SENSING STRUCTURES TO THE DEVICE HOUSING | 1 |
Gregory Laue | US | Huntsville | 2012-03-15 / 20120061011 - POLYMER SEAMING USING DIFFUSION WELDS | 4 |
Charles Laue | US | Kansas City | 2016-04-07 / 20160098801 - Account Based Handset Protection Coverage | 4 |
Matthew Ryan Laue | US | Palo Alto | 2010-06-24 / 20100162243 - Context based virtualization | 4 |
Johannes Staudhammer Laue | US | Sunland | 2010-10-21 / 20100268569 - AUTOMATED ROTATION TOOL | 1 |
Matthew Laue | US | Los Gatos | 2010-12-23 / 20100325405 - METHOD OF RUNNING MULTIPLE OPERATING SYSTEMS ON AN X86-BASED COMPUTER | 1 |
Klaus Laue | CH | Buchs | 2016-02-04 / 20160032334 - METHODS FOR THE SYNTHESIS OF CHIRAL KYNURENINE COMPOUNDS | 1 |
Carsten Laue | CH | Nyon | 2010-04-15 / 20100092572 - CHITOSAN-BASED COLLOIDAL PARTICLES FOR RNA DELIVERY | 3 |
Stephan Laue | BE | Antwerpen | 2011-07-28 / 20110184144 - PROCESS AND APPARATUS FOR THE PREPARATION OF POLYCARBONATE | 1 |
Stephan Laue | DE | Koln | 2009-04-09 / 20090093655 - METHOD AND DEVICE FOR PRODUCING AROMATIC AMINES BY HETEROGENEOUS CATALYZED HYDRATION | 1 |
Hans-Georg Laue | DE | Weyhe | 2009-06-18 / 20090152399 - LINE SYSTEM ARRANGEMENT IN AN AIRCRAFT OR SPACECRAFT HAVING A FUSELAGE | 1 |
Stefam Laue | DE | Neuleiningen | 2013-09-05 / 20130230381 - Device For Monitoring A Pump | 1 |
Thomas Laue | DE | Eggenstein-Leopoldshafen | 2012-06-21 / 20120154817 - METHOD FOR DEMONSTRATING THE PRESENCE OF MOLECULES BY MEANS OF OPTICAL GRATINGS | 1 |
Thomas M. Laue | US | Lee | 2013-07-11 / 20130175175 - MEMBRANE CONFINED ELECTROPHORESIS | 1 |
Marvin Laue | US | Belle Plaine | 2014-11-13 / 20140331624 - SCAVENGE TUBE ARRANGEMENT; GAS LIQUID SEPARATOR FILTER ASSEMBLY; AND METHODS | 1 |
Harald Laue | DE | Esslingen | 2016-05-19 / 20160141944 - SHORT-CIRCUIT RING FOR AN ELECTRICAL ASYNCHRONOUS MACHINE, COMPOSED OF PARTIAL RING SEGMENTS | 2 |
Jörg Laue | DE | Dormagen | 2010-12-09 / 20100312009 - GAS-PHASE PHOSGENATION PROCESS | 1 |
Stefan Laue | DE | Gruenstadt | 2012-05-10 / 20120111114 - Method and Apparatus for Determining an Operating Point of a Work Machine | 1 |
Jörg Laue | DE | Olfen | 2015-09-03 / 20150246873 - METHOD FOR PRODUCING DIISOCYANATES BY PHOSGENATING DIAMINE SUSPENSIONS | 1 |
Alexander Laue | DE | Essen | 2014-11-06 / 20140326874 - PRINTED CIRCUIT BOARD MULTIPOLE UNITS USED FOR ION TRANSPORTATION | 3 |
Ralf Laue | DE | Neu-Isenburg | 2012-12-06 / 20120311322 - Secure Access to Data in a Device | 1 |
Paul Douglas Lauener | GB | Sheffield | 2016-01-28 / 20160023051 - MULTIPURPOSE YOGA DEVICE | 1 |
Ronald W. Lauener | CA | New Westminster | 2008-11-20 / 20080287522 - BENZYLATED PDE4 INHIBITORS | 1 |
Michael Lauenstein | CH | Cormondreche | 2011-03-24 / 20110067716 - APPARATUS AND METHOD FOR DELAMINATING PARCELS OF TOBACCO | 1 |
Peter S. Lauenstein | US | Hermosa Beach | 2014-05-22 / 20140137537 - Rotary Switch Assembly for Ion Propulsion System | 1 |
Thomas C. Lauenstein | DE | Essen | 2009-07-16 / 20090180963 - FORMULATIONS FOR USE IN MEDICAL AND DIAGNOSTIC PROCEDURES | 1 |
Jörg Lauenstein | AT | Deutschlandsberg | 2014-10-30 / 20140319974 - Electrical Component and Method for Producing an Electrical Component | 1 |
Peter S. Lauenstein | US | La Canada | 2011-11-24 / 20110286197 - SHIPPING CONTAINER SECURITY UNIT QUICK MOUNT DEVICE | 1 |
Michael Lauenstein | US | Eagan | 2015-07-23 / 20150206327 - TRIP REPLAY FOR AN AQUATIC GEOGRAPHIC INFORMATION SYSTEM | 7 |
Michele Lauenstein | US | Mequon | 2010-05-27 / 20100126426 - Apparatus for Storing and Transporting Small Reptiles and Amphibians | 1 |
Robert William Lauer | US | Winchester | 2011-05-05 / 20110099745 - MOP AGITATOR | 1 |
Christoph Lauer | DE | Muenchen | 2016-05-12 / 20160131028 - GAS TURBINE | 3 |
Joel R. Lauer | US | Rogers | 2014-11-06 / 20140330147 - ELECTROGRAM SUMMARY | 3 |
Friedrich K Lauer | DE | Krahenberg | 2011-03-03 / 20110053669 - Harvested Crop Residue Chopper and Distribution Arrangement For A Combine With An Impeller Blower Whose Shape Conforms To The Contour Of The Straw Chopper | 5 |
Mark A. Lauer | US | Pleasanton | 2014-07-03 / 20140184055 - PARTICLE ACCELERATION DEVICES WITH IMPROVED GEOMETRIES FOR VACUUM-INSULATOR-ANODE TRIPLE JUNCTIONS | 3 |
Carl J. Lauer | US | Santa Barbara | 2011-06-30 / 20110156899 - Cell Phone Detector for Washing Machines | 1 |
Rosemarie Lauer | US | Chalfont | 2012-09-27 / 20120244288 - ALKYD DISPERSION, AND A PROCESS FOR PRODUCING THE SAME | 1 |
G. Kent Lauer | US | Lee'S Summit | 2012-10-04 / 20120246865 - FRONT-MOUNTED-CENTRAL BLOWER DISCHARGE UNIT WITH MULTIDIRECTIONAL NOZZLE | 1 |
Florian Lauer | DE | Saarbrucken | 2011-04-07 / 20110079741 - ACTUATING DEVICE | 3 |
Joseph Paul Lauer | US | Vienna | 2015-11-26 / 20150341102 - Distributed signal field for communications within multiple user, multiple access, and/or MIMO wireless communications | 5 |
Will C. Lauer | US | Bryan | 2012-10-04 / 20120254214 - DISTRIBUTED SYSTEM HAVING A SHARED CENTRAL DATABASE | 2 |
Joseph Paul Lauer | US | North Reading | 2013-10-31 / 20130286925 - CHANNEL CHARACTERIZATION AND TRAINING WITHIN MULTIPLE USER, MULTIPLE ACCESS, AND/OR MIMO WIRELESS COMMUNICATIONS | 20 |
Frank Lauer | DE | Wiesloch | 2011-11-10 / 20110272895 - Profile Seal with Corner Connectors | 1 |
Gereon Lauer | DE | Radolfzell | 2012-10-11 / 20120258915 - Proteolysis Resistant Active VEGF | 2 |
Thomas Lauer | DE | Essen | 2014-12-18 / 20140367335 - Method For Removing Mercury And Selenium From Sulfate-Containing Waste Water | 1 |
David Lauer | US | Collingswood | 2015-10-22 / 20150302441 - SYSTEMS AND METHODS FOR PROVIDING UP-TO-DATE INFORMATION FOR TRANSACTIONS | 2 |
Gen P. Lauer | US | Mahopac | 2015-01-22 / 20150021715 - Low Temperature Salicide for Replacement Gate Nanowires | 8 |
Peter M. Lauer | US | Oakland | 2015-02-05 / 20150037369 - Methods of Transforming a Listeria | 3 |
Lars Lauer | DE | Nurnberg | 2012-05-24 / 20120126814 - PEDIATRIC COIL ASSEMBLY | 1 |
Craig Lauer | US | San Diego | 2013-01-10 / 20130013433 - MOBILE COMMERCE AUTHENTICATION AND AUTHORIZATION SYSTEM | 8 |
Michael Lauer | US | Harvard | 2015-12-03 / 20150348312 - PREVIEWING CHANGES ON A GEOMETRIC DESIGN | 2 |
Wolfgang Lauer | DE | Heilbronn | 2008-10-30 / 20080266170 - Method and Measuring Device For Determining A Relative Velocity | 1 |
Bryan Adrian Lauer | US | Hinckley | 2016-05-05 / 20160127934 - DYNAMIC TIME BASED PRODUCT | 18 |
Bryan A. Lauer | US | Hinckley | 2014-11-13 / 20140334379 - SYSTEM FOR MANAGING MOBILE INTERNET PROTOCOL ADDRESSES IN AN AIRBORNE WIRELESS CELLULAR NETWORK | 7 |
Viktor Lauer | DE | Nalbach | 2016-02-25 / 20160051916 - PROCESS AND APPARATUS FOR SEPARATING OUT AND REMOVING WATER PRESENT IN LIQUID FUELS, ESPECIALLY WATER FROM DIESEL OIL | 5 |
Wolfgang Albert Lauer | DE | Mersch | 2010-03-25 / 20100076126 - PNEUMATIC TIRE | 1 |
Andreas Lauer | DE | Kirchhain | 2015-10-15 / 20150290885 - METHOD FOR PRODUCING A COMPOSITE BODY | 4 |
Wolfgang Albert Lauer | LU | Mersch | 2012-03-29 / 20120073717 - METHOD FOR MAKING PNEUMATIC TIRE WITH FOAM NOISE DAMPER | 3 |
Peter M. Lauer | US | Albany | 2016-03-17 / 20160074491 - METHODS AND COMPOSITIONS FOR INDUCING AN IMMUNE RESPONSE TO EGFRvIII | 11 |
Paul-Sebastian Lauer | DE | Hannover | 2012-12-13 / 20120316723 - method for determining at least one trafficable area in the surroundings of a motor vehicle | 1 |
Eduardo Lauer | US | Zebulon | 2009-05-21 / 20090130350 - Multi-component synthetic closure and method of manufacture | 1 |
Craig B. Lauer | US | San Diego | 2013-06-13 / 20130147428 - WIRELESS CHARGING WITH SEPARATE PROCESS | 3 |
Steven M. Lauer | US | Eau Claire | 2012-05-24 / 20120125185 - Firearm Camouflage System | 3 |
Michael J. Lauer | US | Des Moines | 2015-03-19 / 20150082479 - CHEMICAL SELECTION OF RESISTANT GAMETES OF PLANTS IN THE FIELD | 6 |
Craig Lauer | US | Poway | 2012-09-20 / 20120239576 - MOBILE COMMERCE AUTHENTICATION AND AUTHORIZATION SYSTEM | 1 |
Gen Pei Lauer | US | Mahopac | 2013-06-20 / 20130153972 - V-Groove Source/Drain Mosfet and Process For Fabricating Same | 2 |
Amy Lauer | US | Hopkins | 2011-05-05 / 20110101089 - TRANSACTION PRODUCT WITH CARD AND STICKER | 3 |
Gen P. Lauer | US | Yorktown Heights | 2016-04-07 / 20160099338 - STACKED PLANAR DOUBLE-GATE LAMELLAR FIELD-EFFECT TRANSISTOR | 4 |
Viktor Josef Lauer | DE | Nalbach | 2015-07-23 / 20150202553 - DEVICE FOR THE SUCTION FILTRATION OF FLUIDS | 5 |
Thorsten Lauer | DE | Holzheim A. Forst | 2010-07-15 / 20100179744 - METHOD AND DEVICE FOR DETERMINING A CONTROL PARAMETER FOR A FUEL INJECTOR OF AN INTERNAL COMBUSTION ENGINE | 4 |
Joyce Lauer | US | Wayland | 2014-05-01 / 20140121775 - EXPANDABLE INTERBODY IMPLANT AND METHOD | 3 |
Lars Lauer | DE | Neunkirchen | 2016-04-14 / 20160103195 - Systems and Methods For Real Time Gradient Timing Modification | 10 |
Hans-Martin Lauer | DE | Munchen | 2010-12-16 / 20100318062 - CONVEYOR APPARATUS AND METHOD FOR SUPPLYING A MEDICINE-SOLUTION MIXTURE | 2 |
Wayne S. Lauer | US | Dripping Springs | 2009-01-15 / 20090018900 - PARKING FACILITY CUSTOMER SERVICE AND ACCESS CONTROL SYSTEM | 1 |
Gregory Stephen Lauer | US | Sudbury | 2012-12-06 / 20120311691 - SYSTEMS AND METHODS FOR DECOY ROUTING AND COVERT CHANNEL BONDING | 1 |
Will Caseino Lauer | US | Champaign | 2014-02-13 / 20140043985 - SYSTEM AND METHOD FOR LICENSE ENFORCEMENT FOR DATA CENTER MONITORING APPLICATIONS | 1 |
Martin Lauer | DE | St. Wendel | 2016-03-24 / 20160082200 - MEDICAL HEAT EXCHANGER FOR HEATING MEDICAL FLUIDS BY MEANS OF A LIGHT EMITTER AND MEDICAL FLUID TREATMENT DEVICE HAVING A LIGHT EMITTER | 24 |
Friedrich Lauer | DE | Krahenberg | 2010-09-30 / 20100248802 - Harvested Crop Chopper Remains And Distribution Arrangement For A Combine | 1 |
Peter Lauer | DE | Augsburg | 2015-03-12 / 20150068195 - EXHAUST GAS RETREATMENT SYSTEM OF AN INTERNAL COMBUSTION ENGINE AND METHOD FOR OPERATING THE SAME | 1 |
Lonnie Lauer | US | Clinton | 2015-12-10 / 20150353243 - DISPENSING PAINT CAN LID AND STAND COMBINATION | 1 |
Lonnie S. Lauer | US | Quinton | 2015-12-10 / 20150353244 - Dispensing Lid | 1 |
George D. Lauer | US | Montgomery | 2014-02-27 / 20140059223 - SERVICE SEGREGATION ACCORDING TO SUBSCRIBER SERVICE ASSOCIATION | 2 |
Fritz Lauer | DE | Krahenberg | 2010-07-22 / 20100184494 - Harvester With An Additional Drum Conveyor For Straw Removal And A Single Flap For Changing Between Swath Deposit And Chopping Operation | 1 |
Fritz K. Lauer | DE | Krahenberg | 2009-03-19 / 20090075708 - THRESHER BASKET ARRANGEMENT FOR A COMBINE | 3 |
Frederic Lauer | DE | Kaiserslautern | 2014-04-10 / 20140096730 - MECHANICALLY CONTROLLABLE VALVE DRIVE FOR A RECIPROCATING PISTON ENGINE | 1 |
Mark Lauer | US | Olmsted Falls | 2014-05-15 / 20140135256 - TREATMENT OF EXTRACELLULAR MATRIX TO REDUCE INFLAMMATION | 2 |
Craig A. Lauer | US | Bolton | 2014-09-25 / 20140286072 - IDENTIFYING A RESULT USING MULTIPLE CONTENT-ADDRESSABLE MEMORY LOOKUP OPERATIONS | 1 |
Michael R. Lauer | US | Harvard | 2011-01-27 / 20110018898 - Graphical Object Generation and Regeneration | 1 |
Ulrich Manfred Lauer | DE | Tuebingen | 2013-03-28 / 20130078219 - GENETICALLY MODIFIED PARAMYXOVIRUS FOR TREATMENT OF TUMOR DISEASES | 1 |
Ulrich M. Lauer | DE | Tuebingen | / - | 2 |
Peter Lauer | DE | Karben | 2015-07-16 / 20150197225 - METHOD AND SYSTEM FOR PROMOTING A UNIFORM DRIVING STYLE | 7 |
Lars Lauer | DE | Nuernberg | 2012-02-02 / 20120025823 - METHOD AND DEVICE FOR MAGNETIC RESONANCE IMAGING | 1 |
Jeffrey J Lauer | US | Nanty Glo | 2016-03-24 / 20160082210 - Method for Detecting an Inspiratory Flow Limitation During Sleep-Disordered breathing | 1 |
Frederic Lauer | DE | Oberriexingen | 2014-11-20 / 20140338622 - VALVE LIFT ADJUSTMENT ARRANGEMENT FOR A MECHANICALLY CONTROLLABLE VALVE DRIVE ARRANGEMENT, AND METHOD FOR ALIGNING AN INTERMEDIATE LEVER ARRANGEMENT | 1 |
Frank Lauer | DE | Rauenberg | 2015-01-22 / 20150023764 - SEAL, SCREW CONNECTION HAVING THE SEAL, AND USE THEREOF | 2 |
Johannes Lauer | DE | Darmstadt | 2014-08-28 / 20140239208 - Emergency Drainage Device for Enclosed Compartments | 1 |
Vincent Lauer | FR | La Chapelle Sur Erdre | 2014-11-20 / 20140343642 - Device for treating diseases | 1 |
Isaac Lauer | US | Mahopac | 2015-08-20 / 20150236118 - FABRICATION OF FIELD-EFFECT TRANSISTORS WITH ATOMIC LAYER DOPING | 35 |
Christian Lauer | DE | Regensburg | 2016-05-19 / 20160141837 - EDGE-EMITTING SEMICONDUCTOR LASER AND METHOD FOR THE PRODUCTION THEREOF | 8 |
Robert Lauer | US | Winchester | 2011-03-17 / 20110064513 - MOP HANDLE GRIP AND THUMB TRIGGER MECHANISM | 1 |
John D. Lauer | US | Tucson | 2013-07-04 / 20130174269 - MANAGING LICENSE KEYS | 3 |
Kurt R. Lauer | US | Oberlin | 2012-10-11 / 20120258834 - SPEED/TORQUE ENHANCING POWER TRANSMISSION | 1 |
John Lauer | US | Seattle | 2010-08-19 / 20100210291 - Short Code Provisioning and Threading Techniques for Bidirectional Text Messaging | 1 |
Rosemarie Palmer Lauer | US | Chalfont | 2009-01-01 / 20090004394 - Aqueous polymeric composition | 1 |
Isaac Lauer | US | Yorktown Heights | 2016-04-07 / 20160099338 - STACKED PLANAR DOUBLE-GATE LAMELLAR FIELD-EFFECT TRANSISTOR | 63 |
Ingo Lauer | DE | Muenchen | 2015-11-19 / 20150329145 - Motor Vehicle | 1 |
Bryan Adrian Lauer | US | Hinckley | 2016-05-05 / 20160127934 - DYNAMIC TIME BASED PRODUCT | 18 |
Terry Lauer | US | Cornelius | 2015-11-12 / 20150324002 - DUAL DISPLAY SYSTEM | 1 |
Robert F. Lauer | US | Frederick | 2013-11-14 / 20130300151 - Air Foil for Vehicles | 1 |
Winfried Lauer | DE | Muenchen | 2016-05-12 / 20160131028 - GAS TURBINE | 1 |
Lupe Lauer | US | Frederick | 2013-11-14 / 20130300151 - Air Foil for Vehicles | 1 |
Lars Lauer | DE | Neunkirchen | 2016-04-14 / 20160103195 - Systems and Methods For Real Time Gradient Timing Modification | 10 |
William Lauer | US | Westford | 2011-12-08 / 20110297851 - LASER PROCESSING WITH ORIENTED SUB-ARRAYS | 1 |
Joseph Paul Lauer | US | Mountain View | 2009-07-23 / 20090187804 - LDPC (Low Density Parity Check) coding and interleaving implemented in MIMO communication systems | 1 |
Scott D. Lauer | US | Colleyville | 2010-05-06 / 20100112065 - Therapeutic ultrasound gel | 1 |
Peter M. Lauer | US | Albany | 2016-03-17 / 20160074491 - METHODS AND COMPOSITIONS FOR INDUCING AN IMMUNE RESPONSE TO EGFRvIII | 11 |
Peter Lauer | US | Berkeley | 2009-12-24 / 20090317420 - Immunogenic compositions for gram positive bacteria such as streptococcus agalactiae | 1 |
Stefan Lauer | DE | Freiburc | 2009-12-10 / 20090301336 - Camouflage and decoy munitions for protecting objects against guided missiles | 1 |
Christian Lauer | DE | Regensburg | 2016-05-19 / 20160141837 - EDGE-EMITTING SEMICONDUCTOR LASER AND METHOD FOR THE PRODUCTION THEREOF | 8 |
Andreas Lauer | DE | Neukirchen-Vluyn | 2015-04-23 / 20150109029 - METHOD AND APPARATUS FOR GENERATING A DIGITAL SIGNAL OF TUNABLE FREQUENCY AND FREQUENCY SYNTHESIZER EMPLOYING SAME | 1 |
Gen P. Lauer | US | Mahopac | 2015-01-22 / 20150021715 - Low Temperature Salicide for Replacement Gate Nanowires | 8 |
Leroy H. Lauer | US | Hortonville | 2008-08-21 / 20080197116 - TIG WELDING SYSTEM AND METHOD | 1 |
Will C. Lauer | US | Marlborough | / - | 1 |
Ethan S. Lauer | US | Austin | 2011-09-08 / 20110218416 - Needle Electrode Module | 1 |
John Donald Lauer | US | Tucson | 2009-09-24 / 20090240723 - APPARATUS AND METHODS FOR REQUIREMENTS DECOMPOSITION AND MANAGEMENT | 2 |
Isaac Lauer | US | Mahopac | 2015-08-20 / 20150236118 - FABRICATION OF FIELD-EFFECT TRANSISTORS WITH ATOMIC LAYER DOPING | 35 |
Johannes Lauer | DE | Baiersdorf | 2012-03-29 / 20120076313 - METHOD FOR ADJUSTING A HEARING DEVICE WITH IN-SITU AUDIOMETRY AND HEARING DEVICE | 2 |
Martin Lauer | DE | Wendel | 2010-08-12 / 20100200486 - DEVICE AND METHOD FOR TREATING A MEDICAL FLUID AND MEDICAL CASSETTE | 1 |
Edouard Lauer | US | Zebulon | 2014-05-15 / 20140130265 - ALL-FOAM MATTRESS ASSEMBLIES WITH FOAM ENGINEERED CORES HAVING THERMOPLASTIC AND THERMOSET MATERIALS, AND RELATED ASSEMBLIES AND METHODS | 6 |
Craig Lauer | US | San Diego | 2013-01-10 / 20130013433 - MOBILE COMMERCE AUTHENTICATION AND AUTHORIZATION SYSTEM | 8 |
Gen Pei Lauer | US | Yorktown Heights | 2014-06-19 / 20140170829 - LATERAL BIPOLAR TRANSISTOR AND CMOS HYBRID TECHNOLOGY | 2 |
Daniel J. Lauer | US | St. Louis | 2015-08-20 / 20150231516 - DOLL OR ACTION FIGURE WITH FACIAL FEATURES CUSTOMIZED TO A PARTICULAR INDIVIDUAL | 2 |
Bruno Lauer | DE | Marpingen | 2015-11-05 / 20150315742 - WIRE CABLE AND METHOD AND DEVICE FOR PRODUCTION OF SAID WIRE CABLE | 4 |
Michael Lauer | DE | Zweibruecken | 2009-07-02 / 20090172473 - SYSTEM AND METHOD FOR SYNCHRONIZING TEST RUNS ON SEPARATE SYSTEMS | 1 |
Michael Lauer | DE | Stuttgart | 2012-11-01 / 20120273326 - Conveying Apparatus | 1 |
Jason Edward Lauer | US | Fort Wayne | 2011-09-01 / 20110210115 - CONVEYOR OVEN WITH DUAL HEATER SOURCES | 5 |
Robert W. Lauer | US | Winchester | 2012-05-24 / 20120125697 - SCALE WITH DISHWASHER SAFE COVER | 2 |
Peter Lauer | US | Eden Prairie | 2014-06-05 / 20140156089 - DEVICE AND METHOD FOR CONTROLLING A FLUID ACTUATOR | 2 |
Eugene J. Lauer | US | Pleasanton | 2014-07-03 / 20140184055 - PARTICLE ACCELERATION DEVICES WITH IMPROVED GEOMETRIES FOR VACUUM-INSULATOR-ANODE TRIPLE JUNCTIONS | 1 |
Craig B. Lauer | US | La Jolla | 2011-08-25 / 20110205965 - VIRTUAL PERIPHERAL HUB DEVICE AND SYSTEM | 1 |
Karin Lauer | DE | Holzkirchen | 2013-12-12 / 20130331803 - TRANSDERMAL THERAPEUTIC SYSTEM COMPRISING BUPRENORPHINE | 1 |
Bryan A. Lauer | US | Kinckley | 2011-12-29 / 20110320576 - SYSTEM FOR CREATING AN AIR-TO-GROUND IP TUNNEL IN AN AIRBORNE WIRELESS CELLULAR NETWORK TO DIFFERENTIATE INDIVIDUAL PASSENGERS | 1 |
Isaac Lauer | US | White Plains | 2014-07-03 / 20140183637 - STRUCTURE FOR SELF-ALIGNED SILICIDE CONTACTS TO AN UPSIDE-DOWN FET BY EPITAXIAL SOURCE AND DRAIN | 25 |
Vincent Lauer | FR | Mulhouse | 2009-01-01 / 20090002789 - Interferometer | 1 |
Sonja Lauer | DE | Bischofsheim | 2014-02-27 / 20140057162 - GLASS CERAMIC THAT CONDUCTS LITHIUM IONS, AND USE OF SAID GLASS CERAMIC | 1 |
Isaac Lauer | US | Yorktown Heights | 2016-04-07 / 20160099338 - STACKED PLANAR DOUBLE-GATE LAMELLAR FIELD-EFFECT TRANSISTOR | 63 |
Hugh C. Lauer | US | Concord | 2010-06-24 / 20100158331 - SYSTEM AND METHOD FOR DETERMINING ABSOLUTE POSITION USING A MULTIPLE WAVELENGTH SIGNAL | 1 |
Wolfgang Lauer | LU | Mersch | 2011-06-09 / 20110136970 - METHOD OF MAKING A SILICA/ELASTOMER COMPOSITE | 2 |
Christian Lauerer | DE | Manching | 2010-02-18 / 20100042296 - METHOD AND DEVICE FOR TRIGGERING A PERSONAL PROTECTION MEANS FOR A VEHICLE | 2 |
Wolfgang Lauerer | DE | Laaber | 2011-06-30 / 20110156727 - Soot Sensor | 1 |
Anton Lauerer | DE | Riedenburg | 2012-03-15 / 20120063139 - Light Band and Method for Producing a Light Band | 1 |
Jeffrey M. Lauerhaas | US | Waconia | 2014-11-13 / 20140332034 - PROCESS COMPRISING WATER VAPOR FOR HAZE ELIMINATION AND RESIDUE REMOVAL | 5 |
Tod Lauerman | US | San Diego | 2014-06-19 / 20140170171 - TARGETED CYTOKINE FOR TREATMENT OF MUSCULOSKELETAL DISEASES | 2 |
Gerhard Lauermann | DE | München | 2016-02-11 / 20160039855 - METHOD OF RECOVERING OLEAGINEOUS COMPOUNDS FROM HYDROTHERMALLY TREATED BIOMASS | 1 |
Vit Lauermann | US | Belmont | 2015-11-05 / 20150314014 - Inhibitor which is deactivatable by a reagent produced by a target cell | 1 |
Mark Edwin Lauermann | US | Harrisburg | 2013-02-28 / 20130048334 - JUNCTION BOX | 3 |
Eva Lauermann | DE | Mainz | 2013-12-26 / 20130345281 - CONJUGATED 3-(INDOLYL)- AND 3-(AZAINDOLYL)-4-ARYLMALEIMIDE COMPOUNDS AND THEIR USE IN TUMOR TREATMENT | 1 |
Heinz Lauermann | CH | Sitterdorf | 2015-10-15 / 20150290600 - DEVICE AND METHOD FOR MIXING BULK MATERIAL WITH A LIQUID AND USE OF A DEVICE | 1 |
Matthias Lauermann | DE | Neuenstein | 2012-09-06 / 20120224184 - OPTICAL DETECTOR FOR DETECTING OPTICAL SIGNAL BEAMS, METHOD TO DETECT OPTICAL SIGNALS, AND USE OF AN OPTICAL DETECTOR TO DETECT OPTICAL SIGNALS | 1 |
Robert J. Lauf | US | Oak Ridge | 2014-08-07 / 20140220654 - MICROBIALLY-MEDIATED METHOD FOR SYNTHESIS OF NON-OXIDE SEMICONDUCTOR NANOPARTICLES | 4 |
William Lauf | US | Vernal | 2015-12-03 / 20150345285 - EXPLOSION-PROOF MAGNETIC FLUX LEAKAGE INSPECTION APPARATUS FOR WELL TUBING | 1 |
Klaus Lauf | DE | Tubingen | 2010-11-25 / 20100294141 - METHOD AND DEVICE FOR THE TREATMENT OF MILK, ESPECIALLY BREAST MILK | 1 |
Richard I. Lauf | US | Cincinnati | 2011-11-17 / 20110282683 - INTERACTIVE WEB BASED SYSTEM IN SUPPORT OF BARIATRIC PROCEDURES | 2 |
Garrett D. Lauf | US | Elgin | 2015-12-17 / 20150359573 - Compression Screw Systems for Compressing Bones of the Extremities | 1 |
Günther Laufenberg | DE | Koln | 2012-02-16 / 20120041188 - METHOD FOR OBTAINING INULIN FROM PLANTS | 1 |
Markus Laufenberg | DE | Radolfzell | 2014-05-08 / 20140125437 - ELECTROMAGNETIC ACTUATOR DEVICE | 7 |
Nicholas J. Laufenberg | US | Glen Ellyn | 2015-10-29 / 20150305240 - HEADER FOR AN AGRICULTURAL HARVESTER WITH INDEPENDENT SUB-SYSTEM DRIVES | 1 |
Gary H. Laufenberg | US | Cooper City | 2009-11-12 / 20090277206 - A/C drain line device | 1 |
Nicholas Laufenberg | US | Glen Ellyn | 2014-05-29 / 20140148286 - BELT DRIVE HAVING A SPEED SELECTION SHIFT MECHANISM | 1 |
Markus Laufenberg | DE | Stockach | 2015-07-23 / 20150207059 - ACTUATOR DEVICE | 4 |
Bryce Laufenberg | US | Tamarac | 2009-11-12 / 20090277206 - A/C drain line device | 1 |
Shaul Laufer | IL | Petach Tikva | 2012-05-24 / 20120127921 - METHOD AND APPARATUS FOR COMPENSATION FOR WEATHER-BASED ATTENUATION IN A SATELLITE LINK | 4 |
Eli Laufer | US | Woodland Hills | 2014-09-18 / 20140268599 - DATA TRANSFER DEVICE WITH CONFIGURABLE SLOT SIZES AND METHODS OF USING THE SAME | 1 |
Amir Laufer | IL | Petach Tiqwa | 2015-07-16 / 20150200767 - EYE WIDTH MEASUREMENT AND MARGINING IN COMMUNICATION SYSTEMS | 2 |
Stefan Laufer | DE | Tübingen | 2009-09-03 / 20090221697 - MACROLIDE CONJUGATES OF PYRROLIZINE AND INDOLIZINE COMPOUNDS AS INHIBITORS OF 5-LIPOOXYGENASE AND CYCLOOXYGENASE | 2 |
Yotam Laufer | IL | Tei-Aviv | 2012-08-02 / 20120197841 - SYNCHRONIZING DATA TO MEDIA | 1 |
Rafael P. Laufer | US | Jersey City | 2015-08-27 / 20150244842 - PACKET CLASSIFICATION USING MULTIPLE PROCESSING UNITS | 2 |
Andrej Laufer | DE | Berlin | 2013-05-30 / 20130133360 - SELF-STABILIZING PARTITION WALL WITH ENHANCED THERMAL INSULATION FOR NEGATIVE-PRESSURE TANKS | 2 |
Ralph Laufer | IT | Pomezia (rm) | 2015-10-22 / 20150299163 - COMPOUNDS FOR USE IN THE TREATMENT OF PARASITIC DISEASES | 2 |
Wilhelm Laufer | DE | Ellerstadt | 2016-04-07 / 20160096951 - NEW CARBODIIMIDES HAVING TERMINAL UREA AND/OR URETHANE GROUPS, METHODS FOR PRODUCING SAID CARBODIIMIDES, AND USE OF SAID CARBODIIMIDES | 23 |
Scott T. Laufer | US | North Bend | 2014-06-05 / 20140156259 - Generating Stimuli for Use in Soliciting Grounded Linguistic Information | 1 |
Steffen Laufer | DE | Dresden | 2012-06-21 / 20120156865 - Enhanced Patterning Uniformity of Gate Electrodes of a Semiconductor Device by Late Gate Doping | 1 |
Leonard Laufer | US | Searsdale | 2012-10-04 / 20120254019 - SYSTEM AND METHOD FOR REVOLVING CREDIT PRODUCT OFFER CUSTOMIZATION | 1 |
Burkhardt Laufer | DE | Dossenheim | 2016-03-31 / 20160089446 - Modified Hydrogels | 4 |
Sam Laufer | US | Roxbury | 2014-11-27 / 20140347763 - SYSTEM AND METHOD FOR SUPPRESSING JITTER IN DIGITAL DATA SIGNALS INCLUDING IMAGE, VIDEO AND AUDIO DATA SIGNALS | 2 |
Michael D. Laufer | US | Menlo Park | 2016-05-19 / 20160135867 - MEDICAL DEVICE FOR TREATING AIRWAYS AND RELATED METHODS OF USE | 69 |
Timo Laufer | DE | Stuttgart | 2015-12-03 / 20150346612 - OPTICAL ARRANGEMENT IN AN OPTICAL SYSTEM, IN PARTICULAR IN A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS | 6 |
Gad Laufer | IL | Tel Aviv | 2011-08-11 / 20110194624 - PAIRING PLC DEVICES | 1 |
Günther Laufer | AT | Wien | 2011-08-04 / 20110189252 - PHARMACEUTICAL COMPOSITIONS COMPRISING LIGNANS AND THEIR DERIVATIVES FOR TREATING HYPERPLASTIC DISEASES | 1 |
Neri Laufer | IL | Jerusalem | 2013-01-24 / 20130023469 - LEPTIN FOR USE IN INCREASING LIVER REGENERATION | 1 |
Yaron Laufer | IL | Zichron Yaakov | 2015-06-25 / 20150180957 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR MANAGING A REMOTE STORAGE | 2 |
Assaf Laufer | IL | Tel-Aviv | 2015-10-15 / 20150293511 - APPLIANCES CONTROL DEVICES AND METHODS | 1 |
Jeremy S. Laufer | US | Menlo Park | 2012-06-07 / 20120143187 - DEVICES AND METHODS FOR TISSUE APPOSITION | 2 |
Michael Laufer | US | Menlo Park | 2011-02-24 / 20110046510 - Glucose Monitoring Device And Method | 7 |
Stefan Laufer | DE | Tubingen | 2012-05-10 / 20120115862 - DIBENZOCYCLOHEPTATONE DERIVATIVES AND PHARMACEUTICAL AGENTS CONTAINING SAID COMPOUNDS | 5 |
Stefan Laufer | DE | Tuebingen | 2010-04-22 / 20100099730 - ANNELLATED PYRROLE COMPOUNDS FOR CANCER MANAGEMENT | 5 |
Rainer Laufer | DE | Mundelsheim | 2013-07-04 / 20130171360 - COATING METHOD AND ASSOCIATED COATING DEVICE | 1 |
Wilhelm Laufer | DE | Mannheim | 2012-03-22 / 20120071648 - METHOD FOR PRODUCING LACTAMATES BY WAY OF THIN FILM EVAPORATION | 1 |
Radoslaw Laufer | US | Holtsville | 2008-09-11 / 20080221187 - N-substituted pyrazolyl-amidyl-benzimidazolyl c-Kit inhibitors | 1 |
Radoslaw Laufer | CA | Oakville | 2016-05-19 / 20160137651 - PYRAZOLOPYRIMIDINE COMPOUNDS | 12 |
Radoslaw Laufer | CA | Ontario | 2013-07-25 / 20130190496 - 6,6-Bicyclic Ring Substituted Heterobicyclic Protein Kinase Inhibitors | 5 |
Radoslaw Laufer | CN | Ontario | 2009-05-07 / 20090118499 - 6,6-Bicyclic Ring Substituted Heterobicyclic Protein Kinase Inhibitors | 1 |
Radoslaw Laufer | US | Farmingdale | 2008-09-11 / 20080221153 - N3-SUBSTITUTED IMIDAZOPYRIDINE C-KIT INHIBITORS | 1 |
Scott Laufer | US | North Bend | 2014-02-06 / 20140039892 - USING THE ABILITY TO SPEAK AS A HUMAN INTERACTIVE PROOF | 1 |
Nir Laufer | IL | Zoran | 2015-12-31 / 20150381451 - Method and Apparatus for Estimating a Maximum Time Interval Error in a Data Transmission Network | 1 |
Laszlo Laufer | HU | Budapest | 2015-09-03 / 20150248198 - ZOOMING USER INTERFACE FRAMES EMBEDDED IMAGE FRAME SEQUENCE | 5 |
Caroline H. Laufer | US | Millington | 2015-01-08 / 20150010755 - Flame Retardant Thermoplastic of Polycarbonate and Polypropylene | 5 |
Günther Laufer | AT | Vienna | 2014-12-18 / 20140371306 - PHARMACEUTICAL COMPOSITIONS COMPRISING LIGNANS AND THEIR DERIVATIVES FOR TREATING HYPERPLASTIC DISEASES | 1 |
Rafael Laufer | US | Los Angeles | 2013-10-17 / 20130272115 - METHOD AND PROCESSING DEVICE FOR OPTIMAL INTERFERENCE ESTIMATION AND SCHEDULING IN A MULTI-HOP WIRELESS NETWORK WITH CENTRALIZED CONTROL | 1 |
William S. Laufer | US | Philadelphia | 2009-01-08 / 20090008356 - CAP AND LINER SYSTEM FOR A CONTAINER | 1 |
Leopold S. Laufer | US | Yorktown Heights | 2009-01-08 / 20090008356 - CAP AND LINER SYSTEM FOR A CONTAINER | 1 |
Timothy John Laufer | US | Lake County | 2011-01-13 / 20110005156 - DAMAGE PREVENTION HOUSING FOR A ROOF PIPE AND RELATED METHODS | 1 |
Amir Laufer | US | Highland Park | 2010-08-05 / 20100195750 - Transmission of Orthogonal Space Time Codes | 2 |
Marc Laufer | US | Weston | 2010-04-29 / 20100105071 - METHODS FOR PREDICTING THE ONSET OF MENARCHE | 1 |
Gabriel Laufer | US | Charlottesville | 2009-02-26 / 20090055102 - Remote sensor and in-situ sensor system for improved detection of chemicals in the atmosphere and related method thereof | 3 |
Eric David Laufer | US | New York | 2016-01-28 / 20160025840 - OPEN LOOP POWER OSCILLATOR DOPPLER RADAR | 7 |
Samuel P. Laufer | US | New York | 2009-01-08 / 20090008356 - CAP AND LINER SYSTEM FOR A CONTAINER | 1 |
Jack Laufer | US | New York | 2009-01-08 / 20090008356 - CAP AND LINER SYSTEM FOR A CONTAINER | 1 |
Lance C. Laufer | CA | Winnipeg | 2015-03-26 / 20150082727 - Interlocking Panel Assembly for Modular Building Construction | 2 |
David Laufer | US | Atlanta | 2008-08-28 / 20080206019 - Document Cover Assembly Configured For Volume Production | 1 |
Wilhelm Laufer | DE | Ellerstadt | 2016-04-07 / 20160096951 - NEW CARBODIIMIDES HAVING TERMINAL UREA AND/OR URETHANE GROUPS, METHODS FOR PRODUCING SAID CARBODIIMIDES, AND USE OF SAID CARBODIIMIDES | 23 |
Burkhardt Laufer | DE | Munchen | 2014-04-10 / 20140100172 - Cancer Imaging and Treatment | 2 |
Wolfgang Laufer | DE | Aichhalden | 2011-05-19 / 20110116909 - Ventilator | 4 |
Willhelm Laufer | DE | Ellerstadt | 2009-12-10 / 20090306332 - PREPARATION OF CAST POLYAMIDES USING SPECIAL ACTIVATORS | 1 |
Thomas Laufer | DE | Ellhofen | 2009-06-04 / 20090139231 - Turbocompound engine drive | 1 |
Albrecht Laufer | DE | Hannover | 2008-11-27 / 20080292656 - Combination of a Bacterial Cell and a Biologically Active Agent | 1 |
Martin Laufer | DE | Hasloch | 2008-10-30 / 20080266069 - Vehicle Comprising a Distance Control System | 1 |
Thomas Laufer | DE | Elhofen | 2015-01-22 / 20150020525 - Exhaust-Gas Distributor | 1 |
Zohar Laufer | US | Johns Creek | 2016-01-07 / 20160005300 - PERSONNEL PROXIMITY DETECTION AND TRACKING SYSTEM | 2 |
Shaul Baruch Laufer | IL | Petah-Tiqwa | 2013-12-05 / 20130321204 - LARGE APERTURE ANTENNA WITH NARROW ANGLE FAST BEAM STEERING | 1 |
Radoslaw Laufer | CA | Oakville | 2016-05-19 / 20160137651 - PYRAZOLOPYRIMIDINE COMPOUNDS | 12 |
Michael Laufer | DE | Freiburg | 2014-02-27 / 20140053630 - IMPACTOR AND METHOD FOR CHARACTERIZING A CARRIER GAS ENRICHED WITH SUSPENDED PARTICLES | 1 |
Wolfgang Laufer | DE | Aichalden | 2011-12-29 / 20110314862 - METHOD AND ARRANGEMENT FOR COOLING A SUBSTRATE, ESPECIALLY A SEMICONDUCTOR | 1 |
Michael Christopher Laufersweiler | US | Durham | 2013-12-19 / 20130338111 - Sulfonamide Derivatives | 3 |
Danny Thomas Lauff | US | Wildwood | 2009-10-29 / 20090269447 - HEAT-TRIGGERED COLORANTS AND METHODS OF MAKING AND USING THE SAME | 1 |
Christopher A. Lauff | US | Mcdonald | 2008-09-11 / 20080221809 - PRESSURE MONITORING SYSTEM | 3 |
Marcus Lauff | DE | Oftersheim | 2009-01-22 / 20090024937 - Method and computer system for document authoring | 1 |
Thomas Lauff | DE | Saarbruecken | 2014-01-09 / 20140012620 - CONSISTENT SET OF INTERFACES FOR BUSINESS OBJECTS ACROSS HETEROGENEOUS SYSTEMS | 3 |
Jean-Philippe Lauffenburger | FR | Rixheim | 2012-05-31 / 20120136549 - METHOD FOR THE ANTICIPATED ASCERTAINMENT OF A BEND ON A PORTION OF ROAD, AND ASSOCIATED SYSTEM | 4 |
Douglas A. Lauffenburger | US | Cambridge | 2016-03-10 / 20160067250 - Methods of Reducing Kinase Inhibitor Resistance | 7 |
Patrick Lauffenburger | FR | Vogelgrun | 2013-10-31 / 20130288555 - Nonwoven Web and Fibers with Electret Properties, Manufacturing Processes Thereof and Their Use | 1 |
James Harold Lauffenburger | US | Colorado Springs | 2014-06-19 / 20140169417 - AUTOMATIC GAIN CONTROL UNIT FOR A LOW POWER RECEIVER OR TRANSCEIVER | 1 |
Kenneth Alan Lauffenburger | US | Plano | 2012-04-12 / 20120087488 - CRYPTOGRAPHIC PROCESSOR WITH DYNAMIC UPDATE OF ENCRYPTION STATE | 2 |
Douglas Lauffenburger | US | Cambridge | 2009-12-17 / 20090311803 - Treatment Of Tumors Expressing Mutant EGF Receptors | 1 |
Peter J. Lauffenburger | US | Orrville | 2016-04-07 / 20160096053 - FIRE SUPPRESSION SYSTEM COMPONENT INTEGRATION | 1 |
Diane Lauffer | CH | Wettingen | 2014-06-05 / 20140150436 - GAS TURBINE PART COMPRISING A NEAR WALL COOLING ARRANGEMENT | 5 |
John M. Lauffer | US | Waverly | 2013-02-07 / 20130033827 - ELECTRICALLY CONDUCTIVE ADHESIVE (ECA) FOR MULTILAYER DEVICE INTERCONNECTS | 17 |
David Lauffer | US | Stow | 2015-06-04 / 20150152103 - AZAINDOLES USEFUL AS INHIBITORS OF JAK AND OTHER PROTEIN KINASES | 14 |
Randall B. Lauffer | US | Brookline | 2013-07-18 / 20130182834 - Method and System to Connect Consumers to Information | 4 |
Jean Sébastien Lauffer | FR | Damas Et Bettegney | 2013-08-29 / 20130219826 - METHOD OF MANUFACTURING MULTIPURPOSE INTEGRATED BUILDING SYSTEMS AND BUILDING SYSTEMS PUT TOGETHER FROM STRIPS OF BAMBOO, METHOD OF MANUFACTURING STRIPS OF BAMBOO | 1 |
Scott Lauffer | US | Austin | 2014-09-04 / 20140246488 - Dynamic Multidimensional Barcodes for Information Handling System Service Information | 5 |
Jason Michael Lauffer | US | Baden | 2015-03-05 / 20150062328 - Camera Based Cable Inspection System | 1 |
Scott J. Lauffer | US | Northville | 2015-12-17 / 20150360691 - REGENERATIVE-BRAKING TRANSMISSION DOWNSHIFT TORQUE LIMITING | 5 |
Matthew J. Lauffer | US | Waverly | 2011-08-18 / 20110197430 - SPRING ACTUATED CLAMPING MECHANISM | 2 |
John Lauffer | US | Santa Clarita | 2012-05-10 / 20120112109 - DIRECT DRIVE SERVOVALVE HAVING REDUNDANT DRIVE MOTORS | 3 |
Scott C. Lauffer | US | Austin | 2014-12-04 / 20140353374 - Automated Information Handling System Component Compatibility | 5 |
Nathan John Lauffer | US | North Huntingdon | 2013-07-11 / 20130179462 - Apparatus and Method for Viewing Medical Information | 1 |
Jean Sébastien Lauffer | FR | Damas Et Bettegney | 2013-08-29 / 20130219826 - METHOD OF MANUFACTURING MULTIPURPOSE INTEGRATED BUILDING SYSTEMS AND BUILDING SYSTEMS PUT TOGETHER FROM STRIPS OF BAMBOO, METHOD OF MANUFACTURING STRIPS OF BAMBOO | 1 |
David J. Lauffer | US | Stow | 2015-04-23 / 20150111871 - DNA-PK INHIBITORS | 18 |
David Lauffer | US | Stow | 2015-06-04 / 20150152103 - AZAINDOLES USEFUL AS INHIBITORS OF JAK AND OTHER PROTEIN KINASES | 14 |
Adelbert Lauffer | DE | Reutlingen | 2015-12-24 / 20150366632 - DENTAL PREPARATION INSTRUMENT HAVING A TOOL THAT ROTATES IN AN ALTERNATELY REVERSIBLE MANNER | 2 |
David J. Lauffer | US | Stow | 2015-04-23 / 20150111871 - DNA-PK INHIBITORS | 18 |
Maximilian Laufmann | CH | Zofingen | 2015-03-26 / 20150083026 - CHARGE CONTROLLED PHCH | 4 |
Tony Lee Laugen | US | Aurora | 2015-10-15 / 20150291194 - Dolly for the Transportation of Snow Sports Equipment | 1 |
Mark Lauger | DE | Pretzfeld | 2012-05-31 / 20120132019 - CHASSIS ACTUATOR | 1 |
Mark Lauger | DE | Goessweinstein | 2014-06-05 / 20140152076 - WHEEL HUB DRIVE SYSTEM | 2 |
Mark Lauger | DE | Grossweinstein | 2014-05-01 / 20140117743 - DRIVE SYSTEM WITH VENTILATION | 2 |
Frederic Laugere | GB | Bedfordshire | 2015-11-12 / 20150320942 - DISPENSE INTERFACE | 9 |
Kevin D. Laugero | US | Davis | 2013-07-18 / 20130184213 - Amylin and Amylin Agonists for Treating Psychiatric Diseases and Disorders | 9 |
Kevin D. Laugero | US | Davis | 2013-07-18 / 20130184213 - Amylin and Amylin Agonists for Treating Psychiatric Diseases and Disorders | 9 |
Caspar P. Laugesen | DK | Sydals | 2009-12-24 / 20090314615 - MOTOR OPERATOR FOR SWITCHGEAR FOR MAINS POWER DISTRIBUTION SYSTEMS | 1 |
Søren Laugesen | DK | Smorum | 2015-03-19 / 20150078600 - HEARING ASSISTANCE DEVICE COMPRISING AN INPUT TRANSDUCER SYSTEM | 1 |
Krista Lynn Laugesen | CA | Kingston | 2011-02-10 / 20110034355 - COMPOSITION COMPRISING PERFLUOROPOLYETHER | 1 |
Morten Laugesen | DK | Odense Sv | 2014-10-23 / 20140312042 - PRESSURE DELIVERY SYSTEM | 1 |
Krista Lynn Laugesen | CA | Kingston Ontario | 2011-02-10 / 20110034356 - COMPOSITION COMPRISING PERFLUOROPOLYETHER | 1 |
Esben Lauge Sørensen | DK | Hillerod | 2012-03-29 / 20120078023 - PROCESS FOR THE PREPARATION OF HYDROCARBONS FROM SYNTHESIS GAS | 3 |
James A. Laugharn, Jr. | US | Winchester | 2016-04-14 / 20160102329 - ACOUSTIC ENERGY MEDIATION OF GENETIC FRAGMENTATION | 32 |
Harry E. Laughery | US | Monroeville | 2011-12-08 / 20110297138 - COVER FOR USE WITH A FURNACE DURING A HEATING OPERATION | 1 |
Greg S. Laughlin | US | Cedar Park | 2015-10-22 / 20150301284 - ENVIRONMENTALLY RUGGED FREE-SPACE FIBER WAVEGUIDE CONNECTOR AND METHOD OF MANUFACTURE THEREOF | 1 |
Robert B. Laughlin | US | Stanford | 2015-09-17 / 20150260463 - SYSTEMS AND METHODS FOR ENERGY STORAGE AND RETRIEVAL | 1 |
Daric Laughlin | US | Overland Park | 2014-08-07 / 20140219603 - OPTICAL INTERCONNECT ASSEMBLY | 1 |
Raymond S. Laughlin | US | Middlefield | 2015-10-01 / 20150275956 - CYLINDRICAL OBJECT LOCKING DEVICE AND METHOD | 9 |
Paul Jonathan Laughlin | GB | Houghton-Le-Spring | 2010-03-11 / 20100062148 - Electrically Responsive Composite Material, a Method of Manufacture and a Transducer Produced Using Said Material | 1 |
Isaac Lemon Laughlin | US | Los Angeles | 2015-05-14 / 20150134422 - SYSTEM, METHOD AND COMPUTER PROGRAM FOR VARYING AFFILIATE POSITIONDISPLAYED BY INTERMEDIARY | 8 |
Henry J. Laughlin | US | Huber Heights | 2008-10-23 / 20080257836 - CENTRIFUGAL SEPARATOR AND METHOD FOR SEPARATING HEAVY AND LIGHT MATTER IN A SUBSTANCE | 1 |
Richard Laughlin | US | Beavercreek | 2011-02-10 / 20110035019 - TOTAL ANKLE REPLACEMENT SYSTEM | 1 |
Craig Laughlin | US | Copper Canyon | 2014-09-11 / 20140252571 - WAFER-LEVEL PACKAGE MITIGATED UNDERCUT | 1 |
Mary J. Laughlin | US | Shaker Heights | 2012-01-26 / 20120022130 - METHOD OF REGULATING NFATc2 ACTIVITY IN LYMPHOCYTES | 2 |
Steven D. Laughlin | US | Katy | 2015-05-14 / 20150129660 - METHOD AND APPARATUS FOR RETAINING AN ELECTRONIC TAG ON A DOWNHOLE TOOL | 2 |
Brian J. Laughlin | US | Apex | 2016-04-21 / 20160108255 - THICK-FILM PASTE CONTAINING LEAD-TUNGSTEN-BASED OXIDE AND ITS USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES | 30 |
Joseph Laughlin | US | Clayton | 2012-03-08 / 20120054955 - FLOATING POOL SYSTEM | 1 |
David E. Laughlin | US | Pittsburgh | 2012-11-08 / 20120281512 - BINARY ANISOTROPY MEDIA | 2 |
Matthew Laughlin | US | Louisville | 2013-06-27 / 20130160882 - DEVICE FOR INJECTING GAS INTO THE TUBES OF A CHEMICAL REACTOR | 3 |
John David Laughlin | US | Jupiter | 2015-06-25 / 20150174221 - SYSTEMS, COMPOSITIONS, AND METHODS FOR TRANSPLANTATION | 2 |
Derek Laughlin | US | 2009-01-08 / 20090011522 - Semiconductor Device Package Disassembly | 1 | |
Greg Laughlin | US | San Francisco | 2014-02-06 / 20140039985 - EVALUATING A WORKER IN PERFORMING CROWD SOURCED TASKS AND PROVIDING IN-TASK TRAINING THROUGH PROGRAMMATICALLY GENERATED TEST TASKS | 3 |
Chetley T. Laughlin | US | Kirkland | 2013-05-02 / 20130111368 - CREATING AND MAINTAINING IMAGES OF BROWSED DOCUMENTS | 3 |
Chet Laughlin | US | Kirkland | 2009-06-11 / 20090150322 - Predicting Candidates Using Information Sources | 1 |
Donald J. Laughlin | US | Laughlin | 2011-06-23 / 20110148038 - APPARATUS FOR DETECTING PLAYING CARD RANKS AND METHOD OF USE | 1 |
Mary J. Laughlin | US | Cleveland | 2016-03-03 / 20160060623 - METHOD OF REGULATING NFATC2 ACTIVITY IN LYMPHOCYTES | 1 |
David P. Laughlin | US | Mooresville | 2014-05-15 / 20140135671 - INFLATABLE FOOT CUSHION | 3 |
Kevin L. Laughlin | US | Fort Wayne | 2011-01-27 / 20110021135 - RF REDIRECTION MODULE AND SYSTEM INCORPORATING THE RF REDIRECTION MODULE | 1 |
Isaac Lemon Laughlin | US | Los Angeles | 2015-05-14 / 20150134422 - SYSTEM, METHOD AND COMPUTER PROGRAM FOR VARYING AFFILIATE POSITIONDISPLAYED BY INTERMEDIARY | 8 |
Alex A. Laughlin | US | Granbury | 2015-12-17 / 20150362106 - Coupling Retainer, Retainer Method, and Stabilized Coupling | 1 |
Edward Laughlin | US | Lowell | 2015-03-05 / 20150060634 - Impact-Absorbing Wire and Cable Fixture, Sytem, and Related Methods | 7 |
Mary J. Laughlin | US | Charlottesville | 2013-09-12 / 20130236425 - COMPOSITIONS AND METHODS FOR CXCR4 SIGNALING AND UMBILICAL CORD BLOOD STEM CELL ENGRAFTMENT | 1 |
Leo Laughlin | GB | Bristol Avon | 2015-08-06 / 20150222412 - DUPLEXING APPARATUS, WIRELESS DEVICES AND RELATED METHODS | 1 |
Brian C. Laughlin | US | Brownsburg | 2010-04-01 / 20100078550 - Method and apparatus for embedded heater for desorption and ionization of analytes | 1 |
Chetley T. Laughlin | US | Seattle | 2014-09-11 / 20140258347 - GROUPING FILES FOR OPTIMIZED FILE OPERATIONS | 2 |
Matthew J. Laughlin | US | Boulder | 2013-10-10 / 20130265314 - METHOD AND APPARATUS FOR CAPTURING AND ANALYZING REAL-TIME USER SENTIMENT FOR AN EVENT | 1 |
Brian D. Laughlin | US | Wichita | 2014-12-04 / 20140359540 - UBIQUITOUS NATURAL USER SYSTEM FOR HUMAN-MACHINE INTERACTION | 3 |
Stephen Laughlin | US | New Paltz | 2014-11-27 / 20140349540 - Structural Reinforcement Design for Model Aircraft | 1 |
Gordon J. Laughlin | US | Gilbert | 2014-10-09 / 20140302869 - BEACON AND ASSOCIATED COMPONENTS FOR A RANGING SYSTEM | 1 |
Scott Laughlin | CA | Montreal | 2015-12-24 / 20150373554 - METHODS AND APPARATUS FOR SPECTRUM COORDINATION | 11 |
Patrick John Laughlin | US | Thousand Oaks | 2016-03-17 / 20160079702 - Anti-Decoupling Spring | 5 |
James D. Laughlin | US | Burlington | 2015-05-21 / 20150135419 - GOGGLES WITH DETACHABLE MASK | 2 |
Mark Laughlin | US | Sunnyvale | 2010-10-14 / 20100261739 - Method of Treating Non-Small Cell Lung Cancer | 6 |
Michael Keith Laughlin | US | Phoenix | 2011-10-06 / 20110246248 - SYSTEM AND METHOD FOR PROCESSING TRIP REQUESTS | 2 |
Brian Dale Laughlin | US | Wichita | 2015-03-26 / 20150084989 - PORTABLE AUGMENTED REALITY | 4 |
Mary J. Laughlin | US | Crozet | 2014-04-03 / 20140094444 - COMPOSITIONS AND METHODS FOR TISSUE ENGINEERING AND CELL BASED THERAPIES | 1 |
Joseph S. Laughlin | US | Redmond | 2008-11-06 / 20080276248 - Visibility-aware services | 1 |
Scott Laughlin | US | Vienna | 2008-11-20 / 20080288331 - SYSTEM AND METHOD FOR ANALYSIS AND VISUAL REPRESENTATION OF BRAND PERFORMANCE INFORMATION | 1 |
Raymond Scott Laughlin | US | Middlefield | 2014-04-17 / 20140103180 - ELECTRICAL BOX MOUNTING BRACKET WITH RAILS | 2 |
Darren R. Laughlin | US | Albuquerque | 2010-04-08 / 20100088063 - Method and Apparatus for Precision Azimuth Measurement | 1 |
Daniel B. Laughlin | US | Overland Park | 2015-12-31 / 20150374267 - AUTOMATIC RESET OF PHYSICAL PERFORMANCE INFORMATION | 1 |
David Laughlin | US | Pittsburgh | 2010-10-21 / 20100265028 - SOFT MAGNETIC ALLOY AND USES THEREOF | 1 |
John P. Laughlin | US | Tucson | 2016-04-21 / 20160107267 - SOLDER ALLOY | 2 |
Randall B. Laughlin | US | Carlisle | 2012-02-16 / 20120041827 - Demand Driven Avails | 1 |
Sharon M. Laughlin | US | Hudson | 2015-07-16 / 20150196502 - FORMULATIONS, SALTS AND POLYMORPHS OF TRANSNORSERTRALINE AND USES THEREOF | 3 |
Richard H. Laughlin | US | Grapevine | 2009-01-01 / 20090003654 - SINGLE-APERATURE PASSIVE RANGEFINDER AND METHOD OF DETERMINING A RANGE | 2 |
Marianne Laughlin | US | Arlington Heights | 2012-05-17 / 20120118210 - Fold away desk (FAD) information station/desk caddy | 1 |
Henry Laughlin | US | St. Louis | 2013-06-20 / 20130156621 - Lance Pump with a Ram | 1 |
Paul Jonathan Laughlin | GB | Brompton On Swale | 2014-04-24 / 20140109698 - COMPOSITE MATERIAL | 1 |
Kenneth W. Laughlin | US | Broomfield | 2011-03-31 / 20110076359 - REMOVING GAS ADDITIVES FROM RAW MILK | 1 |
Paul Laughlin | GB | Tyne And Wear | 2011-10-20 / 20110253948 - Polymer Composition | 1 |
Richard H. Laughlin | US | Tyler | 2015-10-22 / 20150301284 - ENVIRONMENTALLY RUGGED FREE-SPACE FIBER WAVEGUIDE CONNECTOR AND METHOD OF MANUFACTURE THEREOF | 4 |
Mary Laughlin | US | Vancouver | 2016-05-05 / 20160123856 - RNA/PRTEIN/DNA PREFERENTIAL FLUID SAMPLE COLLECTION SYSTEM AND METHODS | 1 |
Scott Laughlin | CA | Montreal | 2015-12-24 / 20150373554 - METHODS AND APPARATUS FOR SPECTRUM COORDINATION | 11 |
Mark Laughlin | IE | Wexford | 2012-01-19 / 20120013688 - INK CONTAINER TO SEPARATE UNWANTED PARTICLES FROM INK | 1 |
Michael A. Laughlin | US | Philadelphia | 2015-09-17 / 20150259259 - PROCESS FOR MANUFACTURING LIQUID AND SOLID ORGANIC FERTILIZER FROM ANIMAL WASTE | 1 |
Dennis Laughlin | US | Chardon | 2015-06-11 / 20150159908 - FOLDABLE, BOOT LOADABLE, INSERTABLE AIR DAMPER DEVICE | 3 |
Leo J. Laughlin | US | Pella | 2014-09-11 / 20140251761 - WING PULLEY HAVING CENTRAL REINFORCING DISK | 3 |
Steven Karl Laughlin | US | Independence | 2014-06-26 / 20140179875 - SULFURIZATION REAGENTS ON SOLID SUPPORTS | 1 |
Steven Karl Laughlin | US | Taylor Mill | 2009-03-12 / 20090069355 - Bicyclic pyrazolone cytokine inhibitors | 1 |
Kenneth Bruce Laughlin | US | Maple Glen | 2009-05-28 / 20090137746 - Method for making polymers | 1 |
Raymond S. Laughlin | US | Middlefield | 2015-10-01 / 20150275956 - CYLINDRICAL OBJECT LOCKING DEVICE AND METHOD | 9 |
Kenneth W. Laughlin | US | Bloomfield | 2011-06-16 / 20110139670 - FOOD PACKAGING CLOSURE WITH AN OXYGEN SCRUBBING FUNCTION | 1 |
Leo Timothy Laughlin, Ii | US | Mason | 2016-03-17 / 20160077082 - COMPOSITIONS AND METHODS FOR INHIBITING HMGB1 ACTIVATION OF MELANOCYTES | 20 |
Kelly Laughlin-Parker | US | Waukesha | 2015-09-10 / 20150256218 - Apparatus And Method For Priority Addressing And Message Handling In A Fixed Meter Reading Network | 5 |
Christopher Laughman | US | Waltham | 2015-12-10 / 20150354877 - System and Method for Controlling of Vapor Compression System | 8 |
Christopher Reed Laughman | US | Waltham | 2014-11-13 / 20140333615 - Method For Reconstructing 3D Scenes From 2D Images | 1 |
Jamie L. Laughman | US | East Berlin | 2015-03-05 / 20150060538 - METHOD FOR MANUFACTURING A DUAL-PURPOSE CONTAINER AND A CONTAINER PRE-ASSEMBLY HAVING A TEAR-OUT SECTION, AND THE PRE-ASSEMBLY AND THE CONTAINER | 4 |
Christopher Laughman | US | Waltham | 2015-12-10 / 20150354877 - System and Method for Controlling of Vapor Compression System | 8 |
Lanny Laughman | US | Bolton | 2012-02-09 / 20120033703 - HERMETICALLY-SEALED RF FEED-THROUGH WITH INTEGRATED CAPACITOR | 7 |
Michael P. Laughner | US | Cary | 2015-02-19 / 20150048393 - HIGH DENSITY MULTI-CHIP LED DEVICES | 5 |
Lisa Mae Laughner | US | Indianapolis | 2012-05-03 / 20120109763 - Mobile Charging of Electric Vehicles Off the Electric Power Grid | 2 |
Jacob I. Laughner | US | St. Paul | 2015-12-24 / 20150366476 - MEDICAL DEVICES FOR MAPPING CARDIAC TISSUE | 11 |
Jacob I. Laughner | US | St. Louis | 2011-04-28 / 20110098774 - ESTIMATION OF DEDICATED BIPOLAR PACING VECTOR THRESHOLD | 3 |
Jacob I. Laughner | US | St. Paul | 2015-12-24 / 20150366476 - MEDICAL DEVICES FOR MAPPING CARDIAC TISSUE | 11 |
Jacob Laughner | US | St. Paul | 2014-11-13 / 20140336518 - SYSTEM FOR IDENTIFYING ROTOR PROPAGATION VECTORS | 1 |
Lisa M. Laughner | US | Indianapolis | 2011-02-10 / 20110035020 - PROSTHETIC IMPLANT AND METHOD FOR FORMING A PROSTHETIC IMPLANT | 1 |
Michael Laughner | US | Clayton | 2015-01-29 / 20150028354 - Silicon Carbide Devices Having Smooth Channels | 3 |
Jacob L. Laughner | US | St. Louis | 2010-12-02 / 20100305647 - Activity Sensor Processing for Phrenic Nerve Activation Detection | 3 |
Bruce E. Laughter | US | Monroe | 2009-12-03 / 20090293425 - SYSTEMS FOR MONITORING AND CONTROLLING USAGE OF MATERIALS | 1 |
Andrew James Laughton | US | Ellicott City | 2015-11-12 / 20150321885 - Elevator Roller Guide | 1 |
Arthur Laughton | GB | Cambridge | 2015-10-22 / 20150301962 - REORDER BUFFER PERMITTING PARALLEL PROCESSING OPERATIONS WITH REPAIR ON ORDERING HAZARD DETECTION WITHIN INTERCONNECT CIRCUITRY | 2 |
Arthur Laughton | GB | Hope Valley | 2013-08-29 / 20130227186 - TRANSACTION ROUTING DEVICE AND METHOD FOR ROUTING TRANSACTIONS IN AN INTEGRATED CIRCUIT | 2 |
Peter Gordon Laughton | GB | Newport | 2011-01-20 / 20110014641 - METHOD FOR MEASURING AROMATASE ACTIVITY | 1 |
Arthur Laughton | GB | Sheffield | 2016-03-03 / 20160062890 - COHERENCY CHECKING OF INVALIDATE TRANSACTIONS CAUSED BY SNOOP FILTER EVICTION IN AN INTEGRATED CIRCUIT | 2 |
Asa Jay Laughton | US | Medical Lake | 2016-03-17 / 20160080837 - METHODS AND APPARATUS TO LOCATE UTILITY METER ENDPOINTS OF INTEREST | 1 |
Arthur Brian Laughton | GB | Sheffield | 2016-04-14 / 20160103776 - TRANSACTION RESPONSE MODIFICATION WITHIN INTERCONNECT CIRCUITRY | 1 |
Charles Anthony Laughton | GB | Leicestershire | 2014-09-04 / 20140249787 - METHOD OF EXPLORING THE FLEXIBILITY OF MACROMOLECULAR TARGETS AND ITS USE IN RATIONAL DRUG DESIGN | 1 |
Trevor John Laughton | NZ | Tai Tapu | 2015-03-26 / 20150085799 - DATA RATES IN MOBILE RADIO SYSTEMS | 1 |
Peter Gordon Laughton | GB | Cardiff | 2010-09-30 / 20100249385 - WATER-SOLUBLE FLUORO-SUBSTITUTED CYANINE DYES AS REACTIVE FLUORESCENCE LABELLING REAGENTS | 1 |
Jeffrey Chatfield Laughton | US | Charlotte | 2014-02-06 / 20140040227 - Method and Apparatus for Locating Phishing Kits | 2 |
Christelle Laugier | FR | Rives | 2010-07-22 / 20100183954 - WATER MANAGEMENT IN A FUEL CELL | 2 |
Maxime Laugier | FR | Montigny-Les-Metz | 2014-04-24 / 20140109640 - METHOD OF SUPPLYING LUBRICATION OIL IN COLD ROLLING | 1 |
Christian Laugier | FR | Montbonnot Saint-Martin | 2016-02-04 / 20160035220 - Method and System to Assess Abnormal Driving Behaviour of Vehicles Travelling on Road | 2 |
Elizabeth Laugier | CH | Geneve | 2013-01-03 / 20130004546 - HYDROGEL OF MICROSPHERES | 1 |
Jean-Paul Laugier | FR | Paris | 2013-04-25 / 20130102827 - METHOD FOR TREATING A CRACKED GAS STREAM FROM A HYDROCARBON PYROLYSIS INSTALLATION AND INSTALLATION ASSOCIATED THEREWITH | 2 |
Christian Laugier | FR | Grenoble | 2008-10-16 / 20080252433 - Vehicle Driving Aid and Method and Improved Related Device | 1 |
Elisabeth Laugier | CH | Geneve | 2010-07-22 / 20100183724 - Hydrogel of Chitosan Carboxyalkylamide, Preparation Thereof and Cosmetic and Dermatological Use Thereof | 1 |
Pascal Laugier | FR | Paris | 2012-05-10 / 20120111116 - ULTRASOUND METHOD AND DEVICE FOR CHARACTERIZING AN ENVIRONMENT | 1 |
Elisabeth Laugier-Laglenne | FR | Paris | 2010-09-02 / 20100221684 - IMPLANT FOR SUBCUTANEOUS OR INTRADERMAL INJECTION | 1 |
Bettina Laugwitz | DE | Ludwigshafen | 2011-06-16 / 20110145738 - NESTED ROADMAP NAVIGATION IN A GRAPHICAL USER INTERFACE | 2 |
Christian Lauinger | DE | Baden-Baden | 2009-06-11 / 20090145679 - Method for controlling the operation of a generator in a vehicle power train, and a vehicle power train | 2 |
Joseph Lauinger | US | San Diego | 2016-02-04 / 20160030152 - ENDOLUMINAL FILTER HAVING ENHANCED ECHOGENIC PROPERTIES | 8 |
Thomas Lauinger | DE | Niederberg | 2012-05-03 / 20120103399 - PHOTOVOLTAIC MODULE AND PHOTOVOLTAIC DEVICE | 1 |
Thomas Lauinger | DE | Niedemberg | 2011-11-03 / 20110266876 - POWER SUPPLY SYSTEM AND PHOTOVOLTAIC DEVICE THEREFOR | 1 |
Joseph Lauinger | US | San Diego | 2016-02-04 / 20160030152 - ENDOLUMINAL FILTER HAVING ENHANCED ECHOGENIC PROPERTIES | 8 |
Thomas Lauinger | DE | Karlsruhe | 2014-02-13 / 20140041361 - SYSTEM, APPARATUS AND METHOD FOR QUICK WARM-UP OF A MOTOR VEHICLE | 1 |
Joe Lauinger | US | San Diego | 2014-09-18 / 20140276024 - IMAGING AND DELIVERING THROMBOLYTIC AGENTS TO BIOLOGICAL MATERIAL INSIDE A VESSEL | 1 |
Geoffrey A. Lauinger | US | Campbell | 2009-05-14 / 20090122446 - Data storage tape guiding system | 1 |
Urs Lauk | FR | Magstatt-Le-Haut | 2015-04-09 / 20150096132 - Anthraquinone Azo Dyes | 2 |
Urs Lauk | CH | Zurich | 2014-07-17 / 20140196224 - Phthalimidyl-Azo Dyes, Process for Their Preparation and Their Use | 3 |
Michael Lauk | DE | Freiburg | 2012-09-27 / 20120240932 - BREATHING APPARATUS | 1 |
Brenda Lauk | CA | Vancouver | 2011-07-07 / 20110166523 - NASAL IRRIGATION DEVICE | 2 |
Urs Lauk | FR | Magstattle-Haut | 2015-07-16 / 20150197637 - Disperse Azo Dyes, A Process for the Preparation Thereof and the Use Thereof | 1 |
Urs Lauk | FR | Magstatt-Le_haut | 2011-08-18 / 20110197377 - AZO DYES, A PROCESS FOR THE PREPARATION THEREOF AND THE USE THEREOF | 1 |
Joachim Lauk | DE | Bodenheim | 2012-05-03 / 20120107649 - BATTERY THERMAL SYSTEM WITH INTERLOCKING STRUCURE COMPONENTS | 1 |
Detlef Lauk | DE | Renchen | 2015-08-20 / 20150236552 - ELECTRICAL MACHINE FOR ADJUSTING MOVING PARTS IN A MOTOR VEHICLE BY MOTOR, AND ALSO METHOD FOR PRODUCING THE ELECTRICAL MACHINE | 13 |
Urs Lauk | CH | Magstatt-Le-Haut | 2010-08-05 / 20100196676 - AZO DYES | 1 |
Artur Laukart | DE | Braunschweig | 2012-05-31 / 20120135144 - COATING DEVICE AND COATING METHOD | 5 |
Sheryl Laukat | US | Sandy | 2015-08-27 / 20150243265 - MUSICAL INSTRUMENT VIBRATIONAL ENERGY MODIFICATION APPARATUS AND SYSTEM | 1 |
Tevis Laukat | US | Sandy | 2015-08-27 / 20150243265 - MUSICAL INSTRUMENT VIBRATIONAL ENERGY MODIFICATION APPARATUS AND SYSTEM | 2 |
Ryan Laukat | US | Cottonwood Heights | 2015-08-27 / 20150243265 - MUSICAL INSTRUMENT VIBRATIONAL ENERGY MODIFICATION APPARATUS AND SYSTEM | 1 |
Dieter Laukemann | DE | Vellberg | 2010-06-17 / 20100147641 - COOLING SYSTEM WITH A DRIVE MOTOR AND A HYDRODYNAMIC MACHINE | 3 |
Dieter Laukemann | DE | Crailsheim | 2016-03-24 / 20160084332 - METHOD FOR CONTROLLING A HYDRODYNAMIC RETARDER THAT CAN BE MECHANICALLY DISENGAGED BY A DISCONNECT CLUTCH | 17 |
Dieter Laukemann | DE | Crailsheim | 2016-03-24 / 20160084332 - METHOD FOR CONTROLLING A HYDRODYNAMIC RETARDER THAT CAN BE MECHANICALLY DISENGAGED BY A DISCONNECT CLUTCH | 17 |
Debby Laukens | BE | Brecht | 2015-06-11 / 20150158940 - USE OF ANTAGONISTS TARGETING METALLOTHIONEIN TO TREAT INTESTINAL INFLAMMATION | 2 |
Vladimir Laukhin | ES | Barcelona | 2009-12-10 / 20090302279 - Method of Obtaining Patterns In an Organic Conductor Substrate and Organic Material Thus Obtained | 1 |
Vladimir Laukhin | ES | Bellaterra | 2010-02-04 / 20100028209 - ORGANIC SENSOR DEVICE AND ITS APPLICATIONS | 1 |
Vladimir Laukhin | US | 2009-01-15 / 20090016097 - MAGNETOELECTRIC DEVICE AND METHOD FOR WRITING NON-VOLATILE INFORMATION INTO SAID MAGNETOELECTRIC DEVICE | 1 | |
Vladimer Laukhin | ES | Barcelona | 2011-07-28 / 20110184271 - SENSOR CONTACT LENS, SYSTEM FOR THE NON-INVASIVE MONITORING OF INTRAOCULAR PRESSURE AND METHOD FOR MEASURING SAME | 1 |
Elena Laukhina | ES | Zaragora | 2011-07-28 / 20110184271 - SENSOR CONTACT LENS, SYSTEM FOR THE NON-INVASIVE MONITORING OF INTRAOCULAR PRESSURE AND METHOD FOR MEASURING SAME | 1 |
Elena E. Laukhina | ES | Bellaterra | 2009-12-10 / 20090302279 - Method of Obtaining Patterns In an Organic Conductor Substrate and Organic Material Thus Obtained | 1 |
Elena Laukhina | ES | Bellaterra | 2010-02-04 / 20100028209 - ORGANIC SENSOR DEVICE AND ITS APPLICATIONS | 1 |
Gregg E. Laukhuf | US | Bryan | 2013-10-10 / 20130263515 - HOLE SEAL | 2 |
Barbara L. Laukhuff | US | Mount Joy | 2015-06-25 / 20150176291 - METHODS FOR ENCAPSULATING A SUBSTRATE AND PRODUCTS PRODUCED FROM SAME | 2 |
Pasi Laukka | FI | Espoo | 2012-04-19 / 20120090171 - METHOD FOR MANUFACTURING A RADIATION IMAGING PANEL COMPRISING IMAGING TILES | 1 |
Pasi Juhani Laukka | FI | Espoo | 2015-10-01 / 20150279890 - SEMICONDUCTOR BUMP-BONDED X-RAY IMAGING DEVICE | 2 |
Harold Weldon Laukka | US | Westland | 2012-04-12 / 20120085375 - Unique hopper cleaning unit | 1 |
Gudrun S. Laukka | FR | Lent | 2015-10-29 / 20150308133 - PLUG FOR PLASTERBOARD REPAIR | 1 |
Marja-Leena Laukkanen | FI | Espoo | 2014-02-13 / 20140044744 - NOVEL HYPOALLERGENS | 6 |
Antti Laukkanen | FI | Helsinki | 2015-12-24 / 20150367024 - NANOFIBRILLAR POLYSACCHARIDE FOR USE IN THE CONTROL AND PREVENTION OF CONTRACTION AND SCARRING | 19 |
Tapio Laukkanen | FI | Espoo | 2015-10-15 / 20150289827 - CT APPARATUS FOR IMAGING CRANIAL ANATOMIES | 7 |
Kari Laukkanen | FI | Espoo | 2008-10-09 / 20080246230 - Seal for Measuring Device | 1 |
Antti Laukkanen | FI | Helsinki | 2015-12-24 / 20150367024 - NANOFIBRILLAR POLYSACCHARIDE FOR USE IN THE CONTROL AND PREVENTION OF CONTRACTION AND SCARRING | 19 |
Johanna Laukkanen | FI | Rajamaki | 2008-12-25 / 20080316777 - Method for thermal protection of frequency converter and a frequency converter | 1 |
Jussi Laukkanen | FI | Helskink | 2010-03-11 / 20100064045 - HANDING A REQUEST RELATING TO A SERVICE | 1 |
Petri Laukkanen | FI | Salo | 2014-01-23 / 20140022794 - LENS ARRANGEMENT AND ILLUMINATOR HOUSING | 1 |
Joona Laukkanen | FI | Tampere | 2014-09-18 / 20140282229 - SYSTEM AND METHOD FOR COOPERATIVE SHARING OF RESOURCES OF AN ENVIRONMENT | 2 |
Ari Laukkanen | FI | Espoo | 2015-06-04 / 20150156813 - METHOD AND APPARATUS FOR MANAGEMENT OF CELLULAR CONNECTIONS | 2 |
Juha Laukkanen | FI | Kempele | 2016-03-31 / 20160095012 - System and Method for Interference Detection | 2 |
Ville Laukkanen | FI | Espoo | 2013-08-29 / 20130219986 - METHOD AND APPARATUS FOR CALIBRATING A FLOW METER | 1 |
Jukka Laukkanen | FI | Teralahti | 2010-01-14 / 20100009669 - MANAGEMENT OF MOBILE STATION MEMORY CONTENT | 1 |
Risto Laukkanen | FI | Savonlinna | 2010-03-25 / 20100071189 - METHOD FOR PLACING HEADERS AND DEVICE FOR IMPLEMENTING METHOD | 1 |
Arto Laukkanen | FI | Kotka | 2013-08-08 / 20130199746 - APPARATUS FOR MIXING A SUBSTANCE INTO A MEDIUM | 1 |
Pekka Laukkanen | FI | Turku | 2016-02-18 / 20160049295 - METHOD FOR TREATING A SUBSTRATE AND A SUBSTRATE | 2 |
Imants R. Lauks | CA | Rockcliffe Park | 2010-09-23 / 20100240136 - APPARATUS AND METHOD FOR ASSAYING COAGULATION IN FLUID SAMPLES | 2 |
Imants Lauks | CA | Ottawa | 2013-10-24 / 20130277215 - DIAGNOSTIC DEVICES INCORPORATING FLUIDICS AND METHODS OF MANUFACTURE | 5 |
Imants R. Lauks | CA | Ottawa | 2012-12-06 / 20120305409 - Apparatus and Methods for Analyte Measurement and Immunoassay | 3 |
Fabien Laulagnet | FR | Fontaine | 2013-04-04 / 20130082192 - LITHOGRAPHY METHOD AND DEVICE | 5 |
Vincent Laulagnet | DK | Frederiskberg | 2013-01-10 / 20130013270 - Methods, Devices and Computer Program Products Providing for Establishing a Model for Emulating a Physical Quantity Which Depends on at Least One Input Parameter, and Use Thereof | 1 |
Fabien Laulagnet | FR | Grenoble | 2008-10-23 / 20080260983 - Irreversible Optical Recording Medium Comprising A Track with Low Raised Zones And Method For Using Same | 1 |
Mikko Laulainen | FI | Helsinki | 2012-11-29 / 20120300889 - METHOD AND A DEVICE FOR CONTROLLING FREQUENCY SYNCHRONIZATION | 13 |
Francois Laulanet | BE | Bruxelles (neder-Over Heembeek) | 2008-08-21 / 20080197794 - METHOD AND APPARATUS FOR DRIVING A DC MOTOR | 1 |
Francois Laulanet | BE | Bruxelles | 2012-05-31 / 20120133356 - AUTO-CALIBRATING A MAGNETIC FIELD SENSOR | 2 |
Francois Laulanet | BE | Neder-Over-Heembeek | 2014-11-27 / 20140346956 - METHOD OF FORMING A SEMICONDUCTOR DEVICE AND STRUCTURE THEREFOR | 2 |
Bryan Laulicht | US | Danbury | 2016-03-10 / 20160069913 - MICROFLUIDIC CHIP-BASED, UNIVERSAL COAGULATION ASSAY | 1 |
Bryan Laulicht | US | 2016-01-21 / 20160020436 - Safely Ingestible Batteries | 1 | |
Bryan E. Laulicht | US | Roslyn Heights | 2011-09-15 / 20110221083 - POLYMER-BASED MICROSTRUCTURES | 1 |
Bryan Laulicht | US | Cambridge | 2015-07-30 / 20150209509 - METHODS AND DEVICES FOR INSERTING A NEEDLE | 4 |
Bryan Laulicht | US | Great Neck | 2012-07-12 / 20120179031 - METHODS AND SYSTEMS FOR PROLONGED LOCALIZATION OF DRUG DELIVERY | 1 |
Bryan E. Laulicht | US | Danbury | 2015-12-31 / 20150374825 - Stable Glucagon Formulations | 7 |
Bryan E. Laulicht | US | Cambridge | 2013-05-30 / 20130137702 - ANTICOAGULANT REVERSAL AGENTS | 1 |
Ulla Laulumaa | FI | Rajamaki | 2013-12-12 / 20130331536 - Method of Producing Composite Materials | 1 |
Brian C. Lauman | US | Clearwater | 2013-07-25 / 20130186828 - METHOD FOR POWERING PORTABLE DIALYSIS MACHINE | 2 |
Kevin Lauman | CA | Calgary | 2012-12-13 / 20120312755 - MOBILE CLARIFIER AND SLUDGE DEWATERING SYSTEM FOR ONSITE WASTE WATER TREATMENT | 1 |
Brian Lauman | US | Clearwater | 2015-07-16 / 20150196700 - SYSTEMS AND METHODS FOR PERFORMING PERITONEAL DIALYSIS | 10 |
Brian Lauman | US | Clearwater | 2015-07-16 / 20150196700 - SYSTEMS AND METHODS FOR PERFORMING PERITONEAL DIALYSIS | 10 |
Bruce E. Laumann | US | Crown Point | 2009-08-06 / 20090194187 - Application of Hydraulic Friction Reducing Internal Diameter Coatings for Fire Protection Piping | 1 |
Gisela Laumann | DE | Münster | 2008-09-18 / 20080223256 - Chromium-Free, Low Zinc, Corrosion-Inhibiting Pigment Mixture, Process For Preparing It, and Its Use | 1 |
Gisela Laumann | DE | Munster | 2009-11-26 / 20090291220 - COATING COMPOSITION COMPRISING CALCIUM HYDROGEN-PHOSPHATE, PROCESSES FOR PREPARING IT, ITS USE AND SUBSTRATES COATED WITH IT | 2 |
Bruce Laumann | US | Crown Point | 2010-01-14 / 20100006172 - INTERNAL DIAMETER COATINGS FOR FIRE PROTECTION PIPING | 1 |
Stefan Laumann | AT | Eisenstadt | 2009-11-26 / 20090291297 - Method for producing clathrate compounds | 1 |
Alfons Laumann | DE | Gifhorn | 2012-05-17 / 20120119485 - PLUG-IN CONNECTOR | 1 |
Jacqueline A. Laumann | US | Wales | 2011-09-15 / 20110223301 - Methods for Reducing Soluble Metal Ions in Diatomaceous Earth Filter Aids | 1 |
Andreas Laumann | DE | Munchen | 2016-05-05 / 20160126545 - PROCESS FOR THE PREPARATION OF LITHIUM TITANIUM SPINEL AND ITS USE | 4 |
Andreas Laumann | DE | Muenchen | 2014-10-23 / 20140312269 - Doped Lithium Titanium Spinel Compound And Electrode Comprising Same | 1 |
Tim Laumann | US | St. Louis | 2013-05-16 / 20130123607 - SYSTEM AND METHOD FOR TASK-LESS MAPPING OF BRAIN ACTIVITY | 1 |
Sandeep Laumas | US | Old Greenwich | 2010-04-08 / 20100086113 - SKIN CURED PTFE WIRE AND CABLE | 1 |
Jason D. Laumb | US | Grand Forks | 2009-02-19 / 20090047200 - MULTIFUNCTIONAL ABATEMENT OF AIR POLLUTANTS IN FLUE GAS | 1 |
Ludger Laumeier | DE | Rietberg | 2014-12-18 / 20140366500 - Rechopper | 3 |
Marc Laumeier | DE | Stuckendamm | 2011-07-14 / 20110169294 - VEHICLE SEAT EASY ENTRY ASSEMBLY WITH POSITION MEMORY | 1 |
Marc Laumeier | DE | Langenberg-Benteler | 2015-12-24 / 20150367754 - SEAT TRACK ASSEMBLY HAVING LOAD ABSORPTION FEATURES | 1 |
Marc Laumeier | DE | Langenberg | 2012-03-29 / 20120074287 - Adjustable Seat Track Having Track Engagement Structure | 6 |
Kurt Laumen | DE | March | 2010-02-18 / 20100041918 - CYCLOPENTENE DIOL MONOACETATE DERIVATIVES | 4 |
Josef Laumen | DE | Eching | 2014-08-07 / 20140221027 - METHOD FOR TRANSMITTING MESSAGES IN A TELECOMMUNICATIONS NETWORK | 1 |
Josef Laumen | DE | Hildesheim | 2015-06-04 / 20150156305 - TRANSCEIVER WITH MESSAGE NOTIFICATION | 12 |
Josef Laumen | DE | Munchen | 2008-11-27 / 20080293441 - Method for Retrieving and Delivering Multimedia Messages Using the Session Initiation Protocol | 1 |
Hermann-Josef Laumen | DE | Heinsberg | 2009-06-18 / 20090152375 - Fuel injector | 2 |
Josef Laumen | DE | Munich | 2013-10-31 / 20130288736 - MANAGEMENT OF DYNAMIC GROUPS IN A COMMUNICATION SYSTEM | 3 |
Heinz Laumen | DE | Gangelt | 2013-10-24 / 20130281124 - METHOD FOR THE AUTOMATED DETECTION OF THE LOCAL POSITION OF A PERSON | 1 |
Christoph Laumen | DE | Munich | 2010-07-08 / 20100170319 - METHOD FOR PRESS HARDENING OF METALS | 4 |
Rolland Laumer | DE | Regensburg | 2011-03-17 / 20110061343 - Method and Device for Stretch Blow Molding or Blow Molding and Filling Sterile Containers | 1 |
Xaver Laumer | DE | Schorndorf | 2014-11-13 / 20140333410 - OVERLOAD RELEASE, IN PARTICULAR FOR A CIRCUIT BREAKER | 2 |
James W. Laumer | US | White Bear Lake | 2016-05-05 / 20160124139 - REFLECTIVE TRAY FOR A BACKLIGHT, COMPRISING A POLYMERIC DIELECTRIC MULTILAYER REFLECTOR | 5 |
Roland Laumer | DE | Regensburg | 2015-03-19 / 20150076939 - ROTARY MACHINE WITH DIRECT DRIVE | 12 |
Roland Laumer | DE | Regensburg | 2015-03-19 / 20150076939 - ROTARY MACHINE WITH DIRECT DRIVE | 12 |
Marcus Laumer | DE | Weisendorf | 2013-11-14 / 20130300940 - METHOD FOR PROCESSING A COMPRESSED VIDEO STREAM | 1 |
Steve P. Laumer | US | Maplewood | 2008-09-18 / 20080228323 - Hydraulic Actuator Control System | 1 |
Jason Laumer | US | Appleton | 2009-04-02 / 20090088360 - Bath Treatment Compositions and Methods | 1 |
Stephen P. Laumer | US | Maplewood | 2009-01-29 / 20090025378 - Hydraulic Actuator Control System for Refuse Collection Vehicle | 1 |
Robert A. Laumeyer | US | Minneapolis | 2015-04-16 / 20150104104 - METHODS AND APPARATUS FOR AUTOMATED TRUE OBJECT-BASED IMAGE ANALYSIS AND RETRIEVAL | 10 |
Heli Laumola | FI | Helsinki | 2016-05-12 / 20160130509 - PROCESS FOR PRODUCING HYDROCARBONS | 10 |
Heli Laumola | FI | Helsinki | 2016-05-12 / 20160130509 - PROCESS FOR PRODUCING HYDROCARBONS | 10 |
Eric Laun | US | Monrovia | 2014-12-11 / 20140360399 - WIRELESS MODEL RAILROAD CONTROL SYSTEM | 1 |
Lyle Erwin Laun | CA | Calgary | 2012-04-26 / 20120097398 - Multi-Zone Fracturing Completion | 2 |
Stephanie Laun | DE | Schramberg-Tennenbronn | 2015-08-13 / 20150224519 - Spray Head with Valve | 1 |
Martin Laun | DE | Mannheim | 2011-05-26 / 20110120207 - METHOD AND DEVICE FOR CHARACTERIZING MAGNETORHEOLOGICAL FLUIDS | 6 |
Deborah A. Laun | US | Syracuse | 2011-01-27 / 20110017862 - STACKABLE TIPPET SPOOL | 1 |
Craig M. Laun | US | West Chester | 2009-09-24 / 20090236572 - Nail extractor | 1 |
Jochen Laun | DE | Gaildorf | 2014-03-13 / 20140069809 - MACHINE FOR ELECTROCHEMICAL METAL MACHINING | 2 |
Robert Laun | DE | Hausach | 2010-05-13 / 20100121999 - Generating of a Device Description for a Measuring Device | 1 |
Deborah Laun | US | Syracuse | 2013-01-31 / 20130030414 - Caps for Cannula Access Devices | 1 |
Lyle E. Laun | CA | Calgary | 2011-07-21 / 20110174491 - BOTTOM HOLE ASSEMBLY WITH PORTED COMPLETION AND METHODS OF FRACTURING THEREWITH | 2 |
Lyle Laun | CA | Calgary | 2015-03-19 / 20150075809 - DOWNHOLE ISOLATION AND DEPRESSURIZATION TOOL | 3 |
Philippe Launay | FR | Rennes | 2015-08-20 / 20150235788 - ELECTRONIC SWITCH FOR SIMULATING A MECHANICAL ROCKER SWITCH | 2 |
François Launay | FR | Vitre | 2012-06-14 / 20120145795 - Security Document Comprising a Near-Field Communication Device such as a Passport | 4 |
Berne Launay | US | Spokane | 2016-03-10 / 20160071177 - BUYER FOCUSED VEHICLE ACQUISITION SERVICE | 1 |
Sebastien Launay | CA | Vancouver | 2014-04-17 / 20140105009 - Method And System For Wireless Communication with Machine-To-Machine Devices | 1 |
Pierre Launay | FR | Paris | 2016-02-11 / 20160039908 - Method and Pharmaceutical Composition for use in the Treatment of Cancer | 2 |
Arnaud Launay | FR | Levis Saint Nom | 2012-07-05 / 20120168562 - DEVICE FOR CONTROLLING TRAPDOORS CLOSING THE HOLD OF AIRCRAFT LANDING GEAR | 1 |
François Launay | FR | Nanterre | 2013-01-10 / 20130008968 - DATA CARRIER HAVING A CONTACT PLATE WITH PRINTING THEREON | 1 |
Laurent Launay | FR | St Remy Les Chevreuse | 2012-11-01 / 20120275674 - METHOD AND APPARATUS FOR GENERATING A PERFUSION IMAGE | 3 |
Nathalie Launay | FR | Meudon | 2013-01-03 / 20130004015 - PROCESS TO SECURE A PERSONAL PORTABLE OBJECT | 2 |
Laurent Launay | FR | St Remy Chevreuse | 2010-05-27 / 20100128942 - Systems and Methods for Automated Diagnosis | 3 |
Delphine Francoise Monique Launay | GB | Oxfordshire | 2010-01-14 / 20100010057 - THIAZOLE DERIVATIVES AS INHIBITORS OF P13 KINASE | 1 |
Laurent Launay | FR | Saint Remy Les Chevreuse | 2011-12-01 / 20110293150 - ITERATIVE VASCULAR RECONSTRUCTION BY SEED POINT SEGMENTATION | 3 |
Bertrand Launay | FR | Fontenay-Sous-Bois | 2010-03-11 / 20100058949 - DEVICE FOR BRIDGING A GAP BETWEEN A PLATFORM AND A RAIL VEHICLE | 1 |
Nathalie Launay | FR | Lyon | 2009-12-03 / 20090297628 - Use of iron in the form of a stone extract as stimulus of the synthesis of collagen by skin fibroblasts | 1 |
Jean-Francois Andre Alme Launay | FR | La Chapelle Aux Pots | 2009-11-26 / 20090289087 - CONTAINER WITH A DISPENSING CLOSURE COMPRISING A SAFETY SYSTEM | 1 |
Jean-Marie Launay | FR | Argenteuil | 2009-10-22 / 20090263368 - Genetic variations associated with psychiatric disorders | 1 |
François Launay | FR | Nanterre | 2013-01-10 / 20130008968 - DATA CARRIER HAVING A CONTACT PLATE WITH PRINTING THEREON | 1 |
Francois Launay | FR | Epron | 2008-11-13 / 20080277484 - Smart Card Producing Method and a Smart Card in Particular Provided with a Magnetic Antenna | 3 |
Claude Launay | FR | Champigny | 2009-04-02 / 20090088929 - Method for determining the morphology of an occupant in an automotive seat with capacitive sensors | 2 |
Cedric Launay | FR | Issy-Les-Moulineaux | 2008-08-21 / 20080200302 - Method of Transmitting the Power of a Hybrid Vehicle Between a Heat Engine Shaft and a Wheel Axle Shaft of the Vehicle | 1 |
Benoit Launay | US | Seattle | 2010-02-11 / 20100037240 - Non Intrusive Application Mechanism | 1 |
Cedric Launay | FR | Epone | 2011-06-23 / 20110153134 - Method for Finding a Clutch Slip Point of a Hybrid Vehicle | 1 |
Francois-Xavier Launay | FR | Biot | 2014-02-06 / 20140036635 - ALL-OPTICAL HYDROPHONE INSENSITIVE TO TEMPERATURE AND TO STATIC PRESSURE | 1 |
Gregory Launay | FR | Paris | 2016-01-07 / 20160001770 - METHOD AND CORRESPONDING DEVICE FOR COUPLING A SHAFT OF AN ELECTRIC MOTOR WITH A WHEEL SHAFT OF AN ELECTRICALLY POWERED OR HYBRID MOTOR VEHICLE | 2 |
Francois Launay | FR | Vitre | 2012-01-26 / 20120018523 - Contactless Electronic Tag | 1 |
Nicolas Launay | FR | Annecy | 2011-05-26 / 20110120648 - APPARATUS AND A METHOD FOR CONTROLLING THE DEPTH OF ETCHING DURING ALTERNATING PLASMA ETCHING OF SEMICONDUCTOR SUBSTRATES | 1 |
Laurent Launay | FR | Salnt Remy Les Chevreuse | 2011-12-01 / 20110293149 - ITERATIVE VASCULAR RECONSTRUCTION BY REALIGNMENT | 1 |
Francois Launay | FR | Colombes | 2015-06-11 / 20150163677 - DEVICE FOR PROTECTING AGAINST NON-AUTHORIZED USE OF AN ELECTRONIC SYSTEM INCLUDING AN ANTENNA | 1 |
Laurent Launay | FR | Buc | 2014-12-11 / 20140362970 - SYSTEM AND METHOD OF CORRECTING BANDING ARTIFACTS IN CARDIAC CT | 1 |
Brian L. Launder | US | Tulsa | 2014-07-03 / 20140182174 - MULTIPIECE WEAR ASSEMBLY | 4 |
Paul D. Launders | GB | Nottingham | 2011-01-27 / 20110020106 - Blade containment structure | 1 |
Jeffrey T. Laundre | US | Sheboygan | 2014-07-24 / 20140201895 - BUMPERLESS TOILET LID | 3 |
Daniel Joseph Laundrie | US | Lena | / - | 1 |
Kevin Scott Laundroche | US | Anchorage | 2015-07-16 / 20150201465 - OVEN RANGE APPLIANCE | 4 |
Stan Laundry | US | Euless | 2012-02-09 / 20120031035 - Cable Anchor | 1 |
Frederic Laune | FR | Villefontaine | 2010-07-15 / 20100177386 - ELECTROWETTING DEVICE WITH POLYMER ELECTRODE | 1 |
Jean-Christophe Laune | FR | Elbeuf | 2016-03-24 / 20160083882 - INSTALLATION FOR CONSOLIDATING A FIBER BATT, PARTICULARLY BY NEEDLEPUNCHING AND CONSOLIDATED NONWOVEN | 1 |
Daniel Laune | FR | Grabels | 2013-12-05 / 20130323720 - COMBINATION OF BIOMARKERS FOR THE DETECTION AND EVALUATION OF HEPATITIS FIBROSIS | 1 |
Stefan Launer | CH | Zurich | 2015-08-20 / 20150237451 - SYSTEMS AND METHODS FOR FACILITATING ELECTROACOUSTIC STIMULATION USING AN OFF-THE-EAR SOUND PROCESSOR MODULE | 9 |
Stefan Launer | CH | Zurich | 2015-08-20 / 20150237451 - SYSTEMS AND METHODS FOR FACILITATING ELECTROACOUSTIC STIMULATION USING AN OFF-THE-EAR SOUND PROCESSOR MODULE | 9 |
Christopher A. Launer | US | Crystal | 2008-09-11 / 20080217486 - Small unmanned airborne vehicle airframe | 1 |
Stefan Launer | CH | Zuerich | 2013-05-02 / 20130108058 - BINAURAL HEARING DEVICE AND METHOD TO OPERATE THE HEARING DEVICE | 2 |
Margaret Launer | US | Windsor | 2008-12-11 / 20080306750 - EMPLOYMENT SCREENING SYSTEM AND METHOD | 1 |
Peter Launer | DE | Senden | 2014-01-23 / 20140020297 - Entry or Exit Gate System | 1 |
Maximilien Launey | US | Pasadena | 2016-03-31 / 20160090644 - BULK NICKEL-COBALT-BASED GLASSES BEARING CHROMIUM, TANTALUM, PHOSPHORUS AND BORON | 13 |
Maximilien Launey | US | Pasadena | 2016-03-31 / 20160090644 - BULK NICKEL-COBALT-BASED GLASSES BEARING CHROMIUM, TANTALUM, PHOSPHORUS AND BORON | 13 |
Heike Launhardt | DE | Freiburg | 2010-02-25 / 20100050292 - Galactosyltransferase | 2 |
Anu Launiainen | FI | Pirkkala | 2012-11-22 / 20120292399 - RFID TAG, A METHOD FOR MANUFACTURING AN RFID TAG, AND A PACKAGE | 2 |
Peter Thomas Launie, Jr. | US | Worcester | 2015-07-23 / 20150201791 - METHOD AND APPARATUS FOR CUP AND CARAFE BEVERAGE PRODUCTION | 2 |
Markku Launiemi | FI | Nokia | 2009-01-29 / 20090025166 - ARRANGEMENT FOR COUPLING BRUSH ELEMENTS IN A BRUSH BODY | 1 |
Erno Launo | FI | Helsinki | 2014-04-03 / 20140092379 - METHOD AND DEVICE FOR MEASURING GAS COMPONENT CONCENTRATION INSIDE A GLASS UNIT | 1 |
Pascal Launois | IE | Dublin 18 | 2016-01-28 / 20160022907 - MEDICAMENT CONTAINER CARRIER AND ADAPTER | 2 |
Maxime Launois | FR | Massy | 2015-05-28 / 20150147239 - ZPGM Underfloor Catalyst for Hybrid Exhaust Treatment Systems | 1 |
Sébastien Launois | FR | Grenoble | 2013-02-14 / 20130040198 - Lithium Batteries Containing Lithium-Bearing Iron Phosphate and Carbon | 1 |
Sébastien Launois | FR | Grenoble | 2016-03-10 / 20160072122 - LITHIUM BATTERIES CONTAINING LITHIUM-BEARING IRON PHOSPHATE AND CARBON | 4 |
Raimo Launonen | FI | Vtt | 2015-12-10 / 20150358165 - METHOD AND ARRANGEMENT FOR DISTRIBUTED REALISATION OF TOKEN SET MANAGEMENT AND RECOMMENDATION SYSTEM WITH CLUSTERING | 3 |
Raimo Launonen | FI | Espoo | 2015-11-05 / 20150319162 - ELECTRONIC ARRANGEMENT AND METHOD FOR ENTITY-SPECIFIC TOKEN SET MANAGEMENT AND RELATED MECHANISM FOR OFFERING PERSONALIZED DIGITAL CONTENT BASED ON INTERACTIONS BETWEEN ENTITIES | 1 |
Ronald E. Launs | US | Grosse Pointe Farms | 2009-03-12 / 20090064620 - Tray Ceiling System | 1 |
Michael Launsbach | US | Rochester | 2015-12-03 / 20150349779 - LEVEL SHIFTER FOR A TIME-VARYING INPUT | 20 |
Charles R. Launsby | US | Amesbury | 2010-02-04 / 20100025389 - HEATER ASSEMBLY FOR HIGH THROUGHPUT CHEMICAL TREATMENT SYSTEM | 1 |
Teemu Launto | FI | Turku | 2012-06-07 / 20120141231 - DEVICE FOR ALIGNING AND BINDING SHEETS COMPRISING AN INCLINED SUPPORT SURFACE | 1 |
Eric Lauper | CH | Bern | 2016-02-11 / 20160044376 - SYSTEM AND METHOD FOR DISTRIBUTION OF PICTURE OBJECTS | 12 |
Jacques Lauper | FR | Coumon D'Auvergne | 2012-03-22 / 20120067516 - Device for Transferring and Aligning Strips Intended to be Assembled to form a Ply | 1 |
Vera Lauper | CH | Neuheim | 2008-10-02 / 20080235881 - Method and Device for the Direct Mixing of Chemicals, Especially for Producing Hair Dyes and/or Intensive Toners | 1 |
Philippe Lauper | CH | Villars-Sur-Glane | 2008-10-30 / 20080266752 - THERMAL INTERCONNECTS FOR COUPLING ENERGY STORAGE DEVICES | 1 |
Alfred Lauper | CH | Zurich | 2009-05-14 / 20090121829 - Method, Electronic Cover, and Access Unit for Providing Access to a Zone by Means of a Card | 1 |
Eric Lauper | CH | Bern | 2016-02-11 / 20160044376 - SYSTEM AND METHOD FOR DISTRIBUTION OF PICTURE OBJECTS | 12 |
Stephan Lauper | CH | Kaiseraugst | 2011-05-12 / 20110112136 - NOVEL PROCESS FOR THE MANUFACTURE OF PHARMACEUTICAL PREPARATIONS | 2 |
John C. Lauper | US | Cuba City | 2015-03-19 / 20150075490 - CONTROLLED ENGINE SHUTDOWN METHOD AND ENGINE SHUTDOWN PREDICTION FOR EXHAUST SYSTEM DURABILITY | 1 |
Stephane Lauper | CH | Cortaillod | 2016-05-19 / 20160138134 - PALLADIUM-BASED ALLOY | 7 |
Dominique Lauper | CH | Nidau | 2014-12-25 / 20140374262 - LIQUID TRANSPORT MEMBRANE | 2 |
Stéphane Lauper | CH | Corcelles | 2012-09-06 / 20120225314 - INVISIBLE SET DECORATIVE PART | 1 |
Simon Lauper | CH | Le Solliat | 2011-09-22 / 20110228648 - CRYSTAL-BEZEL ASSEMBLY UNIT FOR A TIMEPIECE AND PROCESS ASSEMBLY | 2 |
Eric Lauper | CH | Berne | 2012-04-26 / 20120098647 - METHOD AND SYSTEM FOR INPUTTING USER INSTRUCTIONS OF A USER-CONTROLLABLE TELECOMMUNICATION DEVICE | 1 |
Stéphane Lauper | CH | Corcelles | 2016-03-17 / 20160073746 - INVISIBLE SET DECORATIVE PART | 1 |
Michaela Laupheimer | DE | Erbach | 2014-07-17 / 20140200157 - Array with Extended Dynamic Range and Associated Method | 2 |
Galina Laur | US | San Diego | 2015-12-03 / 20150346733 - GASEOUS FUEL CONTROL DEVICE FOR ENGINES | 3 |
Austen Laur | US | Tulsa | 2014-05-01 / 20140120220 - AIRFLOW PATTERN FOR SPIRAL OVENS | 1 |
Michael H. Laur | US | Mission Viejo | 2010-02-04 / 20100029198 - System and method for transmitting and receiving image data | 1 |
Fabrice Laur | DE | Heldelberg | 2010-06-10 / 20100145830 - Making an availability determination regarding a requested ware | 1 |
Steven Laur | US | Raleigh | 2010-01-14 / 20100007320 - GATE DRIVER TOPOLOGY FOR MAXIMUM LOAD EFFICIENCY | 1 |
Steven P. Laur | US | Raleigh | 2016-03-03 / 20160062375 - CURRENT MODE CONTROL MODULATOR WITH COMBINED CONTROL SIGNALS AND IMPROVED DYNAMIC RANGE | 15 |
Clément Laur | FR | Lescout | 2015-06-11 / 20150157620 - LOZENGES WITH MULTIPLE RELEASE KINETICS FOR ACTIVE INGREDIENTS | 1 |
Dirk Laur | DE | Hausen | 2009-12-17 / 20090312476 - Rubber Mixtures | 1 |
Marion Laur | FR | Velizy Villacoublay | 2014-05-01 / 20140116814 - ELECTROMECHANICAL BRAKING METHOD FOR REDUCING VIBRATION | 1 |
Dustin Laur | US | Forest Grove | 2012-02-09 / 20120032092 - Plasma Igniter for an Inductively Coupled Plasma Ion Source | 2 |
Dirk Laur | DE | Hausen I.w. | 2012-08-16 / 20120204762 - AQUEOUS SILANE SYSTEMS FOR BARE CORROSION PROTECTION AND CORROSION PROTECTION OF METALS | 2 |
Fabrice Laur | DE | Heidelberg | 2013-04-04 / 20130085797 - PROMISE DRIVEN PLANNING IN A SUPPLY CHAIN | 5 |
Steven P. Laur | US | Raleigh | 2016-03-03 / 20160062375 - CURRENT MODE CONTROL MODULATOR WITH COMBINED CONTROL SIGNALS AND IMPROVED DYNAMIC RANGE | 15 |
Aarne Laur | EE | Tallinn | 2014-09-18 / 20140269436 - In Session Charging Notifications and Recharging Accounts | 2 |
Rudiger Laur | DE | Dortmund | 2008-12-25 / 20080315163 - Building Material | 3 |
Steven Patrick Laur | US | Raleigh | 2015-11-05 / 20150318786 - SYSTEM AND METHOD FOR MAINTAINING A CONSTANT OUTPUT VOLTAGE RIPPLE IN A BUCK CONVERTER IN DISCONTINUOUS CONDUCTION MODE | 5 |
Fisher Laura | US | Burlington | 2010-03-11 / 20100060081 - System and Method for Providing Power to Portable Electronic Devices | 1 |
Manuel Laura | US | Pittsburgh | 2008-12-11 / 20080302364 - System and Method for Treating Ventilatory Instability | 1 |
Gianolio Laura | IT | Orbassano (torino) | 2010-11-25 / 20100294220 - INTERNAL COMBUSTION ENGINE WITH TWO INTAKE VALVES PER CYLINDER WHICH ARE AC TUATED HYDRAULICALLY AND HAVE DIFFERENTIATED RETURN SPRINGS | 1 |
Parry Laura | AU | Coburg | 2013-02-28 / 20130053318 - MODULATING AQUAPORINS WITH RELAXIN | 1 |
Joseph M. Laura | US | Springfield | 2015-05-21 / 20150136401 - SYSTEM AND METHOD FOR COLD CRACKING | 1 |
Joseph Laura | US | Las Vegas | / - | 1 |
Patrizia Laura | DE | Ludwigsburg | 2010-06-03 / 20100135879 - TWO-LAYER-THREE-WAY CATALYST | 1 |
Donna N. Laura | US | Nutley | 2013-05-30 / 20130136706 - SOLUBILIZING AGENTS FOR ACTIVE OR FUNCTIONAL ORGANIC COMPOUNDS | 3 |
Weinflash Laura | US | Scottsdale | 2009-05-21 / 20090132393 - SYSTEM AND METHOD FOR EXPEDITED RELEASE OF HELD ITEMS | 1 |
Joseph Laura | US | Springfield | 2013-02-28 / 20130048538 - SYSTEM AND METHOD FOR COLD CRACKING WITH STEAM | 1 |
Kalvass Melton Laura | US | Mountain View | 2011-03-10 / 20110059227 - System and Method for Coating a Stent | 1 |
Emmanuel Laurain | FR | Villeneuve Le Roi | 2015-10-29 / 20150308398 - METHOD AND DEVICE FOR MONITORING/CONTROLLING THE STARTING OF A HEAT ENGINE OF A HYBRID VEHICLE | 1 |
Nicolas Laurain | FR | Briis Sous Forges | 2015-04-23 / 20150107381 - ORIENTATION DEVICE FOR ORIENTATING A SENSOR PROBE | 1 |
Lindsey Laurain | US | Parker | 2016-03-17 / 20160073805 - Surface Contact Self-Sealing Integrated Tablewear and Dining Mat | 1 |
Paul Laurain | US | Sterling Heights | 2016-05-05 / 20160126523 - BATTERY PACK | 2 |
Larry G. Laurain | US | Beaver Island | 2014-12-25 / 20140373772 - Freezer failure indicator | 1 |
Jeremy Lauraire | FR | Toulon | 2016-04-14 / 20160104051 - Smartlight Interaction System | 2 |
Michel Lauraire | FR | Saint Martin D'Heres | 2010-01-14 / 20100008009 - BISTABLE ELECTROMAGNETIC ACTUATOR, CONTROL CIRCUIT OF AN ELECTROMAGNETIC ACTUATOR WITH DOUBLE COIL AND ELECTROMAGNETIC ACTUATOR WITH DOUBLE COIL COMPRISING ONE SUCH CONTROL CIRCUIT | 1 |
Michel Lauraire | FR | Saint Maur Des Fosses | 2015-11-12 / 20150325397 - MODULAR ELECTRICAL SWITCH DEVICE COMPRISING AT LEAST ONE UNIPOLAR CUT-OFF UNIT AND A SWITCH ASSEMBLY COMPRISING SUCH DEVICES | 6 |
Manuel Laura Lapoint | US | Pittsburgh | 2015-11-05 / 20150314091 - SYSTEM AND METHOD FOR LIMITING FLOW AND/OR PRESSURE COMPENSATION DURING LIMITED FLOW RESPIRATORY THERAPY | 11 |
Manuel Laura Lapoint | US | Pittsburgh | 2015-11-05 / 20150314091 - SYSTEM AND METHOD FOR LIMITING FLOW AND/OR PRESSURE COMPENSATION DURING LIMITED FLOW RESPIRATORY THERAPY | 11 |
Manuel Laura Lapoint | US | Pittsburg | 2013-12-26 / 20130345589 - METHOD AND SYSTEM TO DIAGNOSE CENTRAL SLEEP APNEA | 1 |
Calvin Laurance | US | Pell City | 2008-10-23 / 20080263397 - Methods, systems, and computer-readable media for testing new network element failure rate | 1 |
Megan E. Laurance | US | San Francisco | 2014-12-18 / 20140372953 - Methods and Systems for Biological Data Analysis | 1 |
Aurélien Laurant | BE | Ottignies-Louvain-La-Neuve | 2015-12-03 / 20150344606 - PROCESS TO PRODUCE POLYVINYL-ESTER COMPOSITIONS WITH LOW RESIDUAL MONOMER AND THE USE THEREOF | 1 |
Hans Laurberg | DK | Arhus C | 2014-09-25 / 20140284021 - STORAGE AND RECOVERY OF THERMAL ENERGY USING HEAT STORAGE MATERIAL BEING FILLED IN A PLURALITY OF ENCLOSURES | 20 |
Hans Laurberg | DK | Arhus C | 2014-09-25 / 20140284021 - STORAGE AND RECOVERY OF THERMAL ENERGY USING HEAT STORAGE MATERIAL BEING FILLED IN A PLURALITY OF ENCLOSURES | 20 |
Hans Laurberg | DK | Aarhus C. | 2013-01-24 / 20130022466 - CONTROLLING OF A HEATING MAT ON A BLADE OF A WIND TURBINE | 1 |
Diancourt Laure | FR | Herslay | 2012-04-12 / 20120088676 - MOLECULAR TYPING AND SUBTYPING OF SALMONELLA BY IDENTIFICATION OF THE VARIABLE NUCLEOTIDE SEQUENCES OF THE CRISPR LOCI | 1 |
Frederic Laure | FR | Gomez La Ville | 2013-09-12 / 20130233487 - BONDING TOOL FOR ATTACHING PREPARED ADHESIVE TO BONDING PART | 3 |
Francoise Claudine Laure | FR | Paris | 2010-04-01 / 20100081138 - Nucleotide sequences for the detection of enterohaemorrhagic Escherichia coli (EHEC) | 1 |
Frederic Laure | FR | Bures Sur Yvette | 2009-01-22 / 20090019780 - DEVICE FOR DISPLACEABLY HOLDING A GLASS PANE IN A SLIDING RAIL | 1 |
Stefan Laure | DE | Stuttgart | 2011-07-21 / 20110174220 - Device for Plasma-Assisted Coating of the Inner Side of Tubular Components | 5 |
Frederice Laure | FR | Tournefeuille | 2011-11-24 / 20110284313 - STEERING DEVICE, IN PARTICULAR FOR A REAR WHEEL STEERING SYSTEM | 1 |
Eduardo Laureano | US | Bellevue | 2012-12-20 / 20120323921 - DICTIONARY FOR HIERARCHICAL ATTRIBUTES FROM CATALOG ITEMS | 1 |
Pedro Laureano | US | Atlantic Beach | 2015-09-03 / 20150247454 - GEARED TURBOFAN ENGINE WITH INTER-SHAFT DEFLECTION FEATURE | 1 |
Hugo Laureano | FR | Dijon | 2016-01-28 / 20160023199 - P-CHIROGENIC ORGANOPHOSPHORUS COMPOUNDS | 2 |
Pedro Laureano | US | Jacksonville | 2014-02-13 / 20140041197 - TURBINE ENGINE COUPLING STACK | 2 |
Martin Laurel | US | Las Vegas | 2010-07-29 / 20100187350 - SURFACE COVERING INSTALLATION TOOL AND METHOD | 1 |
David Fernando Laurel | US | Cypress | 2010-11-25 / 20100294503 - Subsea Cementing Plug System With Plug Launching Tool | 1 |
Carlos Laurel | US | San Antonio | 2013-02-28 / 20130054837 - COMPRESSING, TRANSCODING, SENDING, AND RETRIEVING VIDEO AND AUDIO FILES IN A SERVER-BASED SYSTEM AND RELATED SYSTEMS AND METHODS | 1 |
David F. Laurel | US | Cypress | 2013-10-31 / 20130284457 - Quick Connect Coupling for Cementing Operations and the Like | 1 |
Gervacio Laurel | US | Rio Grande City | 2013-08-15 / 20130206244 - Oil Filter Cap Removal and Drain Tool | 1 |
Mats Laurell | SE | Gothenburg | 2015-12-31 / 20150377111 - PRESSURE DIFFERENTIATED EXHAUST AFTERTREATMENT DEVICE | 2 |
Mats Laurell | SE | Goeteborg | 2014-04-03 / 20140090364 - EXHAUST GAS TREATMENT DEVICE FOR USE NEAR AN ENGINE AND MOTOR VEHICLE HAVING THE DEVICE | 3 |
Henrik Laurell | FR | Toulouse | 2014-07-03 / 20140186845 - METHODS FOR DETERMINING THE EXPRESSION LEVEL OF A GENE OF INTEREST INCLUDING CORRECTION OF RT-QPCR DATA FOR GENOMIC DNA-DERIVED SIGNALS | 1 |
Fredrik Laurell | SE | Danderyd | 2011-10-06 / 20110242538 - OPTICAL ARRANGMENT FOR DETERMINING THE CONCENTRATION OF A SUBSTANCE IN A FLUID | 4 |
Lars Thomas Laurell | SE | Lund | 2011-06-30 / 20110154890 - SEPARATION OF PARTICLES IN LIQUIDS BY USE OF A STANDING ULTRASONIC WAVE | 2 |
Goran Laurell | SE | Stockholm | 2010-08-26 / 20100215746 - PREVENTION OF CISPLATIN INDUCED DEAFNESS | 1 |
Jeffrey A. Laurell | US | Woodland Park | 2015-10-15 / 20150290967 - Camouflage Design and Method | 1 |
Thomas Laurell | SE | Lund | 2015-10-29 / 20150308971 - MICROFLUIDIC IMPEDANCE FLOW CYTOMETER | 5 |
Larry Laurello | US | Austinburg | 2011-07-14 / 20110168796 - METHOD, SYSTEM AND DEVICES FOR RAILROAD TRACK RECONDITIONING AND REPAIR | 1 |
Vincent P. Laurello | US | Hobe Sound | 2015-08-27 / 20150240651 - TURBINE ABRADABLE LAYER WITH PROGRESSIVE WEAR ZONE MULTI LEVEL RIDGE ARRAYS | 17 |
Vincent P. Laurello | US | Hobe Sound | 2015-08-27 / 20150240651 - TURBINE ABRADABLE LAYER WITH PROGRESSIVE WEAR ZONE MULTI LEVEL RIDGE ARRAYS | 17 |
Michael Laurello | US | Geneva | 2011-07-14 / 20110168796 - METHOD, SYSTEM AND DEVICES FOR RAILROAD TRACK RECONDITIONING AND REPAIR | 1 |
Vincent Laurello | US | Miami | 2010-12-02 / 20100303608 - Two-shaft gas turbine | 1 |
Paul Laurello | US | Austinburg | 2011-07-14 / 20110168796 - METHOD, SYSTEM AND DEVICES FOR RAILROAD TRACK RECONDITIONING AND REPAIR | 1 |
Vincent Paul Laurello | US | Hobe Sound | 2015-03-12 / 20150071763 - OUTER RIM SEAL ASSEMBLY IN A TURBINE ENGINE | 1 |
David Lauren | US | Forest Hills | 2013-01-03 / 20130002452 - Light-weight, portable, and wireless navigator for determining when a user who is visually-impaired and/or poorly-oriented can safely cross a street, with or without a traffic light, and know his/her exact location at any given time, and given correct and detailed guidance for translocation | 1 |
Robert S. Lauren | US | Durhamville | 2010-01-21 / 20100011732 - Mower Suspension System and Method | 1 |
Timothy Lauren | US | Edison | 2009-02-19 / 20090045268 - Automatic Metered Personal Dispensing System | 1 |
Christopher Lauren | US | Redmond | 2013-06-27 / 20130166498 - Model Based OLAP Cube Framework | 1 |
Juha Lauren | US | New Haven | 2011-10-13 / 20110251132 - TREATMENT WITH A PHARMACEUTICAL COMPOSITION COMPRISING MANF2 NUCLEIC ACID | 4 |
Mark D. Lauren | US | Amherst | 2012-10-11 / 20120258431 - Method and System for Tracking Jaw Motion | 4 |
Chris Lauren | US | Redmond | 2013-09-12 / 20130238549 - Using Dimension Substitutions in OLAP Cubes | 1 |
George Michael Laurence | US | West Lafayette | 2016-02-18 / 20160046575 - Novel Forms of [R-(R*,R*)]-2-(4-Fluorophenyl)-Beta, Gamma-Dihydroxy-5-(1-Methylethyl)-3-Phenyl-4-[(Phenylamino)carbonyl]-1H-P- yrrole-1-Heptanoic Acid Calcium Salt (2:1) | 1 |
Lawton Laurence | US | West Chester | 2016-04-28 / 20160113781 - Intervertebral Implant with Blades for Connecting to Adjacent Vertebral Bodies | 14 |
Felix-Antoine Laurence | CA | Magog | 2015-11-05 / 20150314800 - SNOWMOBILE SKI RUNNER | 2 |
Peter Laurence | US | Westlake Village | 2008-10-16 / 20080250590 - Cleaning tool handle for use with a disposable toilet brush | 1 |
Jennifer Ann Stowell Laurence | US | Lawrence | 2014-05-15 / 20140135484 - Metal Abstraction Peptide (MAP) Tag and Associated Methods | 10 |
Peter H. Laurence | US | Westlake Village | 2008-10-30 / 20080263797 - Single-use toilet brush head | 1 |
George M. Laurence | US | Lawrence | 2011-12-08 / 20110301358 - Novel Forms of [R-(R*,R*)]-2-(4-fluorophenyl)-beta,delta-Dihydroxy-5-(1-Methylethyl)-3-P- henyl-4-[(Phenylmino)Carbonyl]-1H-Pyrrole-1-Heptanoic Acid Calcium Salt (2:1) | 2 |
George Laurence | US | Lawrence | 2014-02-06 / 20140037626 - Metal Abstraction Peptide With Release of Metal | 4 |
Thomas Leroy Laurence | US | North Royalton | 2016-03-24 / 20160084963 - LARGE-AREA SCINTILLATOR ELEMENT AND RADIATION DETECTORS AND RADIATION ABSORPTION EVENT LOCATING SYSTEMS USING SAME | 6 |
Thomas Laurence | US | North Royalton | 2013-11-28 / 20130315454 - AUTOMATED CRYSTAL IDENTIFICATION ACHIEVED VIA WATERSHED SEGMENTATION | 6 |
Kevin J. Laurence | US | Rochester | 2015-09-17 / 20150259017 - Flexible Conveyance System | 3 |
George Michael Laurence | US | Laurence | 2015-02-12 / 20150045563 - Novel Forms of [R-(R*,R*)]-2-(4-Fluorophenyl)-Beta, Gamma-Dihydroxy-5-(1-Methylethyl)-3-Phenyl-4-[(Phenylamino)carbonyl]-1H-P- yrrole-1-Heptanoic Acid Calcium Salt (2:1) | 2 |
Peter Laurence | US | Scottsdale | 2013-05-16 / 20130121763 - MANHOLE, ROADWAY AND WALKWAY REPAIR | 1 |
Thomas L. Laurence | US | North Royalton | 2010-05-27 / 20100127178 - PIXELATED DETECTORS WITH DEPTH OF INTERACTION SENSITIVITY | 2 |
Magall Laurence | FR | Paris | 2009-08-20 / 20090206786 - METHOD OF CONTROLLING A REVERSIBLE ELECTRIC MACHINE | 1 |
Martin Laurence | CA | Montreal | 2013-11-14 / 20130305077 - METHOD FOR SHARING A RESOURCE AND CIRCUIT MAKING USE OF SAME | 2 |
Douglas Stewart Laurence | US | Mercer Island | 2016-03-24 / 20160087940 - PRIVATE ALIAS ENDPOINTS FOR ISOLATED VIRTUAL NETWORKS | 5 |
Jack C. Laurence | US | San Antonio | 2013-09-19 / 20130239907 - CORE-TEMPERATURE-BASED HERD MANAGEMENT SYSTEM & METHODS | 2 |
Meriau Laurence | FR | Paris | 2013-02-07 / 20130035067 - METHOD AND APPARATUS FOR AUTHENTICATING COMMUNICATION DEVICE | 1 |
Peter David Laurence | AU | Belmont | 2014-04-24 / 20140111978 - PADDLE | 1 |
Ted Alfred Laurence | US | Livermore | 2011-04-07 / 20110079931 - METHODS FOR GLOBALLY TREATING SILICA OPTICS TO REDUCE OPTICAL DAMAGE | 2 |
Laird W. Laurence | US | Fredericksburg | 2013-09-19 / 20130239907 - CORE-TEMPERATURE-BASED HERD MANAGEMENT SYSTEM & METHODS | 2 |
Kevin Laurence | US | Encino | 2010-08-05 / 20100195432 - POURING AND MIXING LID FOR CYLINDRICAL CONTAINERS | 1 |
Peter J. Laurence | US | Scottsdale | 2015-01-22 / 20150023732 - METHODS AND APPARATUS FOR STABILIZATION OF SURFACES | 2 |
Jennifer Ann Stowell Laurence | US | Lawrence | 2014-05-15 / 20140135484 - Metal Abstraction Peptide (MAP) Tag and Associated Methods | 10 |
Mark Laurence | US | 2011-05-12 / 20110107667 - Plant Wall and Modules For Growing Plants | 1 | |
Harris Laurence | US | Duvall | 2013-03-21 / 20130069437 - Method and Apparatus for Control of Commodity Distribution System | 1 |
Magali Laurence | FR | Paris | 2011-09-29 / 20110232597 - METHOD AND DEVICE FOR CONTROLLING AN ENGINE STOP/RESTART SYSTEM TO BE MOUNTED ON AN AUTOMOBILE | 3 |
Lawton Laurence | US | West Chester | 2016-04-28 / 20160113781 - Intervertebral Implant with Blades for Connecting to Adjacent Vertebral Bodies | 14 |
Jennifer Laurence | US | Lawrence | 2011-05-05 / 20110105735 - METHODS OF PRODUCING AND PURIFYING PROTEINS | 1 |
Adrien Laurenceau | FR | Melun | 2014-11-20 / 20140341739 - PROPELLER BLADE PIVOT | 1 |
Cato T. Laurencin | US | Avon | 2015-07-09 / 20150190222 - LIGAMENT AND TENDON REPLACEMENT CONSTRUCTS AND METHODS FOR PRODUCTION AND USE THEREOF | 12 |
Mathieu Laurencin | FR | Rennes | 2010-12-02 / 20100305027 - CYCLIC PEPTIDES COMPRISING AT LEAST ONE AZA-B3-AMINOACYL RESIDUE AND THEIR USES | 1 |
Xavier Laurencin | FR | Clermont Ferrand | 2012-02-16 / 20120037470 - MECHANICAL ACTUATOR CARTRIDGE FOR A MOTOR VEHICLE BRAKE | 1 |
Cato T. Laurencin | US | Avon | 2015-07-09 / 20150190222 - LIGAMENT AND TENDON REPLACEMENT CONSTRUCTS AND METHODS FOR PRODUCTION AND USE THEREOF | 12 |
Cato T. Laurencin | US | Farmington | 2013-06-06 / 20130142885 - IMMOBILIZED METALLIC NANOPARTICLES AS UNIQUE MATERIALS FOR THERAPEUTIC AND BIOSENSOR APPLICATIONS | 2 |
Jéôme Laurencin | FR | Sassenage | 2012-05-17 / 20120121999 - CELL OF A HIGH TEMPERATURE FUEL CELL WITH INTERNAL REFORMING OF HYDROCARBONS | 1 |
Christophe Laurencin | FR | Peypin | 2014-12-18 / 20140372327 - MECHANISM FOR VERIFYING THE AUTHENTICITY OF A PRODUCT | 3 |
Jérôme Laurencin | FR | Sassenage | 2015-11-19 / 20150329979 - METHOD FOR HIGH-TEMPERATURE ELECTROLYSIS OF STEAM AND ANOTHER GAS, RELATED INTERCONNECTOR, ELECTROLYSIS REACTOR AND OPERATING METHODS | 2 |
Cato T. Laurencin | US | Earlysville | 2014-01-16 / 20140017210 - METHODS FOR REGULATING GELATION OF POLYSACCHARIDE SOLUTIONS AND USES THEREOF | 4 |
Laeticia Laurencot | FR | Margny Les Compiegne | 2014-12-11 / 20140362597 - LIGHT-UP WINDOW FOR A VEHICLE | 1 |
Laetitia Laurencot | FR | Margny Les Compiegne | 2013-03-07 / 20130056246 - GLASS PANEL HAVING ULTRASONICALLY INTEGRATED CONDUCTING WIRES | 1 |
Gabor Laurenczy | CH | Lonary | 2010-03-18 / 20100068131 - HYDROGEN PRODUCTION FROM FORMIC ACID | 1 |
Estelle Laurendeau | FR | Vouneuil-Sous-Biard | 2010-10-07 / 20100251724 - Aircraft jet engine provided with a device for reducing propulsion jet noise | 1 |
Peter Laurens | US | Sunnyvale | 2013-12-12 / 20130332826 - Intelligent Presentation of Documents | 1 |
Lieve Maria Louisa Laurens | US | Denver | 2015-04-23 / 20150111265 - MICROBIAL CONVERSION OF METHANE | 1 |
Pascal Laurens | FR | Saint Paul Sur Save | 2015-11-19 / 20150329216 - MEASUREMENT SYSTEM FOR MEASURING THE VELOCITY OF AN AIRCRAFT | 1 |
Peter A. Laurens | US | Sunnyvale | 2015-12-03 / 20150350418 - ANSWER AND HOLD WITH CLIENT AND HOST | 1 |
Lieve Laurens | US | Denver | 2013-07-04 / 20130171721 - DISRUPTION OF CELL WALLS FOR ENHANCED LIPID RECOVERY | 1 |
Jean Marie Laurens | CH | St.-Legier | 2012-06-28 / 20120159875 - TELESCOPIC TOWER ASSEMBLY AND METHOD | 1 |
Ediuska V. Laurens | US | Jersey City | 2015-10-22 / 20150297273 - PLATES WITH COUNTERSINKS | 2 |
Jean Marie Laurens | CH | Lausanne | 2013-07-18 / 20130180095 - Method and reel device for threading tendons into ducts | 1 |
Christophe Laurens | FR | Paris | 2008-11-27 / 20080289601 - Method for Controlling the Opening of a Throttle Valve Body Assembly | 1 |
Philippe Laurens | FR | Beauteville | 2013-11-14 / 20130299669 - VIBRATION ISOLATING DEVICE | 1 |
Wouter Klaas Jan Laurens | NL | Wageningen | 2009-01-15 / 20090013513 - Urn And A Method For Forming A Body That Comprises Powdery Mortal Remains | 1 |
Paul Laurens | FR | Pommier De Beaurepaire | 2015-12-31 / 20150377767 - OPTICAL CHAMBER FOR A GAS DETECTION DEVICE | 2 |
Romain Laurens | FR | Clermont-Ferrand | 2010-09-02 / 20100218328 - SUPPORT FOR MOUNTING A WINDSCREEN WIPER BLADE ON A DRIVE ARM MADE OF BENT SHEET | 2 |
Claire Laurens | FR | Petit Couronne | 2011-01-20 / 20110015312 - BINDER COMPOSITION AND ASPHALT MIXTURE | 1 |
Jean Marie Laurens | ES | Huelva | 2015-02-19 / 20150048043 - HEAVY LIFTING APPARATUS AND METHOD | 1 |
Sophie Laurenson | CH | Basel | 2016-04-28 / 20160116427 - PAPER SUBSTRATE DIAGNOSTIC APPARATUS AND RELATED METHODS AND SYSTEMS | 2 |
Eric Laurenson | US | Glenshaw | 2009-04-23 / 20090105782 - VAGUS NERVE STIMULATION APPARATUS, AND ASSOCIATED METHODS | 1 |
Sophie Laurenson | NZ | Wiessaden | 2012-07-26 / 20120190819 - SCAFFOLD POLYPEPTIDES FOR HETEROLOGOUS PEPTIDE DISPLAY | 1 |
Sophie Laurenson | GB | Cambridge | 2008-08-28 / 20080207509 - Scaffold | 1 |
Paul Laurenson | FR | Tence | 2015-11-05 / 20150314509 - PROCESS FOR MAKING A CROSS-LINKED POLYETHYLENE ARTICLE | 2 |
Christelle Laurensou | FR | Dijon | 2015-12-24 / 20150366920 - METHOD FOR PREVENTING AND/OR TREATING INFECTIONS, COLONISATIONS, OR ILLNESSES RELATED TO STAPHYLOCOCCUS AUREUS, PSEUDOMONAS AERUGINOSA, STREPTOCOCCUS PYOGENES, ENTEROCOCCUS FAECIUM, ENTEROBACTER CLOACAE, PROTEUS MIRABILIS, BACTEROIDES FRAGILIS, STAPHYLOCOCCUS EPIDERMIDIS, PROPIONIBACTERIUM ACNES, CANDIDA ALBICANS AND/OR MALASSEZIA FURFUR | 6 |
Mark James Laurensse | CA | Edmonton | 2015-03-26 / 20150088045 - APPARATUS AND METHOD FOR TREATMENT OF LATERAL EPICONDYLITIS (TENNIS ELBOW) | 1 |
Anthony Laurent | FR | Cesson Sevigne | 2013-09-05 / 20130232231 - MANAGEMENT OF THE TRANSMISSION OF DATA STREAMS OVER MULTIPLE NETWORKS | 4 |
Nicolas Laurent | FR | Poix | 2011-09-29 / 20110232695 - METHOD AND DEVICE FOR CLEANING THE WATER-TRICKLING SURFACES IN AN AIR/WATER HEAT EXCHANGER | 2 |
Christopher A. Laurent | US | Lake Orion | 2014-05-22 / 20140141915 - METHOD FOR PROVIDING A CONTROLLED NEUTRAL IN LIEU OF A CONVENTIONAL NEUTRAL IN AN ELECTRO-MECHANICAL TRANSMISSION | 1 |
Henri Laurent | FR | Mably | 2011-08-25 / 20110206495 - HANDLING DEVICE FOR A WHEEL OF A VEHICLE AND HANDLING PROCESS FOR A WHEEL USING SUCH A DEVICE | 3 |
Phillipe Laurent | FR | Oullins | 2009-12-03 / 20090299274 - Method and Device for the Delivery of a Substance | 1 |
Filiberto Cedeno Laurent | US | Jamaica Plain | 2014-06-19 / 20140171621 - Galectin-Immunoglobulin Chimeric Molecules | 2 |
Albert Laurent | FR | Vallangoujard | 2010-05-13 / 20100117013 - DEVICE FOR CONVERTING A PIVOTING MOVEMENT OF A PINION INTO A TRANSLATIONAL MOVEMENT OF A SLIDE, AND VALVE COMPRISING SUCH A DEVICE | 1 |
Duane Giles Laurent | US | Lewisville | 2009-08-20 / 20090206946 - Apparatus and method for reducing propagation delay in a conductor | 1 |
Bell Laurent | US | San Mateo | 2011-02-03 / 20110028352 - HYBRIDIZATION DEVICE, METHODS, AND SYSTEM USING MIXING BEADS | 1 |
Ryan J. Laurent | US | Liberty Township | 2013-10-03 / 20130261648 - MOTOR-DRIVEN SURGICAL CUTTING INSTRUMENT WITH ELECTRIC ACTUATOR DIRECTIONAL CONTROL ASSEMBLY | 15 |
Christophe Laurent | FR | Vignoc | 2008-12-25 / 20080317352 - Method for the Characterization of a Digital Image and the Applications Thereof | 1 |
Alexandre Laurent | FR | Annecy Le Vieux | 2009-08-20 / 20090210172 - METHOD FOR ESTIMATING THE COMPONENTS OF THE FORCE TORSOR THAT ARE APPLIED TO A BEARING | 1 |
Pierre-Andre Laurent | FR | Bessancourt | 2009-09-03 / 20090219786 - MODEM AND METHOD FOR TRANSMITTING DATA IN A MEDIUM NOTABLY SUCH AS AIR AND WATER | 2 |
Christian Laurent | BE | Verviers | 2014-02-27 / 20140054834 - Apparatus and Method for Clamping and Handling Ophthalmic Lenses | 2 |
Jean-Louis Laurent | FR | Bayas | 2009-12-10 / 20090301647 - PRODUCTION PROCESS FOR HYDRAULIC BINDER-BASED BOARDS WITH FOUR TAPERED EDGES, PRODUCTION LINE OF SUCH BOARDS | 3 |
Philippe E. Laurent | FR | Oulins | 2009-12-17 / 20090312722 - INJECTION FLUID LEAKAGE COLLECTION SYSTEM AND METHOD | 1 |
Francois Laurent | FR | Nancy | 2011-12-22 / 20110312892 - ANXIOLYTIC COMPOSITIONS CONTAINING ALPHA S1-CASEIN-DERIVED PEPTIDES | 1 |
Phillippe Emile Fernand Laurent | FR | Ouillins | 2009-12-24 / 20090318888 - Prefillable Intradermal Delivery Device | 1 |
Fabrice Laurent | FR | Leimbach | 2015-12-17 / 20150361613 - METHOD FOR PREPARING AN ELONGATE MATERIAL PROVIDED WITH GRAFTED CARBON NANOSTRUCTURES, AND ASSOCIATED DEVICE AND PRODUCT | 2 |
Philippe Laurent | FR | Mougins | 2010-04-29 / 20100105524 - VIBRATING PLATE APPARATUS FOR MUSCULAR TONING | 1 |
David Laurent | FR | Nancy | 2012-08-23 / 20120214966 - MULTICOLUMN SEQUENTIAL SEPARATION PROCESS | 1 |
Christophe Laurent | US | Lake Orion | 2010-04-22 / 20100096232 - HYDRAULIC ACTUATION VALVE ARRANGEMENT FOR DUAL CLUTCH TRANSMISSION | 1 |
Francois Laurent | FR | Jarville | 2010-05-27 / 20100130433 - Casein-Derived Peptides having Anxiolytic Activity | 1 |
Maxence Laurent | CH | Yverdon-Ies-Bains | 2016-02-11 / 20160044458 - System and Method for Determining a Location Area of a Mobile User | 1 |
Ryan J. Laurent | US | Loveland | 2016-01-07 / 20160000453 - MOTOR-DRIVEN SURGICAL CUTTING INSTRUMENT | 7 |
Nicole Jodie Laurent | US | Lakewood | 2015-03-26 / 20150089470 - RULE-BASED AUTOMATIC CLASS GENERATION FROM A JSON MESSAGE | 4 |
Florence Laurent | FR | Bois Colombes | 2010-06-24 / 20100154140 - READY-TO-USE COMPOSITION FOR OXIDATION DYEING OF KERATIN FIBERS COMPRISING AT LEAST ONE FATTY SUBSTANCE, AT LEAST ONE THICKENER, AT LEAST ONE DYE PRECURSOR, AT LEAST ONE OXIDIZING AGENT, AND AT LEAST ONE ALKALINE AGENT, AND PROCESS AND KITS THEREWITH | 3 |
Philippe Laurent | FR | Bures Sur Yvette | 2016-02-25 / 20160054456 - DETECTOR FOR DETECTING THE TRACES OF IONIZING PARTICLES | 2 |
Claude Laurent | DK | Aalborg | 2014-08-28 / 20140240185 - MULTIBAND RF ANTENNA | 1 |
Jean-Yves Laurent | FR | Domene | 2013-03-21 / 20130069050 - OPTOELECTRONIC DEVICE HAVING AN EMBEDDED ELECTRODE | 16 |
Edward Laurent | US | North Wales | 2011-06-23 / 20110148449 - CANTILEVER PROBE STRUCTURE FOR A PROBE CARD ASSEMBLY | 1 |
Dimitri Laurent | BE | Battice | 2015-12-24 / 20150368144 - POLYGONAL TIP PLATE MODULE AND BUSHING ASSEMBLY COMPRISING SUCH MODULES | 6 |
Marc Laurent | FR | Nantes | 2014-01-30 / 20140028384 - REFERENCE VOLTAGE CIRCUITS IN MICROCONTROLLER SYSTEMS | 1 |
Ludivine Laurent | FR | Chartres | 2010-07-29 / 20100186763 - COSMETIC COMPOSITION COMPRISING AT LEAST ONE LAMBDA-CARRAGEENAN POLYSACCHARIDE AND INORGANIC PARTICLES | 1 |
Benoit Laurent | FR | Trosly Breuil | 2014-09-18 / 20140262476 - Self-Wrapping EMI Shielding Textile Sleeve and Method of Construction Thereof | 6 |
Pierre Laurent | FR | Villeurbanne | 2010-08-19 / 20100210781 - PROCESS AND PERFORMANCE AID FOR CARBON NANOTUBES | 1 |
Valère Laurent | FR | Villard Bonnot | 2011-03-31 / 20110073282 - METHOD FOR COOLING MICROWAVE PLASMA AND SYSTEM FOR THE SELECTIVE DESTRUCTION OF CHEMICAL MOLECULES USING SAID METHOD | 1 |
Johann Laurent | FR | Clohars Carnoët | 2011-01-27 / 20110019766 - Process and Device for Encoding, and Associated Electronic System and Storage Medium | 1 |
Jean-Pierre Laurent | FR | Istres | 2014-08-07 / 20140216612 - Steel, for Hot Forming or Quenching in a Tool, having Improved Ductility | 5 |
Patrick Laurent | FR | Tullins | 2012-12-27 / 20120326332 - SEMICONDUCTOR DEVICE WITH ENCAPSULATED ELECTRICAL CONNECTION ELEMENTS AND FABRICATION PROCESS THEREOF | 1 |
Patryk Laurent | US | San Diego | 2016-03-17 / 20160075034 - HOME ANIMATION APPARATUS AND METHODS | 16 |
Gerard Laurent | FR | Villeurbanne | 2014-11-27 / 20140350320 - PROCESSING OF CHLORINE-CONTAINING CARBON-BASED RADIOACTIVE WASTE | 4 |
Xavier Laurent | FR | Villepinte | 2013-12-05 / 20130323036 - HEAT SHIELD FOR A LOW-PRESSURE TURBINE STEAM INLET DUCT | 3 |
Thierry C. Laurent | BE | Wavre | 2013-05-16 / 20130121893 - MICROFLUIDIC DEVICE WITH DEFORMABLE VALVE | 1 |
Stephane Laurent | FR | Valliquerville | 2013-07-11 / 20130178635 - PROCESS FOR THE PREPARATION OF THE L-ARGININE SALT OF PERINDOPRIL | 2 |
Alexandre Laurent | FR | Jouy En Josas | 2010-10-14 / 20100260845 - Biocompatible and Biodegradable Biopolymer Matrix | 1 |
Eric Laurent | FR | Mandepiev | 2014-01-02 / 20140000440 - SYSTEMS AND METHODS FOR CREATING, MODIFYING, INTERACTING WITH AND PLAYING MUSICAL COMPOSITIONS | 1 |
Helan Laurent | FR | Alizay | 2010-10-21 / 20100267598 - CLEAR CLEANSING COMPOSITION | 1 |
Isabelle Laurent | FR | Rennes | 2013-07-11 / 20130178616 - USE OF MODIFIED OLIGO-B-(1,3)-GLUCANES FOR TREATING DISEASES OF THE IMMUNE SYSTEM OLIGO-B-(1,3V-GLUCANE-(1,3)-MANNOSE, OLIGO-B-(1,3)-GLUCANE-(1,3)-MANNITOL AND DERIVATIVES THEREOF, METHODS FOR PREPARING THE SAME AND DRUGS CONTAINING THEM | 4 |
Claude Laurent | FR | Voujeaucourt | 2011-09-15 / 20110221213 - MOTOR VEHICLE FRONT FACE WITH A RIGID BEAM INSERTED BETWEEN THE IMPACT ABSORBERS AND THE MAIN LONGITUDINAL MEMBERS | 3 |
Matthew Laurent | US | Santa Barbara | 2015-07-16 / 20150200286 - METALORGANIC CHEMICAL VAPOR DEPOSITION OF OXIDE DIELECTRICS ON N-POLAR III-NITRIDE SEMICONDUCTORS WITH HIGH INTERFACE QUALITY AND TUNABLE FIXED INTERFACE CHARGE | 1 |
Denis Laurent | FR | Epernon | 2010-11-25 / 20100294045 - DEVICE FOR MEASURING THE FLOW RATE OF A FLUID FLOWING IN A PIPE | 1 |
Olivier Alexandre Laurent | US | San Diego | 2013-12-05 / 20130323234 - MUTANT ANTIBODIES AND CONJUGATION THEREOF | 3 |
Didier Laurent | FR | Fronton | 2011-09-29 / 20110237104 - CONNECTION DEVICE BETWEEN AN ELECTRICAL CABLE AND A CONDUCTING STRUCTURE, ESPECIALLY FOR A CURRENT RETURN CIRCUIT | 2 |
Philippe Laurent | FR | Oullins | 2016-01-07 / 20160000651 - Adaptor for Coupling with a Medical Container | 1 |
Jean-Claude Laurent | FR | Saint Nicolas De Macherin | 2013-05-16 / 20130118372 - Method and Apparatus for Tensioning a Cable | 1 |
Sophie Laurent | BE | Boussu | 2014-04-17 / 20140105823 - MOLECULES SPECIFICALLY BINDING PANCREATIC BETA CELLS BIOMARKERS | 1 |
Boyd Laurent | US | Sugar Land | 2015-02-05 / 20150037202 - ORGANIC DISULFIDE BASED CORROSION INHIBITORS | 1 |
Jean-Marie Laurent | FR | Change | 2012-03-22 / 20120068682 - DC TO DC VOLTAGE CONVERTER COMPRISING A CHARGE PUMP CAPACITOR | 1 |
Thierry Laurent | FR | Amiens | 2011-12-29 / 20110315497 - MEDIUM FOR AN ELECTRIC CURRENT COLLECTION STRIP | 1 |
Gérard Laurent | FR | Villeurbanne | 2011-12-29 / 20110319699 - CARBONACEOUS RADIOACTIVE WASTE TREATMENT | 1 |
Arnaud Laurent | FR | Quemperven | 2015-11-19 / 20150331182 - METHOD OF MANUFACTURING A RADIATION-RESISTANT OPTICAL FIBER, RADIATION-RESISTANT OPTICAL FIBER AND DEVICE INCLUDING SUCH A FIBER | 2 |
Claude Jean Michel Laurent | DK | Aalborg | 2015-11-05 / 20150318615 - ANTENNA HAVING A PLANAR CONDUCTING ELEMENT WITH FIRST AND SECOND END PORTIONS SEPARATED BY A NON-CONDUCTIVE GAP | 3 |
Jean-Paul Laurent | FR | St Georges D'Orques | 2011-10-06 / 20110239548 - MODULAR CONSTRUCTION SYSTEM | 1 |
Delva Laurent | FR | Dijon | 2011-12-08 / 20110301110 - TRIM33 (TIF1gamma) AS A NEW DIAGNOSTIC MARKER OF CHRONIC MYELOMONOCYTIC LEUKEMIA (CMML) | 1 |
Jeanneteau Laurent | IT | Forli | 2012-09-27 / 20120241441 - INDUCTION HOB WITH INDUCTION COILS AND AN APPARATUS FOR DETERMINING THE TEMPERATURES ON THE INDUCTION COILS | 1 |
Eric Laurent | FR | Colomiers | 2014-01-09 / 20140012542 - METHOD AND SYSTEM FOR MEASURING THE PERFORMANCE OF A DIAGNOSER | 3 |
Matthieu Laurent | FR | Bolbec | 2010-12-30 / 20100325908 - GAUGE FOR MOUNTING A SCREW | 1 |
Alexandre Laurent | FR | Courbevoie | 2013-12-26 / 20130344160 - IMPLANTABLE BIO-RESORBABLE POLYMER CHARGED WITH FRAGILE MACROMOLECULES | 4 |
Nicolas Laurent | FR | Reims | 2012-04-05 / 20120079930 - DEVICE FOR EXTRACTING A LIQUID CONTAINED IN CONTAINERS MADE OF AN INFRANGIBLE MATERIAL | 2 |
Ryan J. Laurent | US | Liberty Township | 2013-10-03 / 20130261648 - MOTOR-DRIVEN SURGICAL CUTTING INSTRUMENT WITH ELECTRIC ACTUATOR DIRECTIONAL CONTROL ASSEMBLY | 15 |
Nicole Laurent | US | Lakewood | 2016-02-25 / 20160054870 - METHOD AND SYSTEM FOR PROVIDING MENU DATA FOR MOBILE APPLICATIONS | 3 |
Christophe Vincent Antoine Laurent | IT | Agrate Brianza | 2016-03-24 / 20160086662 - TIMING VIOLATION HANDLING IN A SYNCHRONOUS INTERFACE MEMORY | 4 |
Pierre Laurent | FR | Turckheim | 2013-12-26 / 20130341450 - CENTRALLY-HOLED PAPER ROLL WITH REINFORCING ELEMENT AND METHOD OF MANUFACTURING SAID ROLL | 4 |
Johann Laurent | FR | Clohars Carnoët | 2011-01-27 / 20110019766 - Process and Device for Encoding, and Associated Electronic System and Storage Medium | 1 |
Matthieu Laurent | FR | Vaucresson | 2014-01-02 / 20140001048 - COATING METHOD BY ELECTROCATALYZED CHEMICAL GRAFTING OF A SURFACE OF A SUBSTRATE WITH A POLYMERIC LAYER | 6 |
Barizza Laurent | FR | Toulouse | 2015-04-09 / 20150100201 - AIRCRAFT PART AND SUBASSEMBLY DAMAGE REPORTING METHOD, SYSTEM AND MOBILE COMPUTER SOFTWARE APPLICATION | 1 |
Anthony Laurent | FR | Vignoc | 2015-08-13 / 20150229986 - RENDERING TIME CONTROL | 15 |
Dominique Laurent | FR | Asson | 2012-08-02 / 20120196929 - Use of Rosmarinic Acid and the Derivaties Thereof to Treat Ciguatera | 1 |
Peny Laurent | GB | Bathgate | 2012-10-04 / 20120255020 - METHODS FOR ATTACK SURFACE MEASUREMENT | 1 |
Eric Laurent | FR | Mandelien | 2009-11-05 / 20090272251 - Systems and methods for portable audio synthesis | 1 |
Christophe Laurent | FR | Clermont-Ferrand Cedex 9 | 2016-04-14 / 20160101652 - Motorbike Tire Carcass And Crown Reinforcements | 2 |
Valère Laurent | FR | Villard Bonnot | 2011-03-31 / 20110073282 - METHOD FOR COOLING MICROWAVE PLASMA AND SYSTEM FOR THE SELECTIVE DESTRUCTION OF CHEMICAL MOLECULES USING SAID METHOD | 3 |
Jacky Laurent | FR | Saint-Cyr L'Ecole | 2011-06-02 / 20110127701 - DYNAMIC CONTROL OF LANCE UTILIZING CO-FLOW FLUIDIC TECHNIQUES | 6 |
Anthony Laurent | FR | Vignoc | 2015-08-13 / 20150229986 - RENDERING TIME CONTROL | 15 |
Pierre L. Laurent | US | Los Altos | 2014-05-29 / 20140149313 - SYSTEM AND METHODS FOR TRADING IN MULTI-MODAL FREIGHT SHIPMENT DERIVATIVES | 2 |
Alain Laurent | FR | Grenoble | 2015-07-30 / 20150210732 - METHODS OF FUNCTIONALIZATION AND REAGENTS USED IN SUCH METHODS USING AN AZA-ISATOIC ANHYDRIDE OR A DERIVATIVE THEREOF, BIOLOGICAL MOLECULES THUS TREATED AND KITS | 10 |
Patryk Laurent | US | San Diego | 2016-03-17 / 20160075034 - HOME ANIMATION APPARATUS AND METHODS | 16 |
Jacky Laurent | FR | Saint-Cry L'Ecole | 2015-11-19 / 20150330624 - METHOD AND COMBUSTING FUEL AND BURNER THEREFOR | 1 |
Jean-Paul Laurent | FR | Villepreux | 2015-11-19 / 20150331851 - Assisted input of rules into a knowledge base | 1 |
Alain Laurent | CA | Montreal | 2015-12-03 / 20150344478 - PROTEIN KINASE INHIBITORS | 16 |
Thibault Simon Mathieu Laurent | NL | Eindhoven | 2015-10-01 / 20150277243 - SUPPORT TABLE FOR A LITHOGRAPHIC APPARATUS, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 12 |
Patrice Laurent | FR | Viuz-En-Sallaz | 2016-02-18 / 20160045994 - Insert Holder For A Machine Tool | 4 |
Patrice Laurent | FR | Annemasse | 2011-08-25 / 20110205038 - DEVICE FOR HAPTIC FEEDBACK CONTROL | 9 |
Christophe Laurent | FR | Clermont-Ferrand | 2015-07-02 / 20150183269 - FOLDABLE TIRE, FOLDING METHOD AND USE | 1 |
Patrice Laurent | FR | Vuiz En Sallaz | 2010-01-28 / 20100020028 - Control Module, In Particular For An Automotive Vehicle | 1 |
Johann Laurent | FR | Moelan Sur Mer | 2013-12-26 / 20130342348 - MONITORING SYSTEM | 1 |
Stephane Laurent | FR | Clichy | 2016-05-05 / 20160124119 - SUBSTRATE PROVIDED WITH A STACK HAVING THERMAL PROPERTIES | 6 |
Patrice Laurent | FR | Annemasse | 2011-08-25 / 20110205038 - DEVICE FOR HAPTIC FEEDBACK CONTROL | 9 |
Christophe Laurent | FR | Le Cendre | 2012-10-25 / 20120267028 - TIRE BEAD FOR LIGHT HEAVY-WEIGHT VEHICLE | 1 |
Nicolas Laurent | FR | Tinqueux | 2015-10-29 / 20150306631 - WASTE SORTING DEVICE HAVING A ROTARY SCREEN | 2 |
Muller Laurent | FR | Butten | 2011-07-21 / 20110175312 - PASSIVELY STEERABLE MODULE AXLE | 1 |
Alain Laurent | CA | Montreal | 2015-12-03 / 20150344478 - PROTEIN KINASE INHIBITORS | 16 |
Fabrice Laurent | FR | Rochecorbon | 2015-10-22 / 20150297632 - CHITIN OR DERIVATIVES THEREOF FOR THE PREVENTION AND/OR TREATMENT OF PARASITOSES | 1 |
John Laurent | CA | Saint-Augustin-De-Desmaures | 2014-12-25 / 20140375770 - METHOD AND APPARATUS FOR DETECTION OF FOREIGN OBJECT DEBRIS | 2 |
Pierre-André Laurent | FR | Ville | 2012-11-01 / 20120275537 - SYSTEM AND METHOD FOR SENDING AND RECEIVING A DIGITAL SIGNAL OVER A RADIO PATHWAY | 1 |
Pierre Laurent | FR | Turkheim | 2015-05-21 / 20150140240 - CLOTH-LIKE TEXTURED NONWOVEN FABRIC COMPRISING PAPERMAKING FIBERS, CALENDERING ROLLER AND METHOD OF MANUFACTURING THE SAME | 1 |
Olivier Laurent | FR | Gometz La Ville | 2014-01-16 / 20140013505 - FOUR-SIDED REVERSIBLE BEDDING ELEMENT | 2 |
Thibault Simon Mathieu Laurent | NL | Eindhoven | 2015-10-01 / 20150277243 - SUPPORT TABLE FOR A LITHOGRAPHIC APPARATUS, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 12 |
David Laurent | FR | Le Perray En Yvelines | 2011-08-25 / 20110203276 - Method And Device For Tracking The Degradation Of Insulators In A Rotary Machine | 1 |
Jorge Laurent | US | The Woodlands | 2014-12-04 / 20140352955 - DOWNHOLE INTEGRATED WELL MANAGEMENT SYSTEM | 3 |
Patrice Laurent | FR | Viroflay | 2011-12-15 / 20110306729 - CROSS-LINKING COMPOSITION IN THE FORM OF GRANULES FOR PREPARING CROSS-LINKED BITUMEN/ELASTOMER COMPOSITIONS | 1 |
Patrick Laurent | FR | Auterive | 2011-08-18 / 20110201029 - IL-13 RECEPTOR ANTIBODIES | 1 |
Ivan Laurent | FR | Aix-En-Provence | 2012-11-15 / 20120285270 - DEVICE FOR MEASURING WALL VALUES | 1 |
Frank Laurent | FR | Pont Saint Esprit | 2011-08-04 / 20110185678 - BARREL DOCKING AND OPENING APPARATUS | 1 |
Denis Laurent | FR | Saint-Avold | 2012-05-03 / 20120108767 - METHOD FOR PRODUCING BIO-RESOURCED POLYMER-GRADE ACRYLIC ACID FROM GLYCEROL | 2 |
Christophe Laurent | IT | Agrate Brianza (ml) | 2016-03-03 / 20160062831 - ERROR CORRECTION CODE FOR UNIDIRECTIONAL MEMORY | 1 |
Jean-Marc A. Laurent | US | Santa Clara | 2009-02-12 / 20090044184 - Software update from off air broadcast | 1 |
Daniel P. Laurent | US | Saline | 2009-03-26 / 20090080673 - SYSTEMS AND METHODS FOR AUTOMATICALLY CONTROLLING THE VOLUME OF A MOTOR VEHICLE ENTERTAINMENT SYSTEM | 1 |
Gilles J. Laurent | US | Pasadena | 2013-07-04 / 20130167360 - MICROMACHINED NEURAL PROBES | 2 |
Maxim Laurent | US | Brooklyn | 2015-03-26 / 20150087191 - RECEPTACLE WITH TERMINAL HOLD-OPEN DETENT | 3 |
Edward T. Laurent | US | Maple Glen | 2012-06-28 / 20120160902 - WIRE FEED SYSTEM AND METHOD OF OPERATING THE SAME | 3 |
Michel Pierre Laurent | HK | Hong Kong | 2014-12-18 / 20140370736 - HIGH VOLTAGE PLUG IN AND UNPLUGGED TYPE GAS IMMERSED CABLE TERMINATION WITH LOCKING SYSTEM | 1 |
Pierre Laurent | IE | Quin County Clare | 2010-05-13 / 20100119057 - Efficient method and apparatus for modular inverses | 2 |
Philippe Laurent | BE | Fleron | 2013-12-26 / 20130342032 - ENERGY HARVESTING SYSTEM USING SEVERAL ENERGY SOURCES | 3 |
Ludivine Laurent | FR | Cuartres | 2009-12-03 / 20090297467 - COSMETIC COMPOSITION COMPRISING A SULPHONATED POLYMER AND AN ACRYLATE OR METHACRYLATE AND ACRYLATE OR METHACRYLATE HYDROXYESTER COPOLYMER, AND THE USE THEREOF AS A HAIR CARE PRODUCT | 1 |
Kris Laurent | GB | Hampshire | 2010-12-16 / 20100315765 - Casing Assembly | 1 |
Bernard Laurent | BE | Lustin | 2015-09-17 / 20150258519 - Handleable Calco-Magnesian Suspension | 2 |
Guy Laurent | FR | Toulousse | 2009-12-10 / 20090304688 - Methods and Compositions for Increasing the Efficiency of Therapeutic Antibodies Using Gamma Delta T Cell Activators | 1 |
Meersseman Laurent | BE | Kortrijk | 2010-09-30 / 20100243138 - FLOOR PANEL, AS WELL AS METHOD, DEVICE AND ACCESSORIES FOR MANUFACTURING SUCH FLOOR PANEL | 1 |
Jean-Yves Laurent | FR | Rennes | 2013-09-19 / 20130241790 - LARGE-AREA BROADBAND SURFACE-WAVE ANTENNA | 1 |
Christophe Laurent | IT | Agrate Brianza (mb) | 2013-11-28 / 20130318418 - ADAPTIVE ERROR CORRECTION FOR PHASE CHANGE MEMORY | 2 |
Alain Laurent | FR | Grenoble | 2015-07-30 / 20150210732 - METHODS OF FUNCTIONALIZATION AND REAGENTS USED IN SUCH METHODS USING AN AZA-ISATOIC ANHYDRIDE OR A DERIVATIVE THEREOF, BIOLOGICAL MOLECULES THUS TREATED AND KITS | 10 |
Daniel Laurent | CH | Marly | 2010-07-15 / 20100178576 - Fuel Cell with Integrated Fluid Management | 3 |
Christophe Laurent | IT | Agrate Brianza (mi) | 2013-10-24 / 20130283121 - ERROR CORRECTION CODE FOR UNIDIRECTIONAL MEMORY | 4 |
Anthony Laurent | FR | Cesson Sevigne Cedex | 2012-06-28 / 20120163476 - Fast Channel Change Companion Stream Solution with Bandwidth Optimization | 1 |
Pierre Laurent | IE | Quin | 2015-11-26 / 20150341473 - PACKET FLOW CLASSIFICATION | 3 |
Kristopher Laurent | GB | London | 2009-12-03 / 20090295736 - DEVICE HAVING AND METHOD FOR PROVIDING A GEMSTONE KEYMAT | 1 |
Kristopher Poh Ming Laurent | NZ | Auckland | 2009-10-22 / 20090260737 - METHOD OF FORMING A CONDUIT | 2 |
Yannick Laurent | CH | Neuchatel | / - | 1 |
Maxence Laurent | CH | Yverdon-Les-Bains | 2014-02-27 / 20140057650 - SYSTEM AND METHOD FOR DETERMINING A LOCATION AREA OF A MOBILE USER | 2 |
Etienne Laurent | BE | Seneffe | 2016-04-21 / 20160108149 - Double Loop Technology | 5 |
Mathilde Laurent | FR | Paris | 2014-03-06 / 20140061186 - INDUCTION COOKING DEVICE | 2 |
Pascal Laurent | FR | Marly, Melun | 2012-02-23 / 20120042657 - METHOD AND DEVICE FOR FEEDING A TURBOMACHINE COMBUSTION CHAMBER WITH A REGULATED FLOW OF FUEL | 1 |
Kris Laurent | GB | London | 2009-03-12 / 20090069061 - PROTECTIVE HOUSINGS FOR WIRELESS TRANSMISSION APPARATUS AND ASSOCIATED METHODS | 1 |
Henry Laurent | DE | Berlin | 2009-01-08 / 20090012321 - PROCESS FOR PREPARING 17ALPHA-ACETOXY-6-METHYLENEPREGN-4-ENE-3,20-DIONE, MEDROXYPROGESTERONE ACETATE AND MEGESTROL ACETATE | 1 |
Lee Ann Laurent-Applegate | CH | Bercher | 2015-06-04 / 20150152388 - PREPARATION OF PARENTAL CELL BANK FROM FOETAL TISSUE | 2 |
Lee Laurent-Applegate | CH | Bercher | 2013-09-26 / 20130251651 - Fetal Skin Cell Protein Compositions For The Treatment Of Skin Conditions, Disorders Or Diseases And Methods Of Making And Using The Same | 2 |
Adamo Laurenti | IT | Rapallo (genova) | 2012-02-16 / 20120040838 - COIL WITH SUPERCONDUCTIVE WINDINGS COOLED WITHOUT CRYOGENIC FLUIDS | 1 |
Dorothée Laurenti | FR | Rillieux-La-Pape | 2011-05-12 / 20110112202 - HYDRODENITROGENATION OF HYDROCARBON COMPOUNDS CONTAINING NITRILE OR AMINE FUNCTIONS | 2 |
Dorothée Laurenti | FR | Rillieux-La-Pape | 2011-05-12 / 20110112202 - HYDRODENITROGENATION OF HYDROCARBON COMPOUNDS CONTAINING NITRILE OR AMINE FUNCTIONS | 2 |
Adamo Laurenti | IT | Rapallo | 2009-12-24 / 20090315655 - COIL WITH SUPERCONDUCTIVE WINDINGS COOLED WITHOUT CRYOGENIC FLUIDS | 2 |
Gilbert Laurenti | FR | St. Paul | 2015-03-26 / 20150089301 - RECORDING PROCESSOR INSTRUCTION EXECUTION CYCLE AND NON-CYCLE COUNT TRACE EVENTS | 1 |
Gilbert Laurenti | FR | Saint Paul | 2012-02-16 / 20120042212 - Mixed Mode Processor Tracing | 2 |
Elisa Laurenti | CA | Toronto | 2012-10-04 / 20120252060 - Self-Renewing Single Human Hematopoietic Stem Cells, an Early Lymphoid Progenitor and Methods of Enriching the Same | 1 |
Riccardo Laurenti | IT | Scarmagno (torino) | 2013-12-12 / 20130327190 - TORQUE-CONTROLLED SCREWDRIVER FOR MEDICAL USE | 1 |
Gilbert Laurenti | FR | Saint Paul De Vence | 2009-08-13 / 20090204951 - Sliding Granularity Time Stamping | 1 |
Jean-Christophe Laurentin | FR | Cagnes Sur Mer | 2011-04-07 / 20110083177 - SOFTWARE LICENSE MANAGEMENT | 1 |
Joseph S. Laurentino | US | Roseville | 2014-10-30 / 20140320590 - REMOTE CLAIMS ADJUSTER | 1 |
Ryan J. Laurent, Iv | US | Loveland | 2015-12-31 / 20150374363 - LOCKOUT ENGAGEMENT FEATURES FOR SURGICAL STAPLER | 1 |
Stephane Laurent-Michel | US | Carlsbad | 2016-05-05 / 20160128136 - OUTDOOR UNIT RESONATOR CORRECTION | 4 |
Stephane Laurent-Michel | US | Longmont | 2011-06-09 / 20110134986 - Systems and methods providing in-phase and quadrature equalization | 2 |
Stephane Laurent-Michel | US | Tustin | 2014-05-22 / 20140140250 - METHOD AND SYSTEM FOR MITIGATING THE EFFECTS OF A TRANSMITTED BLOCKER AND DISTORTIONS THEREFROM IN A RADIO RECEIVER | 1 |
Stephane Laurent-Michel | US | Richmond Hill | 2016-01-21 / 20160020859 - METHOD FOR CALIBRATING DISTORTION CANCELATION IN DUPLEX COMMUNICATION SYSTEMS | 2 |
Mikkel Laurentzius | QA | 2015-12-03 / 20150345266 - METHOD AND APPARATUS FOR TREATING A SUBTERRANEAN REGION | 1 | |
Brendan Laurenzi | US | New Milford | 2015-07-16 / 20150196724 - APPARATUS AND METHOD FOR SIMULATING INHALATION EFFORTS | 2 |
Ian J. Laurenzi | US | Hampton | 2015-06-11 / 20150158789 - Methods and Systems for Producing Liquid Hydrocarbons | 1 |
Brendan F. Laurenzi | US | Middlebury | 2014-01-16 / 20140014106 - DRY POWDER DRUG DELIVERY SYSTEM AND METHODS | 1 |
Mark Laurenzi | US | Mountain Lakes | 2016-02-18 / 20160045655 - SYSTEMS AND METHODS FOR INCREASING CONVECTIVE CLEARANCE OF UNDESIRED PARTICLES IN A MICROFLUIDIC DEVICE | 1 |
Fabio Laurenzi | CH | Lugano | 2013-08-08 / 20130203872 - Process and Plant for the Production of Methanol with Isothermal Catalytic Beds | 1 |
Clement Laurenziani | FR | Clamart | 2014-12-18 / 20140370934 - Device for Access to the Internet Via a Mobile Communication Network | 1 |
Dominic Laurenzo | US | Los Angeles | 2010-04-15 / 20100093256 - Mobile toy with displaceable flywheel | 1 |
Terry M. Laurenzo | US | Denver | 2015-08-20 / 20150234873 - COMPUTER IMPLEMENTED METHODS AND SYSTEMS FOR MULTI-LEVEL GEOGRAPHIC QUERY | 3 |
Isaac Laurer | US | Yorktown Heights | 2013-08-29 / 20130224924 - PAD-LESS GATE-ALL AROUND SEMICONDUCTOR NANOWIRE FETS ON BULK SEMICONDUCTOR WAFERS | 2 |
Kurt Neal Laurer | US | Saratoga Springs | 2015-08-06 / 20150218974 - MODEL-BASED PARTIAL LETDOWN THRUST BALANCING | 3 |
Austin J. Laurer | US | Canton | 2015-03-19 / 20150076793 - ANTI-RATTLE DEVICE WITH HITCH COVER | 2 |
Austin Jon Laurer | US | Canton | 2016-03-10 / 20160068111 - BIKE CARRIER | 1 |
Jonathan Harold Laurer | US | Boone | 2010-08-19 / 20100210759 - Adhesive Compositions, Micro-fluid Ejection Devices and Methods for Attaching Mirco-Fluid Ejection Heads | 1 |
Christelle Lauret | GB | Sandwich | 2010-02-11 / 20100035980 - ANTIPARASITIC AGENTS | 3 |
Aurelie Lauret | FR | Lignieres De Touraine | 2015-09-03 / 20150245963 - FOLDABLE WHEELCHAIR FRAME INCLUDING A SELF LOCKING DEVICE | 1 |
Christelle Lauret | FR | Fleac | 2010-03-25 / 20100075956 - N-(1-ARYLPYRAZOL-4L)SULFONAMIDES AND THEIR USE AS PARASITICIDES | 2 |
Florence Lauret | FR | Rugles | 2012-09-13 / 20120230862 - ALUMINIUM FOIL ALLOY | 2 |
Sylvain Lauret | FR | Chatillon | 2014-02-06 / 20140035196 - Thermal-Conduction Element for Improving the Manufacture of a Package for Transporting and/or Storing Radioactive Materials | 1 |
Jean-Pierre Lauret | FR | Oyonnax | 2014-12-25 / 20140375797 - Optical system intended to measure BRDF, BSDF and BTDF | 2 |
Eric Lauret | BE | Tubize | 2015-07-16 / 20150198014 - REAMER AND BIT INTERACTION MODEL SYSTEM AND METHOD | 2 |
Christelle Lauret | GB | Kent | 2008-10-23 / 20080261940 - N-(1-Arylpyrazol-4L) Sulfonamides and their Use as Parasiticides | 1 |
Luisa Laureti | FR | Issy Les Moulineaux | 2012-06-07 / 20120142622 - STAMBOMYCIN AND DERIVATIVES, THEIR PRODUCTION AND THEIR USE AS DRUGS | 1 |
Inge Helena Hubert Laureyn | BE | Merendree-Nevele | 2013-01-10 / 20130012422 - PERFUME SYSTEMS | 2 |
Wim Laureyn | BE | Leuven | 2010-08-12 / 20100204415 - SELFASSEMBLED GRAFTED POLYMERIC LAYER FOR USE IN BIOSENSOR TECHNOLOGY | 1 |
Wim Laureyn | BE | Leefdaal | 2011-08-18 / 20110201098 - Polymer Replicated Interdigitated Electrode Array for Bio(Sensing) Applications | 2 |
Erik Lauri | SE | Molndal | 2010-09-16 / 20100234177 - METHOD FOR OPERATING AN AUTOMATIC OR SEMI-AUTOMATIC TRANSMISSION OF A HEAVY VEHICLE WHEN IN IDLE-DRIVING MODE | 8 |
Leone Lauri | IT | Belluno | 2013-10-10 / 20130267620 - Process for the production of expanded plastic materials, in particular PVC-based polymeric foams and a formulation of a polymeric blend for effecting said process | 3 |
Leone Lauri | IT | Conegliano - Treviso | 2014-12-04 / 20140357744 - Process for the production of PET foams and PET foams obtained with said process | 1 |
Massimiliano Lauria | IT | Sassari | 2008-10-09 / 20080244989 - Structure With Multiple Functions, Used as a Covering | 1 |
Silvia Lauria | DE | Saarbrucken | 2015-05-14 / 20150132229 - BIS AZAINOSITOL HEAVY METAL COMPLEXES FOR X-RAY IMAGING | 1 |
Paul Lauria | US | Clinton | 2013-01-31 / 20130026672 - Suture Containing Barbs | 3 |
Nick Lauria | US | Bozeman | 2014-08-28 / 20140244318 - SYSTEM AND METHOD FOR COLLECTING AND ASSESSING WILDFIRE HAZARD DATA* | 1 |
Vincent A. Lauria | US | Flowery Branch | 2010-12-02 / 20100304008 - ADHESIVE DETECTION METHODS | 1 |
Alessandro Lauria | IT | Sassari | 2008-10-09 / 20080244989 - Structure With Multiple Functions, Used as a Covering | 1 |
Giuseppe Lauria | IT | Venegono Superiore | 2014-06-05 / 20140151925 - METHOD FOR PRODUCING A CLOSED-SECTION PORTION OF AN AIRCRAFT PROVIDED WITH DEFORMATION SENSORS | 1 |
Yvonne Lauria | US | Harrison Township | 2015-09-24 / 20150265003 - INTERCHANGEABLE SHOE SKIN | 1 |
Agostino Lauria | IT | Sassari | 2008-10-09 / 20080244989 - Structure With Multiple Functions, Used as a Covering | 1 |
Jeffrey M. Lauria | US | Delaware | 2009-01-08 / 20090008324 - INTERMITTENT ANAEROBIC BIOLOGICAL TREATMENTS OF RAINFALL-INDUCED SEWERAGE | 1 |
Dario Lauria | IT | Torino | 2015-10-01 / 20150275714 - BYPASS VALVE FOR A LUBRICATING CIRCUIT OF AN INTERNAL COMBUSTION ENGINE EQUIPPED WITH A COOLER OF A RESPECTIVE LUBRICATING FLUID | 1 |
Michel Lauria | CA | Sherbrooke | 2011-02-24 / 20110045932 - DUAL DIFFERENTIAL SEMI-ACTIVE ACTUATOR FIT FOR INTERACTION TASKS AND FAST MOTION | 1 |
Keith Anthony Lauria | US | Niskayuna | 2014-04-03 / 20140093658 - METHODS AND SYSTEMS FOR JOINING MATERIALS | 2 |
Alexandra Lauric | US | Medford | 2010-11-11 / 20100284587 - ANEURYSM DETECTION | 1 |
Petru Lauric | US | Medford | 2015-08-20 / 20150234582 - DEBUG CONFIGURATION TOOL WITH LAYERED GRAPHICAL USER INTERFACE | 2 |
Mary Lauricella | US | Schiller Park | 2009-03-05 / 20090061942 - WIRELESS COMMUNICATION DEVICE | 1 |
Kenneth A. Lauricella | US | 2009-02-19 / 20090045836 - ASIC LOGIC LIBRARY OF FLEXIBLE LOGIC BLOCKS AND METHOD TO ENABLE ENGINEERING CHANGE | 1 | |
Kenneth A. Lauricella | US | Colchester | 2014-07-17 / 20140201466 - DATA RECOVERY FOR COHERENT ATTACHED PROCESSOR PROXY | 9 |
Kenneth A. Lauricella | US | Colchester | 2014-07-17 / 20140201466 - DATA RECOVERY FOR COHERENT ATTACHED PROCESSOR PROXY | 9 |
J. Tracy Lauricella | US | Lakewood | 2012-11-29 / 20120304283 - BROKERED ITEM ACCESS FOR ISOLATED APPLICATIONS | 1 |
Kenneth Anthony Lauricella | US | Colchester | 2014-11-13 / 20140337855 - Termination of Requests in a Distributed Coprocessor System | 3 |
Lawrence A. Laurich | US | Sunnyvale | 2014-04-17 / 20140108726 - ACCELERATOR SYSTEM FOR USE WITH SECURE DATA STORAGE | 3 |
Gene Laurich | US | Mentor | 2010-09-30 / 20100244977 - MULTICHANNEL STRIPLINE BALUN | 1 |
Jaclyn Laurich | US | Fairlawn | 2011-09-15 / 20110224362 - LATEX COMPOSITIONS | 1 |
Christian Laurichesse | FR | Lons | 2015-12-17 / 20150361204 - USE OF A FLUID POLYMERIC COMPOSITION FOR ENCAPSULATING PHOTOVOLTAIC MODULES | 5 |
Denis Laurichesse | FR | Tournefeuille | 2015-09-24 / 20150268353 - GEOPOSITIONING METHOD USING ASSISTANCE DATA | 4 |
Erik Mejdal Lauridsen | DK | Haarlev | 2014-04-24 / 20140112433 - LABORATORY X-RAY MICRO-TOMOGRAPHY SYSTEM WITH CRYSTALLOGRAPHIC GRAIN ORIENTATION MAPPING CAPABILITIES | 1 |
Sunny Lauridsen | US | Burbank | 2014-06-19 / 20140168177 - Wireless Stylus Device with Interchangeable Tips and Eraser | 1 |
Christian L. Lauridsen | US | Woodland Hills | 2012-04-05 / 20120083851 - METHOD FOR POLYAXIAL SCREW SYSTEM | 3 |
Niels Arne Lauridsen | DK | Varde | 2016-04-28 / 20160116099 - HEATABLE PACKER | 2 |
Klaus Grønnegård Lauridsen | DK | Viborg | 2014-06-26 / 20140178211 - METHOD FOR OPERATING A WASTEWATER PUMPING STATION | 1 |
Peter J. Lauridsen | DK | Risskov | 2014-11-13 / 20140336652 - Spinal Implant, Instrument for Preparation and Method of Use | 1 |
Steen Bjerg Lauridsen | DK | Kolding | 2011-09-01 / 20110210644 - SLIP RING ASSEMBLY WITH SHAFT HOLDER | 2 |
Frits Lauridsen | DK | Silkeborg | 2010-01-21 / 20100011493 - SELF-CLOSING MECHANISM | 1 |
Dorthe Lauridsen | DK | Vanlose | 2014-04-24 / 20140113027 - CAROTENOID COLORING COMPOSITION | 1 |
Jesper Lauridsen | DK | Copenhagen K | 2009-05-14 / 20090125331 - METHODS AND SYSTEMS FOR TREATING ILLNESSES | 1 |
Christina K. Lauridsen | US | Palo Alto | 2015-12-10 / 20150355825 - RECORDED HISTORY FEATURE IN OPERATING SYSTEM WINDOWING SYSTEM | 3 |
Christian Lauridsen | SE | Limhamn | 2012-05-17 / 20120119124 - VALVE AND A METHOD FOR PROVIDING SUCH A VALVE | 2 |
Christina Karen Lauridsen | US | Austin | 2012-05-24 / 20120131074 - Contact Information Management | 5 |
Kirsten Lauridsen | DK | Vejle | 2015-10-01 / 20150272149 - FERMENTED MILK PRODUCT | 1 |
Erik Mejdal Lauridsen | DK | Harlev | 2015-11-05 / 20150316493 - LABORATORY X-RAY MICRO-TOMOGRAPHY SYSTEM WITH CRYSTALLOGRAPHIC GRAIN ORIENTATION MAPPING CAPABILITIES | 5 |
Lene Lauridsen | DK | Riskov | 2014-12-11 / 20140363877 - PROCESS | 2 |
Christina K. Lauridsen | US | Austin | 2013-04-04 / 20130086001 - AUTOMATIC FILE VERSION VERIFICATION WITHIN ELECTRONIC MAIL | 23 |
Cathy Laurie | US | Saratoga | 2011-01-13 / 20110008793 - Maize Polymorphisms and Methods of Genotyping | 1 |
Mark Laurie | US | Palm Beach Gardens | 2009-06-11 / 20090145268 - HYBRID LOW PROFILE AND STANDARD RATCHET SYSTEM | 1 |
Mark Brian Laurie | AU | Torrensville | 2013-10-24 / 20130278439 - COMMUNICATION BETWEEN A SENSOR AND A PROCESSING UNIT OF A METAL DETECTOR | 1 |
Cathy C. Laurie | US | Saratoga | 2012-07-05 / 20120174254 - Maize genomic marker set | 1 |
Robert N. Laurie | ZA | Somerset West | 2014-02-20 / 20140050747 - Trace Elements | 1 |
David Laurie | GB | Boldon Tyne And Wear | 2011-05-05 / 20110105349 - USE OF A MONOPHOSPHATE ESTER OF A PHTHALEIN COMPOUND AS A SUBSTRATE FOR TARTRATE-RESISTANT ACID PHOSPHATASE B5 (TRAP 5B) | 1 |
Avrum Stephen Laurie | US | Seattle | 2010-07-22 / 20100185978 - CONTEXT PANE WITH SEMANTIC ZOOM | 1 |
Bennet Laurie | GB | London | 2012-10-18 / 20120265997 - PRIVACY-PRESERVING FLEXIBLE ANONYMOUS-PSEUDONYMOUS ACCESS | 2 |
Lawin Laurie | US | New Brighton | 2016-03-10 / 20160067385 - Coatings for Controlled Release of Highly Water Soluble Drugs | 1 |
Mathew T. Laurie | US | Olympia | 2015-05-14 / 20150133312 - COMPOSITIONS AND METHODS FOR DETECTING RARE NUCLEIC ACID MOLECULE MUTATIONS | 1 |
Susan Laurie | GB | Lintzford | 2012-08-23 / 20120210496 - CLOTHING INSERT | 1 |
Avrum S. Laurie | CA | Toronto | 2012-03-15 / 20120066645 - DETERMINATION AND DISPLAY OF RELEVANT WEBSITES | 1 |
Alexander Speirs Laurie | GB | Melbourn, Royston, Hertfordshire | 2015-12-10 / 20150351970 - MOISTURE INDICATOR DRESSING | 1 |
George Laurie | US | Yucaipa | 2016-03-10 / 20160073512 - Process for placing, securing and interconnecting electronic components | 1 |
Gordon W. Laurie | US | Charlottesville | 2011-03-17 / 20110065189 - Lacritin-Syndecan Interactions | 2 |
Philip Joseph Lauriello | US | Holmdel | 2013-08-08 / 20130201631 - MULTILAYER ELECTRONICS ASSEMBLY AND METHOD FOR EMBEDDING ELECTRICAL CIRCUIT COMPONENTS WITHIN A THREE DIMENSIONAL MODULE | 1 |
Dominic Laurienzo | US | Los Angeles | 2014-06-19 / 20140170931 - TOY FIGURE WITH REMOVABLE ACCESSORY THAT DOUBLES AS A SMART DEVICE STYLUS | 14 |
Dominic Laurienzo | US | Los Angeles | 2014-06-19 / 20140170931 - TOY FIGURE WITH REMOVABLE ACCESSORY THAT DOUBLES AS A SMART DEVICE STYLUS | 14 |
Ville Laurikari | FI | Littoinen | 2014-07-24 / 20140208338 - METHOD AND APPARATUS FOR PROVIDING TASK BASED MULTIMEDIA DATA | 1 |
Hannu Laurila | FI | Oulu | 2010-11-04 / 20100279734 - Multiprotocol Antenna For Wireless Systems | 1 |
Risto Laurila | FI | Helsinki | 2013-09-26 / 20130248139 - ANTICIPATORY FAILURE INDICATOR AND FLUID COOLING ARRANGEMENT | 1 |
Juha K. Laurila | CH | St-Legier | 2013-11-07 / 20130298247 - Method And Apparatus For User Information Exchange | 2 |
Hannu T. Laurila | FI | Oulu | 2012-11-22 / 20120293268 - Narrowband amplifier with improved interference suppression | 2 |
Juha Laurila | CH | St-Legier | 2012-07-05 / 20120172025 - METHOD AND APPARATUS FOR PROVIDING RECOMMENDATIONS BASED ON PRELOADED MODELS | 1 |
Juha Kalevi Laurila | CH | St-Legier | 2014-03-06 / 20140067938 - METHOD AND APPARATUS FOR VALIDATING CROWDSOURCED LOCATION DATA | 7 |
Heikki Laurila | FI | Pietarsaari | 2015-02-05 / 20150039257 - Process Calibrator, Method for Controlling a Process Calibrator and User Interface for a Process Calibrator | 2 |
Risto Laurila | FI | Espoo | 2014-12-04 / 20140352927 - APPARATUS | 4 |
Jyrki Laurila | FI | Oulu | 2011-06-23 / 20110154130 - METHOD AND APPARATUS FOR SECURE CROSS-SITE SCRIPTING | 1 |
Jukka Paavo Laurila | FI | Espoo | 2009-05-21 / 20090133014 - METHODS, APPARATUSES AND COMPUTER PROGRAM PRODUCT FOR AUTOMATIC DOWNLOAD OF SOFTWARE DEPENDENCIES ON INSTALLATION | 1 |
Mika Laurila | FI | Roykka | 2011-02-24 / 20110044178 - METHOD FOR IMPLEMENTING WIRELESS TELECOMMUNICATION NETWORKS | 1 |
Jussi Laurila | FI | Vantaa | 2011-02-03 / 20110024240 - ARRANGEMENT FOR THE CALL APPARATUS AND DISPLAY APPARATUS OF AN ELEVATOR | 1 |
Jari Laurila | FI | Kirkkonummi | 2010-12-30 / 20100332485 - ORDERING OF DATA ITEMS | 1 |
Timo Laurila | FI | Lahti | 2010-09-09 / 20100224505 - Electro-Chemical Method In An Enrichment Process | 1 |
Esa P. Laurila | SE | Skene | 2009-05-07 / 20090114625 - LASER BRAZING IMPROVEMENT WITH TWINSPOT | 1 |
Kari Laurila | FI | Tampere | 2014-03-27 / 20140085186 - METHOD FOR CONTROLLING MOBILE APPLICATIONS | 2 |
Toni Laurila | FI | Helsinki | 2010-09-16 / 20100235394 - METHOD AND APPARATUS FOR ACCESSING CONTENT BASED ON USER GEOLOCATION | 3 |
Antti Laurila | FI | Helsinki | 2014-06-19 / 20140173010 - GROUP COMMUNICATION FOR A VARIETY OF MEDIA TYPES AND DEVICES | 7 |
Jussi Laurila | FI | Helsinki | 2015-11-05 / 20150314983 - ELEVATOR SYSTEM | 1 |
Pirkka-Pekka Laurila | FI | Helsinki | 2015-12-24 / 20150368641 - Methods to screen compounds for regulating USF1 activity and methods and compounds to treat cardiometabolic and lipid pathologies | 1 |
Juha Laurila | SE | Jonkoping | 2015-12-31 / 20150377247 - FAN WHEEL | 1 |
Auli Laurila-Lumme | FI | Lohja | 2009-02-05 / 20090032207 - Process for Producing Mechanical Pulp Suitable for Paper or Cardboard Making | 1 |
Jean-Alain Laurin | CA | Chicoutimi | 2016-05-19 / 20160138138 - ALUMINUM ALLOY COMPOSITION WITH IMPROVED ELEVATED TEMPERATURE MECHANICAL PROPERTIES | 3 |
Olivier Laurin | CA | Richelieu | 2016-03-10 / 20160069073 - CURTAIN WALL ELEMENTS | 1 |
Mathias Laurin | DE | Nuemberg | 2016-03-10 / 20160068459 - IMMOBILIZED CATALYTICALLY ACTIVE COMPOSITION FOR HYDROFORMYLATION OF OLEFIN-CONTAINING MIXTURES | 1 |
Michael M. Laurin | US | Pittsfield | 2016-01-07 / 20160002429 - POLYMERIC SHEETS, METHODS FOR MAKING AND USING THE SAME, AND ARTICLES COMPRISING POLYMERIC SHEETS | 2 |
Dale Paul Laurin | US | Appleton | 2014-11-20 / 20140338570 - CONCRETE MIX AND PRODUCTS INCLUDING RECYCLED PORCELAIN | 1 |
Michel Laurin | US | Eastman | 2013-03-14 / 20130064743 - PROCESS FOR PURIFYING ZINC OXIDE | 1 |
Andre Paul Cyrille Laurin | CA | Point-Claire | 2011-04-21 / 20110093539 - System And Method For Innovation And Idea Management | 1 |
Michael Matthew Laurin | US | Pittsfield | 2014-05-08 / 20140128543 - METHODS OF MAKING POLYURETHANE COATED ARTICLES, AND ARTICLES MADE THEREFROM | 9 |
Michel Laurin | CA | Mirabel | 2010-07-22 / 20100181320 - Partitioned container with system for selectively providing access to a selected compartment | 1 |
Ylva Laurin | SE | Uppsala | 2014-10-09 / 20140302521 - SAMPLE PRESERVATION METHOD AND SAMPLE PRESERVATION SUBSTRATE | 1 |
Art Laurin | CA | Calgary | 2009-10-01 / 20090242201 - SYSTEM AND METHOD FOR POLYMER DISTRIBUTION TO WELL SITES | 1 |
Andre Paul Cyrille Laurin | CA | Pointe-Claire | 2009-05-21 / 20090132350 - Idea Management | 1 |
Pierre Laurin | CA | Quebec | 2010-11-04 / 20100279959 - Medium-Chain Length Fatty Acids, Glycerides and Analogues as Neutrophil Survival and Activation Factors | 1 |
Luc Laurin | CA | Gatineau | 2011-10-20 / 20110252722 - Surface and inground adjustable structural concrete piers | 1 |
Michael B. Laurin | US | South Hadley | 2012-04-12 / 20120085523 - MONOLITHIC COLD PLATE CONFIGURATION | 3 |
Michel Laurin | US | Lake Elsinore | 2014-10-09 / 20140298758 - FILM SEALING AND WRAPPING MACHINE WITH ROTARY CUT AND SEAL JAW | 4 |
Emile Laurin | US | Frederick | 2010-04-08 / 20100086638 - FEED FORMULATIONS CONTAINING DOCOSAHEXAENOIC ACID | 1 |
Luc Laurin | CA | Brossard | 2015-12-10 / 20150351326 - Plant Infiltration Device | 2 |
Julie Laurin | CA | St-Lazare | / - | 1 |
Luca Laurin | IT | Lissone | 2014-09-18 / 20140273390 - Bipolar Junction Transistors, Memory Arrays, and Methods of Forming Bipolar Junction Transistors and Memory Arrays | 3 |
Mike M. Laurin | US | Pittsfield | 2011-05-26 / 20110123764 - METHOD OF MAKING A MOLDED ARTICLE | 2 |
Pierre Laurin | CA | Ville Mont-Royal | 2016-02-11 / 20160039736 - Substituted Aromatic Compounds and Related Method for the Treatment of Fibrosis | 6 |
Alexandre Laurin | CA | St-Lambert | 2015-04-23 / 20150112209 - CARDIO-POSTURAL ASSESSMENT SYSTEM | 1 |
Michael Matthew Laurin | US | Pittsfield | 2014-05-08 / 20140128543 - METHODS OF MAKING POLYURETHANE COATED ARTICLES, AND ARTICLES MADE THEREFROM | 9 |
Jaakko Laurinen | FI | Turku | 2011-12-22 / 20110313666 - METHOD FOR MONITORING ENVIRONMENTAL IMPACTS OF CROP CULTIVATION | 1 |
Taavi Lauringson | EE | Tallinn | 2009-07-09 / 20090174183 - Adaptor for Handapplicator | 1 |
Joseph Laurino | US | Ft. Lauderdale | 2015-04-30 / 20150119529 - CONDUCTING POLYMER, 1-OCTADECENE, POLYMER WITH 2,5 FURNADIONE, METAL SALTS | 1 |
Joseph P. Laurino | US | Tierra Verde | 2010-09-02 / 20100222542 - Polycarbonate resin, and method of use of, poly (2-octadecyl-butanedioic acid) and the salts and esters thereof | 2 |
Joseph P. Laurino | US | Tampa | / - | 1 |
Ferdinand Laurino | US | Seattle | 2013-04-18 / 20130093449 - VOLTAGE TESTER HAVING ALTERNATIVELY ATTACHABLE OR SEPARABLE PROBES | 5 |
Paola Laurino | DE | Berlin | 2013-10-03 / 20130261211 - PROCESS FOR THE MODIFICATION OF POLYMERS, IN PARTICULAR POLYMER NANOPARTICLES | 2 |
Ferdinand Y. Laurino | US | Seattle | 2009-04-23 / 20090102925 - ERGONOMIC CONFIGURATIONS FOR THERMAL IMAGING CAMERAS | 1 |
Mark Edward Laurint | US | Jacksonville | 2010-07-29 / 20100186911 - Process for Increasing the Refiner Production Rate and/or Decreasing the Specific Energy of Pulping Wood | 1 |
Matteo Lauriola | IT | Foggia | 2010-05-27 / 20100126658 - METHOD OF MANUFACTURING A Z-SECTION COMPONENT FROM COMPOSITE MATERIAL | 1 |
Pascaline Lauriol-Garbey | FR | Lyon | 2014-06-19 / 20140171676 - METHOD FOR PREPARING ACROLEIN FROM GLYCEROL OR GLYCERINE | 3 |
Frank Laurisch | DE | Finsterwalde | 2016-04-28 / 20160120014 - SINGLE OR MULTI-PART INSULATING COMPONENT FOR A PLASMA TORCH, PARTICULARLY A PLASMA CUTTING TORCH, AND ASSEMBLIES AND PLASMA TORCHES HAVING THE SAME | 14 |
Roger Laurisch | DE | Dusseldorf | 2009-06-18 / 20090158062 - PERIPHERAL TELECOMMUNICATIONS DEVICE HAVING MOVABLE COVER WITH INTEGRATED ANTENNA | 1 |
Steffen Laurisch | DE | Berlin | 2009-03-12 / 20090067802 - DISTRIBUTOR SYSTEM AND METHOD FOR OPTICAL FIBERS | 1 |
Steffen Laurisch | DE | Grunheide | 2009-10-15 / 20090257727 - OPTICAL FIBER COUPLER MODULE | 2 |
Frank Laurisch | DE | Finsterwalde | 2016-04-28 / 20160120014 - SINGLE OR MULTI-PART INSULATING COMPONENT FOR A PLASMA TORCH, PARTICULARLY A PLASMA CUTTING TORCH, AND ASSEMBLIES AND PLASMA TORCHES HAVING THE SAME | 14 |
Joseph N. Laurita | US | Wayne | 2016-02-25 / 20160052348 - METHOD AND APPARATUS FOR MELTING SNOW OR ICE NEAR TIRES | 5 |
Joshua Laurito | US | New York | 2012-11-15 / 20120290370 - SYSTEMS AND METHODS FOR MANAGING BRAND LOYALTY | 2 |
Philippe Laurito | FR | Le Val De France | 2012-05-24 / 20120130430 - EXTRA-DISCAL INTERVERTEBRAL STABILIZATION DEVICE | 1 |
Philippe Laurito | FR | Le Val | 2015-05-07 / 20150127053 - SURGICAL DEVICE FOR CORRECTING DEFORMATION OF THE SPINAL COLUMN | 2 |
Theresa Leah Edillon Laurito | US | Fairfield | 2015-12-10 / 20150358505 - Imaging Device-Based User Authentication System and Methods | 1 |
Günter Lauritsch | DE | Nurnberg | 2015-12-10 / 20150356753 - FLUID-DYNAMIC ANALYSIS OF A VASCULAR TREE USING ANGIOGRAPHY | 5 |
Guenter Lauritsch | DE | Erlangen | 2008-10-09 / 20080247503 - MEASURING BLOOD VOLUME WITH C-ARM COMPUTED TOMOGRAPHY | 1 |
Günter Lauritsch | DE | Nurnberg | 2012-11-01 / 20120275656 - METHOD FOR SUPPLYING A 3D X-RAY IMAGE DATA RECORD FOR A MOVING OBJECT WITH HIGHLY ABSORBENT MATERIAL | 3 |
Guenter Lauritsch | DE | Nurnberg | 2012-07-26 / 20120189185 - Method and System for 3D Cardiac Motion Estimation from Single Scan of C-Arm Angiography | 1 |
Gunter Lauritsch | DE | Erlangen | 2009-08-27 / 20090214098 - Method for three-dimensional presentation of a moved structure using a tomographic method | 4 |
Günter Lauritsch | DE | Numberg | 2013-01-03 / 20130004040 - LEFT VENTRICLE EPICARDIUM ESTIMATION IN MEDICAL DIAGNOSTIC IMAGING | 1 |
Marc Richard Lauritsen | US | Harvard | 2010-03-04 / 20100057645 - System and Method for Decision Support | 1 |
Torben Lauritsen | DK | Holstebro | 2015-12-03 / 20150345475 - ABSORBER FOR A WIND TURBINE | 1 |
Steen M. Lauritsen | DK | Ega | 2015-05-07 / 20150125309 - WIND TURBINE BLADE | 2 |
Steen Morten Lauritsen | DK | Ega | 2011-06-30 / 20110158805 - PITCH CONTROL SYSTEM | 1 |
Klaus Lauritsen | DK | Vejle | 2015-11-12 / 20150321365 - PROGRAMMABLE HAIR TRIMMING SYSTEM | 1 |
Klaus Lauritsen | DK | Holstebro | 2009-10-01 / 20090249207 - Method of operating a media player | 1 |
Karsten Lauritzen | DK | Herning | 2013-09-05 / 20130230632 - PROCESS FOR PRODUCING LACTOSE-FREE MILK | 2 |
Thomas Lauritzen | US | West Hollywood | 2014-08-07 / 20140222103 - Cortical Visual Prosthesis | 2 |
Nicholas R. Lauritzen | US | Hurricane | 2013-12-26 / 20130341993 - BUCKLE POSITIONING DEVICE, AND SYSTEMS, KITS, AND METHODS RELATING THERETO | 1 |
Andrew T. Lauritzen | CA | Victoria | 2012-07-19 / 20120182300 - Shadowing Dynamic Volumetric Media | 2 |
Mogens Lauritzen | US | Los Altos | 2009-01-22 / 20090020110 - DETECTING AND REPORTING FAULTS IN SOLAR THERMAL SYSTEMS | 1 |
Jes Bruun Lauritzen | DK | Kobenhavn K | / - | 1 |
Richard Lauritzen | NO | Skien | 2011-12-15 / 20110304957 - Apparatus For Electric Power Distribution | 1 |
Brian Lauritzen | DK | Herlev | 2013-09-26 / 20130251722 - Antibodies Against Tissue Factor Pathway Inhibitor | 3 |
Nels Lauritzen | US | Piscataway | 2015-04-23 / 20150112380 - ANTI-REFLUX/HEARTBURN TREATMENT DEVICE | 4 |
Ann Marie Lauritzen | US | Houston | 2015-11-12 / 20150321182 - A DEHYDROAROMATIZATION CATALYST, METHOD OF MAKING AND USE THEREOF | 23 |
Connie Lauritzen | DK | Rødovre | 2011-09-29 / 20110236367 - RAT CATHESPIN DIPEPTIDYL PEPTIDASE I (DPPI): CRYSTAL STRUCTURE AND ITS USES | 1 |
Ann Marie Lauritzen | US | Houston | 2015-11-12 / 20150321182 - A DEHYDROAROMATIZATION CATALYST, METHOD OF MAKING AND USE THEREOF | 23 |
Keith E. Lauritzen | US | Lake Oswego | 2012-10-25 / 20120271198 - VASCULAR GUIDEWIRE CONTROL APPARATUS | 1 |
Nels J. Lauritzen | US | Piscataway | 2012-11-01 / 20120276150 - SOFT TISSUE WRAP | 3 |
Dallin Lauritzen | US | Orem | 2014-06-12 / 20140164906 - SYSTEM AND METHOD FOR DISPLAYING CONTENT ON MOBILE DEVICES | 2 |
Chris Lauritzen | US | San Francisco | 2015-10-29 / 20150309670 - METHODS, SYSTEMS, AND MEDIA FOR NAVIGATING A USER INTERFACE USING DIRECTIONAL CONTROLS | 3 |
Benny Lauritzen | DK | Vojens | 2013-11-14 / 20130303063 - Scoring Machine | 2 |
Petter Lauritzson | SE | Hassleholm | 2014-11-27 / 20140350193 - NOVEL MANGANESE COMPRISING NANOSTRUCTURES | 1 |
Paul A. Lauro | US | Yorktown Heights | 2010-12-09 / 20100310775 - Spalling for a Semiconductor Substrate | 1 |
Paul Alfred Lauro | US | Brewster | 2011-02-10 / 20110034047 - Negative Thermal Expansion System (NTES) Device for TCE Compensation in Elastomer Composites and Conductive Elastomer Interconnects in Microelectronic Packaging | 4 |
Renato Lauro | IT | Roma | 2009-12-03 / 20090298918 - Alternative Splicing Isoform of Lox-I Protein Encoding Gene, and Uses Thereof | 1 |
Paul Alfred Lauro | US | Nanuet | 2014-07-10 / 20140191775 - REDOX METHOD OF FORMING A COAXIAL PROBE STRUCTURE OF ELONGATED ELECTRICAL CONDUCTORS PROJECTING FROM A SUPPORT STRUCTURE | 12 |
John Melecio Lauro | US | Kaneohe | 2013-08-22 / 20130216759 - APPARATUS AND SYSTEM FOR FORMING CONCRETE BLOCKOUTS | 1 |
Paul A. Lauro | US | Brewster | 2016-04-28 / 20160118358 - DIRECT INJECTION MOLDED SOLDER PROCESS FOR FORMING SOLDER BUMPS ON WAFERS | 49 |
Maria Rosaria Lauro | IT | Nocera Inferiore (salemo) | 2009-02-26 / 20090053317 - MICROPARTICULATE SYSTEMS FOR THE ORAL ADMINISTRATION OF BIOLOGICALLY ACTIVE SUBSTANCES | 1 |
Vittoria Lauro | IT | Bologna | 2014-08-21 / 20140235662 - RIFAXIMIN POWDER, PROCESS FOR PREPARING THE SAME AND CONTROLLED RELEASE COMPOSITIONS CONTAINING SAID RIFAXIMIN USEFUL FOR OBTAINING A LONG-LASTING EFFECT | 4 |
B. Reno Lauro | US | Murphy | 2013-07-04 / 20130167372 - METHOD OF FORMING A LEAD | 2 |
Reno B. Lauro | US | Garland | 2014-09-18 / 20140268751 - SURGICAL LIGHT WITH BEAM REDIRECTING OPTICS | 1 |
Ken Lauro | US | Mahopac | 2012-07-26 / 20120187029 - POOL SKIMMER INTAKE WITH ELECTRONIC ACCESSORIES | 1 |
Paul A. Lauro | US | Armonk | 2012-11-08 / 20120282782 - Thin Substrate Fabrication Using Stress-Induced Spalling | 4 |
B. Reno Lauro | US | Garland | 2009-08-20 / 20090205628 - Flameless barbecue grill | 1 |
Mary Lauro | US | Billerica | 2014-03-13 / 20140069823 - Disposable urea sensor and system for determining creatinine and urea nitrogen-to-creatinine ratio in a single device | 1 |
Mary M. Lauro | US | N. Billerica | 2010-09-09 / 20100224488 - Bilirubin Sensor | 1 |
Helene Lauron-Pernot | FR | Paris | 2011-11-24 / 20110288342 - METHOD OF CONVERTING ALCOHOLS IN THE PRESENCE OF A CATALYST OF PRETREATED ZINC OXIDE TYPE | 1 |
Brian Sogaard Laursen | DK | Kalundborg | 2015-12-10 / 20150351433 - Method | 3 |
Dana E. Laursen | US | Corvallis | 2014-10-02 / 20140293321 - DOCUMENT GENERATION BASED ON TARGET DEVICE AVAILABILITY | 4 |
Morten S. Laursen | DK | Aarhus C | 2014-01-02 / 20140001276 - SPRAY BOOM FOR SELECTIVELY SPRAYING A HERBICIDAL COMPOSITION ONTO DICOTS | 1 |
Paul Laursen | CA | North York | 2014-05-29 / 20140145705 - Device, System and Method for Locating a Pipe | 3 |
Uffe Laursen | DK | Kerteminde | 2013-08-22 / 20130217810 - Surface Treating Agent Containing a Film Forming Resin Composition as Well as Fillers, and Use Thereof | 1 |
Paul Laursen | CA | Toronto | 2014-09-18 / 20140266009 - APPARATUS AND METHOD FOR IN-LINE CHARGING OF A PIPELINE TOOL | 6 |
Brian Sogaard Laursen | NL | Kalunbourg | 2014-12-25 / 20140377841 - Detergent Compositions Containing Bacillus Agaradhaerens Mannanase and Methods of Use Thereof | 3 |
Christian Laursen | DK | Hedensted | 2015-10-22 / 20150300322 - REINFORCED PITCH BEARING OF A WIND TURBINE | 8 |
Lasse Mygind Laursen | DK | Knejsted | 2012-04-19 / 20120093450 - BEARING FOR A WIND TURBINE AND A CANISTER FOR A BEARING | 1 |
Paul Laursen | NZ | Hamilton | 2014-04-03 / 20140091113 - Ice Slurry Delivery System and Components Thereof | 1 |
Michael Laursen | DK | Kolding | 2015-07-02 / 20150188472 - METHOD FOR CONTROLLING A SYNCHRONOUS RELUCTANCE ELECTRIC MOTOR | 2 |
Brian Sogaard Laursen | DK | Kalunbourg | 2014-03-13 / 20140073548 - DETERGENT COMPOSITIONS CONTAINING BACILLUS SP. MANNANASE AND METHODS OF USE THEREOF | 1 |
Steen Broch Laursen | DK | Odense C | 2016-03-10 / 20160067710 - DEVICE FOR ANALYSIS OF CELLULAR MOTILITY | 1 |
Morten Laursen | DK | Norresundby | 2011-12-22 / 20110310754 - CELL FOR SELF-CONFIGURING WIRELESS COMMUNICATION NETWORK | 1 |
Michael Bjerre Laursen | DK | Odense Sv | 2013-11-28 / 20130317782 - TIMESTAMPING IN WIND TURBINES | 1 |
Majbrit Dora Laursen | DK | Bjerringbro | 2016-03-10 / 20160066593 - PROCESS FOR THE PREPARATION OF A HEAT-RESISTANT CHOCOLATE PREPARATION | 1 |
Anna Lis Laursen | US | Clifton Park | 2013-11-21 / 20130305744 - CNG DELIVERY SYSTEM WITH CRYOCOOLER AND METHOD OF SUPPLYING PURIFIED CNG | 1 |
Christian Laursen | DK | Hedensted | 2015-10-22 / 20150300322 - REINFORCED PITCH BEARING OF A WIND TURBINE | 8 |
Brian Sogaard Laursen | DK | Solbjerg | 2013-08-01 / 20130195948 - Composition | 2 |
Søren Deleuran Laursen | DK | Vestbjerg | 2013-12-05 / 20130321077 - SWITCHABLE VRAMP LIMITER | 3 |
Jesper Monrad Laursen | DK | Silkeborg | 2016-03-24 / 20160084223 - LIFT INFLUENCING DEVICE FOR A ROTOR BLADE OF A WIND TURBINE | 1 |
Anne Kathrine Laursen | DK | Skanderborg | 2016-03-17 / 20160073663 - EMULSIFIER COMPOSITION | 1 |
Bo Wegge Laursen | DK | Roskilde | 2011-05-12 / 20110111519 - Reagent for detecting an analyte | 1 |
Soren Deleuran Laursen | DK | Vestbjerg | 2013-01-31 / 20130029618 - SWITCHABLE VRAMP LIMITER | 1 |
Soeren Graugaard Laursen | DK | Harlev | 2011-03-03 / 20110048224 - HYDRAULIC PUMP OR HYDRAULIC MOTOR HAVING A ROTATION SPEED SENSOR | 1 |
Jesper Laursen | DK | Silkeborg | 2010-08-05 / 20100196166 - Optimised layout for wind turbine rotor blades | 3 |
Niels Bech Laursen | DK | Hojbjerg | 2015-06-11 / 20150158922 - TRANSGENIC PLANTS EXPRESSING COBALAMIN BINDING PROTEINS | 4 |
Michael Laursen | DK | Soenderborg | 2009-11-26 / 20090289008 - LIQUID TREATMENT APPARATUS | 1 |
Soeren Laursen | DK | Allerod | 2009-11-26 / 20090292721 - System and Method for Application of Hash Function in Telecommunication and Networking | 1 |
Ronald Eric Laursen | CA | Montreal | 2013-03-07 / 20130060717 - COMPREHENSIVE SAVINGS AND INVESTMENT METHOD AND SYSTEM | 1 |
Erik Laursen | US | Kirkland | 2010-12-16 / 20100314339 - EQUIPMENT RACK PANEL SYSTEM AND METHOD | 4 |
Alexander C. Laursen | US | Berkley | 2010-10-21 / 20100264179 - Roof rack with stowable crossrails | 1 |
Christopher J. Laursen | US | Oviedo | 2010-03-11 / 20100061791 - Method and Apparatus for Applying Insect Repellant | 1 |
Peter Schmidt Laursen | DK | Skanderborg | 2015-10-01 / 20150276454 - CONSUMPTION METER HOUSING WITH FEED THROUGH FOR EXTERNAL COMMUNICATION EQUIPMENT | 5 |
Kirk Laursen | US | Atlanta | 2009-07-09 / 20090174475 - Smart linearized power amplifier and related systems and methods | 1 |
Andrew Leslie Laursen | US | Auburn | 2009-04-16 / 20090097406 - METHOD AND APPARATUS FOR ACHIEVING DYNAMIC CAPACITY AND HIGH AVAILABILITY IN MULTI-STAGE DATA NETWORKS USING ADAPTIVE FLOW-BASED ROUTING | 1 |
Michael Laursen | DK | Odense Sv | 2009-07-23 / 20090187283 - Fail-safe system for controlling wind turbines | 1 |
Thomas Laursen | US | Clifton Park | 2009-01-29 / 20090027636 - Lithographic Apparatus, Reflective Member And A Method of Irradiating The Underside Of A Liquid Supply System | 1 |
Thomas A. Laursen | US | Canton | 2008-10-16 / 20080251037 - STEAM GENERATOR ARRANGEMENT | 1 |
Jesper Laursen | DK | Allerod | 2009-04-23 / 20090105963 - Methods for Flow Cytometry Analyses of Un-Lysed Cells from Biological Fluids | 2 |
Erik Laursen | DK | Haslev | 2015-11-12 / 20150322604 - CaCO3 IN POLYESTER FOR NONWOVEN AND FIBERS | 1 |
Henrik L. Laursen | DK | Roskilde | 2009-03-19 / 20090071203 - SECURING MECHANISM FOR WINDOWS AND DOORS | 1 |
Cecilie Høj Laursen | DK | Hadstein | 2009-01-29 / 20090026878 - Can of Wet-Running Electric Motor And Pump Assembly | 1 |
Mickey Damgaard Laursen | DK | Vejle | 2008-10-23 / 20080260899 - Chewing Gum Piece and Process for Making the Same | 1 |
Linas P. Laurusonis | US | Lake Villa | 2014-05-29 / 20140148784 - WEARABLE AUTOMATIC INJECTION DEVICE FOR CONTROLLED ADMINISTRATION OF THERAPEUTIC AGENTS | 2 |
Linas P. Laurusonis | US | Gurnee | 2016-04-21 / 20160106921 - WEARABLE AUTOMATIC INJECTION SYSTEM AND APPARATUS | 6 |
John C. Laurx | US | Aurora | 2016-04-14 / 20160104990 - RIGHT ANGLE ADAPTOR | 7 |
John Laurx | US | Aurora | 2014-09-18 / 20140273551 - CABLE MODULE CONNECTOR ASSEMBLY SUITABLE FOR USE IN BLIND-MATE APPLICATIONS | 11 |
John C. Laurx | IL | Aurora | 2011-09-01 / 20110212650 - CONNECTOR WITH OVERLAPPING GROUND CONFIGURATION | 1 |
Lisa Laury-Kleintop | US | Ambler | 2015-04-23 / 20150111765 - BIOCOATED PIEZOELECTRIC BIOSENSOR PLATFORM FOR POINT-OF-CARE DIAGNOSTIC USE | 2 |
Carl Lauryssen | US | Beverly Hills | 2016-03-03 / 20160058578 - Dynamically Expandable Cannulae and Systems and Methods for Performing Percutaneous Surgical Procedures Employing Same | 14 |
Luc Marc Leonie Lauryssen | BE | Zoersel | 2012-11-29 / 20120302484 - COMPOSITION FOR THE PREVENTION OR REMOVAL OF INSOLUBLE SALT DEPOSITS | 1 |
Carl Lauryssen | US | Beverly Hills | 2016-03-03 / 20160058578 - Dynamically Expandable Cannulae and Systems and Methods for Performing Percutaneous Surgical Procedures Employing Same | 14 |
Carl Lauryssen | US | Malibu | 2009-08-27 / 20090216272 - METHOD AND SYSTEM FOR TRANS-LAMINA SPINAL FIXATION | 1 |
Carl Lauryssen | US | Center Valley | 2015-06-25 / 20150173915 - SPINAL INTERBODY DEVICE, SYSTEM AND METHOD | 1 |
Gary Pierre Lauryssen | ZA | Gauteng | 2010-07-29 / 20100191330 - HUMAN MAMMARY PROSTHETIC SUPPORT AND METHOD OF IMPLANTING | 1 |
Marc Christiaan Laus | NL | Haren | 2015-07-02 / 20150183840 - POTATO PROTEIN ISOLATES | 1 |
Oliver Laus | DE | Mannheim | 2010-08-26 / 20100216964 - METHOD FOR PRODUCING ARYL-ARYL COUPLED COMPOUNDS | 1 |
Reiner Laus | US | Bellevue | 2015-12-03 / 20150344543 - COMPOSITIONS EMPLOYING ALTERNATIVE READING FRAME POLYPEPTIDES FOR THE TREATMENT OF CANCER AND INFECTIOUS DISEASE | 5 |
Gerhard Laus | AT | Innsbruck | 2011-12-15 / 20110306764 - CRYSTALLINE FORM OF AN ORGANIC COMPOUND | 1 |
Norbert Laus | DE | Simmelsdorf | 2014-08-07 / 20140218053 - Plastic Film and Touch Sensor | 1 |
Michele Laus | IT | Alessandria | 2011-02-17 / 20110040039 - TETRAFLUOROETHYLENE POLYMER PARTICLES | 2 |
Reiner Laus | US | Saratoga | 2011-01-13 / 20110008386 - USE OF MVA TO TREAT PROSTATE CANCER | 4 |
Norbert Laus | DE | Furth-Burgfarrnbach | 2015-11-19 / 20150334824 - Multilayer Body Having Electrically Conductive Elements and Method for Producing Same | 3 |
Marc Christiaan Laus | NL | Groningen | 2013-10-24 / 20130281669 - NATIVE POTATO PROTEIN ISOLATES | 3 |
Juergen Lausch | DE | Neumarkt | 2014-01-30 / 20140030934 - ELECTRICAL CONNECTION ELEMENT | 1 |
Ralf Lausch | DE | Goettingen | 2016-04-28 / 20160116076 - STABILIZED VALVE WITH GUIDE | 4 |
Matthew Lausch | CA | Toronto | 2010-08-19 / 20100207943 - MODULAR SHADER ARCHITECTURE AND METHOD FOR COMPUTERIZED IMAGE RENDERING | 2 |
Hans-Rolf Lausch | DE | Alzenau | 2011-02-03 / 20110028664 - SUPERABSORBENT POLYMER MADE FROM ACRYLIC ACID | 1 |
Holger Lausch | DE | Jena | 2015-07-09 / 20150192521 - METHOD, DEVICE AND PORTABLE METER FOR DETECTING DEGRADATION PRODUCTS OF BIOLOGICAL MOLECULES IN LAYERS OF A LAYER SYSTEM | 4 |
Robert C. Lausch | US | Lititz | 2016-03-03 / 20160059526 - SURFACE COVERING WITH WEAR LAYER HAVING DISPERSED WEAR RESISTANT PARTICLES AND METHOD OF MAKING THE SAME | 2 |
Peter Lausch | DE | Krefeld | 2009-04-02 / 20090087153 - Optical Fiber Microcable with Multilayer Protective Sheath | 1 |
Johannes Lausch | AT | Gramatneuseidl | 2008-10-09 / 20080245462 - Method of making add-on armor | 1 |
Axel Lausch | DE | Koenigsbach-Stein | 2009-11-05 / 20090275477 - Cryostat Having A Magnet Coil Syste,Which Comprises An LTS Section And A Heatable HTS Section | 1 |
David Lausch | FR | Ludres | 2011-12-29 / 20110318096 - Dual Material Device, Casing and Vehicle Provided With Said Device, and Method for Making Said Device | 1 |
Joseph Lausch | US | Dublin | 2015-11-05 / 20150314918 - Container Covering System | 1 |
Joerg Lauscher | DE | Bremen | 2010-12-02 / 20100300643 - Casting roll for a two-roll casting device and two-roll casting device | 1 |
Friedel Lauscher | DE | Kreuzau-Drove | 2012-09-27 / 20120241278 - DUAL CLUTCH ASSEMBLY FOR A TRANSMISSION HAVING TWO INPUT SHAFTS | 2 |
Dirk Lauscher | DE | Darmstadt | 2009-07-09 / 20090172896 - Colorant with nacreous luster for keratin fibers | 1 |
Joseph Lauscher | DE | München | 2014-11-06 / 20140326196 - Device, Set and Method for Limiting a Freedom of Movement of a Living Being | 1 |
Brian Anthony Lause | US | Milwaukee | 2013-07-18 / 20130184580 - COLOR FLOW IMAGE AND SPECTROGRAM ULTRASOUND SIGNAL SHARING | 3 |
Brian A. Lause | US | Milwaukee | 2013-10-24 / 20130281859 - ULTRASOUND IMAGING SYSTEM AND METHOD | 1 |
Emiliano Lausell | US | Caguas | 2013-04-25 / 20130098852 - PC TABLET HOLDER AND METHOD OF USE THEREOF | 1 |
Berthold Lausen | DE | Erlangen | 2010-01-07 / 20100003255 - GENE EXPRESSION PATTERN PREDICTIVE FOR COLORECTAL CARCINOMAS | 1 |
Hans Henrik Lausen | DK | Randers | 2011-05-19 / 20110115224 - METHOD FOR CONTROLLING AT LEAST ONE ADJUSTMENT MECHANISM OF A WIND TURBINE, A WIND TURBINE AND A WIND PARK | 1 |
Rebecca Lauseng | US | Lake Zurich | 2010-02-25 / 20100049542 - SYSTEMS, ARTICLES OF MANUFACTURE, AND METHODS FOR MANAGING BLOOD PROCESSING PROCEDURES | 1 |
Angelique Lausier | US | Mountain View | 2009-08-20 / 20090210270 - Systems and methods for providing direct communication from personalized targeted advertisements | 1 |
Jukka Lausmaa | SE | Goteborg | 2015-04-02 / 20150093363 - OSTEOGENIC DIFFERENTIATION OF MESENCHYMAL STEM CELLS | 5 |
Matthew Darold Lausted | US | Hudson | 2015-10-22 / 20150298851 - METHODS AND SYSTEMS FOR IDENTIFYING PRODUCT | 2 |
Matthew D. Lausted | US | Hudson | 2013-11-07 / 20130292355 - COLLAPSIBLE BOTTLE | 1 |
Christopher Lausted | US | Seattle | 2014-09-25 / 20140287949 - MULTIPLEX ASSAY FOR MEMBERS OF BINDING PAIRS | 2 |
Michael Lauster | DE | Schwaebisch Gmuend | 2011-12-22 / 20110308959 - PROCESS FOR THE DEPOSITION OF PLATINUM-RHODIUM LAYERS HAVING IMPROVED WHITENESS | 3 |
Guenther Lauster | DE | Worms | 2013-07-04 / 20130172598 - METHOD FOR PRODUCING ETHYLENE GLYCOL DIMETHACRYLATE | 3 |
Roland Lauster | DE | Berlin | 2015-04-02 / 20150093428 - 3D IN VITRO BI-PHASIC CARTILAGE-BONE CONSTRUCT | 5 |
Delphine Laustriat | FR | Evry Cedex | 2014-07-03 / 20140187595 - Methods and Compositions Comprising AMPK Activator (Metformin/Troglitazone) for the Treatment of Myotonic Dystrophy Type 1 (DM1) | 2 |
Barbara Ann Laustsen | US | Ocean | 2012-06-07 / 20120137553 - SCREEN SAFETY DEVICE | 1 |
Mads Laustsen | DK | Copenhagen | 2010-07-22 / 20100184149 - Method For Producing a Biopolymer (e.g. polypeptide) In A Continuous Fermentation Process | 1 |
Soren Laustsen | CA | Cambridge | 2013-06-20 / 20130155311 - CAMERA MODULE HAVING PROTRUDING LENS BARREL | 1 |
Sven Christian Laut | DE | Griesheim | 2013-05-09 / 20130114010 - POLYMERISABLE MIXTURES AND THE USE THEREOF IN LIQUID CRYSTAL DISPLAYS | 2 |
Antoine Laut | FR | Etrepagny | 2012-05-03 / 20120103331 - METER FOR FLUID OR POWDERY PRODUCT DISPENSING DEVICE | 4 |
Sophie Pierrette Laut | US | Woodland Hills | 2015-03-26 / 20150086206 - FIBER OPTIC SENSING SYSTEMS AND METHODS OF OPERATING THE SAME | 1 |
Antoine Laut | FR | Wy Dit Joli Village | 2015-03-26 / 20150083129 - DRY POWDER INHALATOR | 2 |
Aki Lautala | FI | Tampere | 2015-10-15 / 20150290648 - METHOD FOR LIFTING AN INNER WEAR PART OF A GYRATORY OR CONE CRUSHER, AN INNER WEAR PART, A GYRATORY OR CONE CRUSHER AND AN INNER WEAR PART LIFTING TOOL | 4 |
Donny Lautan | DE | Berlin | 2016-02-11 / 20160040295 - METHOD FOR ACTIVATING A COPPER SURFACE FOR ELECTROLESS PLATING | 1 |
Rauno Lautanen | FI | Hyvinkaa | 2011-04-28 / 20110097508 - Method and apparatus for mixing and feeding solid matter and binder | 1 |
Elizabeth Hunter Lauten | US | New York | 2014-04-17 / 20140107047 - COSMETIC COMPOSITIONS FOR INCREASING BIOAVAILABILITY OF ACTIVE COMPOUNDS | 1 |
Fred Lauten | US | Andover | 2008-10-02 / 20080241490 - Sprayable Aerogel Insulation | 1 |
Cecilia Leal Lauten | NO | Oslo | 2012-07-26 / 20120189689 - ACOUSTICALLY SENSITIVE DRUG DELIVERY PARTICLES COMPRISING NON-LAMELLAR FORMING PHOSPHATIDYLCHOLINE | 5 |
Alexander Lauten | DE | Jena | 2015-11-26 / 20150335421 - VALVE PROSTHESIS FOR THE REPLACEMENT OF AN ATRIOVENTICULAR VALVE | 4 |
Scott D. Lautenbach | US | San Mateo | 2014-12-25 / 20140378900 - Osmotic Delivery Systems and Piston Assemblies for Use Therein | 11 |
Scott D. Lautenbach | US | San Mateo | 2014-12-25 / 20140378900 - Osmotic Delivery Systems and Piston Assemblies for Use Therein | 11 |
Frank Lautenbach | DE | Mainz | 2014-10-09 / 20140304556 - Performing Automated System Tests | 1 |
Frank Lautenbach | DE | Loerzweiler | 2009-10-01 / 20090243925 - INFRASTRUCTURE AND METHOD FOR GEOGRAPHY BASED VEHICLE ALERT | 1 |
Holger Lautenbach | DE | Rheinfelden | 2011-12-08 / 20110296632 - AQUEOUS DYE DISPERSIONS | 4 |
Scott C. Lautenbach | US | San Mateo | 2015-08-20 / 20150231062 - TWO-PIECE, INTERNAL-CHANNEL OSMOTIC DELIVERY SYSTEM FLOW MODULATOR | 1 |
Thomas Lautensack | DE | Alteiningen | 2011-10-13 / 20110251052 - CATALYST FOR THE OXIDATION OF SO2 TO SO3 | 2 |
Thomas Lautensack | DE | Birkenau | 2013-02-14 / 20130039821 - CATALYST SYSTEM AND METHOD FOR GAS PHASE OXIDATION USING AN UPSTREAM LAYER | 7 |
Werner Lautenschlaeger | CH | Widnau | 2013-09-19 / 20130240345 - MICROWAVE-BASED METHOD AND DEVICE FOR PRODUCING HIGH-PURITY LIQUIDS | 1 |
Wolfram Lautenschlaeger | DE | Sachsenheim | 2012-04-19 / 20120092996 - Method Of Managing A Traffic Load | 2 |
Stefan Lautenschlaeger | DE | Hausen | 2014-07-31 / 20140210600 - METHOD, MOBILE APPLICATION AND MEDICAL SYSTEM TO DETERMINE ASSISTIVE INFORMATION FOR A MEDICAL APPARATUS | 2 |
Gerhard Lautenschlaeger | DE | Jena | 2013-08-15 / 20130209751 - ALKALI ALUMINOSILICATE GLASS FOR 3D PRECISION MOLDING AND THERMAL BENDING | 5 |
Wolfram Lautenschlaeger | DE | Stuttgart | 2012-03-15 / 20120063461 - Method Of Routing A Packet | 1 |
Werner Lautenschlaeger | DE | Leutkirch | 2014-05-01 / 20140117008 - Pressure Vessel | 1 |
Jens Lautenschlaeger | CH | Heerbrugg | 2014-05-01 / 20140117008 - Pressure Vessel | 1 |
Eric Lautenschlager | US | Geneva | 2010-07-08 / 20100172518 - MICROPHONE AND ORIENTATION SENSOR ASSEMBLY | 1 |
W. Lautenschlager | CH | Heerbrugg | 2010-02-04 / 20100025395 - Apparatus for the heating of molding, in particular dental-ceramic moldings | 1 |
Eric Lautenschlager | US | Bloomington | / - | 1 |
Tobias Lautenschlager | DE | Groebenzell | 2010-10-28 / 20100272384 - FORCE-MOMENT SENSOR | 1 |
Christian Lautenschlager | CH | Geneva | 2008-12-11 / 20080304655 - Interruption Device for a Data Communication Line | 1 |
Felix Lautenschlager | DE | Abensberg | 2013-12-19 / 20130336583 - DETERMINING MODEL PARAMETERS BASED ON TRANSFORMING A MODEL OF AN OBJECT | 1 |
Daniel Lautenschlager | DE | Klein-Winternheim | 2016-02-04 / 20160031384 - MOTOR VEHICLE WITH A STORAGE BAG FOR A BICYCLE | 1 |
Eric J. Lautenschlager | US | Geneva | 2015-12-17 / 20150365770 - MEMS Device With Optical Component | 7 |
Tobias Lautenschlager | DE | Grobenzell | 2014-08-21 / 20140230486 - METHOD AND DEVICE FOR RECOVERING HIGH-PRESSURE OXYGEN AND HIGH-PRESSURE NITROGEN | 1 |
Stefan Lautenschlager | DE | Forchheim | 2009-03-26 / 20090082660 - CLINICAL WORKFLOW FOR TREATMENT OF ATRIAL FIBRULATION BY ABLATION USING 3D VISUALIZATION OF PULMONARY VEIN ANTRUM IN 2D FLUOROSCOPIC IMAGES | 1 |
Johannes Lautenschlager | DE | Bad Aibling | 2011-10-27 / 20110259826 - METHOD FOR PROCESSING WASTE WATER LOADED WITH NITROGEN-CONTAINING COMPOUNDS | 1 |
Tanja Lautenschlager | DE | Klausdorf/schwentine | 2009-05-28 / 20090136592 - Vitamin preparation | 1 |
Franz Lautenschlager | DE | Neutraubling | 2016-02-18 / 20160046448 - DRIVE FOR A TRANSPORT DEVICE, SET OF DRIVES AND METHOD FOR DRIVING A TRANSPORT DEVICE | 1 |
Hans Lautenschlager | DE | Leichlingen | 2010-09-30 / 20100247653 - NANOPARTICLES CONTAINING NICOTINE AND/OR COTININE, DISPERSIONS, AND USE THEREOF | 1 |
Gerbard Lautenschlager | DE | Jena | 2009-11-19 / 20090286667 - OPTICALLY DETECTABLE, FLOATABLE ARSENIC-AND ANTIMONY-FREE, GLAZABLE LITHIUM-ALUMINOSILICATE GLASS | 1 |
Hans Lautenschlager | DE | Haiming | 2010-06-03 / 20100137544 - ORGANOPOLYSILOXANES AND METHOD FOR THE PRODUCTION THEREOF | 3 |
Manuel Lautenschlager | DE | Muenchen | 2015-10-08 / 20150288674 - AUTHENTICATION SYSTEM AND METHOD FOR OPERATING AN AUTHENTICATION SYSTEM | 2 |
Horst Lautenschläger | DE | Reinheim | 2014-10-02 / 20140290002 - DAMPING DEVICE FOR FURNITURE DOORS | 10 |
Stefan Lautenschläger | DE | Hausen | 2014-02-20 / 20140051988 - METHOD AND APPARATUS FOR REPRESENTING A BIOLOGICAL HOLLOW ORGAN | 12 |
Werner Lautenschläger | CH | Heerbrugg | 2011-09-22 / 20110226608 - High-pressure vessel | 1 |
Horst Lautenschläger | DE | Reinheim | 2011-04-28 / 20110094056 - DAMPING DEVICE FOR FURNITURE DOORS | 2 |
Tanja Lautenschläger | DE | Klausdorf/schwentine | 2011-07-21 / 20110177010 - Vitamin preparation | 1 |
Stefan Lautenschläger | DE | Hausen | 2013-10-03 / 20130261431 - PAE TREATMENT FOR BPH | 10 |
Stefan Lautenschläger | DE | Nurnberg | 2016-02-18 / 20160048959 - Classifying Image Data for Vasospasm Diagnosis | 3 |
Horst Lautenschläger | DE | Reinheim | 2011-04-28 / 20110094056 - DAMPING DEVICE FOR FURNITURE DOORS | 2 |
Werner Lautenschläger | CH | Heerbrugg | 2011-09-22 / 20110226608 - High-pressure vessel | 1 |
Stefan Lautenschläger | DE | Hausen | 2013-10-03 / 20130261431 - PAE TREATMENT FOR BPH | 10 |
Tanja Lautenschläger | DE | Klausdorf/schwentine | 2011-07-21 / 20110177010 - Vitamin preparation | 1 |
Gregory T. Lautenslager | US | Oaklyn | 2008-10-16 / 20080255236 - Methods and Composition Comprising 2-[(3-Chlorophenyl)Amino] Phenylacetic Acid for Alzheimer's Disease | 1 |
Kristin Estella Lauter | US | Redmond | 2014-06-26 / 20140177828 - MANAGED SECURE COMPUTATIONS ON ENCRYPTED DATA | 5 |
Michael Lauter | DE | Augsburg | 2008-11-27 / 20080289362 - PROCESS AND APPARATUS FOR LOW-TEMPERATURE AIR FRACTIONATION | 1 |
Michael Lauter | DE | Ludwigshafen | 2012-03-29 / 20120077419 - RASPBERRY-TYPE METAL OXIDE NANOSTRUCTURES COATED WITH CEO2 NANOPARTICLES FOR CHEMICAL MECHANICAL PLANARIZATION (CMP) | 1 |
Kristin Lauter | US | Redmond | 2015-06-04 / 20150154406 - ENCRYPTING GENOMIC DATA FOR STORAGE AND GENOMIC COMPUTATIONS | 3 |
Harald Lauter | DE | Bad Waldsee/kummerazhofen | 2009-02-12 / 20090040679 - Method and device for recognizing a load which is connected to a relay | 1 |
Jonathan Lauter | US | Great Neck | 2009-10-08 / 20090250036 - Rotary Engine | 2 |
Josef Lauter | DE | Geilenkirchen | 2010-03-11 / 20100063492 - APPARATUS, METHOD AND COMPUTER PROGRAM FOR APPLYING ENERGY TO AN OBJECT | 3 |
Kristen Lauter | US | Redmond | 2014-04-17 / 20140105386 - COMPUTING GENUS-2 CURVES USING GENERAL ISOGENIES | 1 |
Michael Lauter | DE | Mannheim | 2015-04-30 / 20150118845 - CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION COMPRISING TWO TYPES OF CORROSION INHIBITORS | 7 |
Rudolf Lauter | DE | Fremdingen | 2012-06-28 / 20120160410 - Water-Based Two-Part Adhesive | 2 |
Kristin E. Lauter | US | La Jolla | 2011-06-16 / 20110145198 - Contextual and Semantic Differential Backup | 8 |
Kristin E. Lauter | US | La Jolla | 2011-06-16 / 20110145198 - Contextual and Semantic Differential Backup | 8 |
Kristin E. Lauter | US | Redmond | 2012-06-21 / 20120159179 - DIGITAL SIGNATURES WITH ERROR POLYNOMIALS | 2 |
Ulrich Lauter | DE | Burghausen | 2012-04-19 / 20120095176 - Process for the production of a polyvinyl-chloride (PVC) resin | 1 |
Tim Lauterbach | DE | Moenchengladbach | 2011-03-03 / 20110049926 - ROLLER CARRIAGE FOR A SLIDING BOW ROOF FOR A TRUCK OR TRUCK TRAILER | 2 |
Christl Lauterbach | DE | Hoehenkirchen-Siegertsbrunn | 2011-02-03 / 20110027520 - METHOD FOR PRODUCING A FLOOR COVERING SUBSTRATE AND METHOD FOR PRODUCING A SUBSTRATE LAYER FOR A FLOOR COVERING SUBSTRATE COMPRISING AT LEAST ONE ELECTRONIC CONSTRUCTION ELEMENT INTEGRATED THEREIN | 2 |
Katrin Lauterbach | DE | Landsberg Am Lech | 2016-03-31 / 20160088972 - METHOD FOR PROVIDING COOKING PROGRAMS | 2 |
Arno Lauterbach | DE | Bad Wuennenberg | 2013-11-14 / 20130299028 - DIE CAST COMPONENT AND A METHOD FOR PRODUCING A DIE CAST COMPONENT | 3 |
Sascha Lauterbach | DE | Fritzlar | 2012-04-05 / 20120083386 - DRIVE ARRANGEMENT FOR A HYBRID VEHICLE AND METHOD FOR OPERATING AN ELECTRIC ENGINE IN A HYBRID VEHICLE | 1 |
Matthias Lauterbach | DE | Berlin | 2013-08-08 / 20130204470 - METHOD FOR PLANNING A LANDING APPROACH OF AN AIRCRAFT, COMPUTER PROGRAM PRODUCT, MEDIUM WITH A LANDING APPROACH PLAN STORED THEREON, AS WELL AS DEVICE FOR PLANNING A LANDING APPROACH | 1 |
Jochen A. Lauterbach | US | Columbia | 2015-07-30 / 20150210944 - Methods of Cracking a Platform Chemical Mixture to Liquefied Petroleum Gas and Aromatic Hydrocarbon Products | 3 |
Sophia Lauterbach | DE | Buxheim | 2015-10-22 / 20150298321 - SYSTEM AND METHOD INCLUDING SPECIMEN GRIPPER | 2 |
Marc Lauterbach | US | West Chester | 2010-03-11 / 20100059683 - OPTIMIZING THE DESIGN OF A FLAT PANEL IMAGING DETECTOR UNIT | 1 |
Thomas Lauterbach | US | Appleton | 2015-07-02 / 20150182982 - INSERT AND HOLDER FOR A METERING DEVICE | 1 |
Richard Lauterbach | US | Destin | 2015-07-02 / 20150182982 - INSERT AND HOLDER FOR A METERING DEVICE | 1 |
Arnulf Lauterbach | DE | Ludwigshafen | 2012-11-08 / 20120283473 - PROCESS FOR THE PREPARATION OF A CRYSTALLINE L-MGDA TRIALKALI METAL SALT | 5 |
Gary Lauterbach | US | Los Altos Hills | 2014-07-03 / 20140188996 - RAW FABRIC INTERFACE FOR SERVER SYSTEM WITH VIRTUALIZED INTERFACES | 1 |
Jochen Lauterbach | US | Columbia | 2015-06-25 / 20150175497 - One-Step Production of Long-Chain Hydrocarbons from Waste-Biomass-Derived Chemicals | 3 |
Katrin Lauterbach | DE | Valentin-Kindlin-Strasse | 2008-10-30 / 20080264269 - Cooking Appliance Comprising at Least One Gas Sensor Array, Sampling System for Such a Cooking Appliance, Method for Cooking Using Said Cooking Appliance and Method for Cleaning Said Cooking Appliance | 1 |
Eva Lauterbach | DE | Seibersbach | 2008-09-04 / 20080214379 - Glass Ceramic or Glass Element that can be Subjected to Great Thermal Loads and is Decorated with a Metallic Color | 1 |
Frank Lauterbach | DE | Hochstadt | 2015-11-19 / 20150330474 - HYDRAULIC SYSTEM | 3 |
Edward C. Lauterbach | US | Macon | 2010-03-04 / 20100056622 - Methods of Using Ramelteon to Treat Patients Suffering from a Variety of Neurodegenerative Diseases | 1 |
Florian Lauterbach | DE | Neutraubling | 2015-03-05 / 20150059600 - CONTAINER TREATMENT MACHINE FOR PRINTING ON CONTAINERS | 2 |
Gary Lauterbach | US | Los Altos | 2009-08-27 / 20090216920 - Dis-Aggregated and Distributed Data-Center Architecture Using a Direct Interconnect Fabric | 7 |
Gary R. Lauterbach | US | Los Altos Hills | 2014-07-03 / 20140185611 - DISTRIBUTED PACKET SWITCHING IN A SOURCE ROUTED CLUSTER SERVER | 2 |
Christl Lauterbach | DE | Hohenkirchen-Siegertsbrunn | 2009-05-07 / 20090117312 - Method for Equipping a Carpet or a Planar Support with Electronic Components, Apparatus for the Method, and a Carpet or Planar Support Comprising Electronic Components | 1 |
Stefan Lauterbach | DE | Selb | 2015-12-24 / 20150369765 - Method And Device For Material Analysis | 5 |
Henning Lauterbach | DE | Eching | 2015-07-23 / 20150202272 - METHODS AND COMPOSITIONS FOR ENHANCING VACCINE IMMUNE RESPONSES | 1 |
Tua Lauterbach | US | 2010-02-04 / 20100026036 - SLIDING-BOW TARPAULIN SYSTEM | 1 | |
Lars Lauterbach | DE | Berlin | 2015-02-12 / 20150044723 - COFACTOR REGENERATION SYSTEM | 1 |
Thomas Lauterbach | DE | Nuernberg | 2010-01-07 / 20100002609 - Method and device for transmitting complementary data in an analog radio transmission system | 1 |
Marcel Lauterbach | DE | Goettingen | 2012-04-26 / 20120100559 - NOVEL HYDROPHILIC AND LIPOPHILIC RHODAMINES FOR LABELLING AND IMAGING | 1 |
Erik Heinz Lauterbach | CH | Suhr | 2009-05-28 / 20090137809 - Demethylation of 14 hydroxy substituted alkaloid derivatives | 3 |
Debra Joy Lauterbach | US | San Francisco | 2014-11-13 / 20140337757 - PRESENTATION OF MESSAGES IN MULTI-SECTIONED VIEWS | 1 |
Dirk Lauterbach | NL | Bilthoven | 2013-02-21 / 20130043117 - APPARATUS FOR GASIFYING A FUEL WITH A DRIPPER EDGE AND HEAT SHIELD | 1 |
Christian Lauterbach | US | Carrboro | 2009-04-23 / 20090106530 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR GENERATING A RAY TRACING DATA STRUCTURE UTILIZING A PARALLEL PROCESSOR ARCHITECTURE | 1 |
Martin Lauterbach | DE | Aachen | 2009-03-05 / 20090058679 - Processor-Controlled Receiving Unit for Navigation Data and Method for Transmitting and Processing Navigation Data | 1 |
Marcel Lauterbach | FR | Paris | 2015-03-19 / 20150076333 - Microscope for High Spatial Resolution Imaging a Structure of Interest in a Sample | 1 |
Veit Lauterberg | DE | Neuhausen | 2012-09-27 / 20120241566 - Detector for cold movement detection of a railway vehicle, and method for its operation | 1 |
Julie C. Lauterborn | US | Huntington Beach | 2013-05-16 / 20130123248 - PHARMACOLOGICAL MODULATION OF POSITIVE AMPA RECEPTOR MODULATOR EFFECTS ON NEUROTROPHIN EXPRESSION | 3 |
Michael E. Lauters | US | Hudson | 2014-12-25 / 20140376100 - LIGHT CONTROL FILM | 8 |
Erica M.w. Lauterwasser | DE | Wachenheim | 2014-12-25 / 20140378516 - COMPOSITIONS, METHODS OF USE, AND METHODS OF TREATMENT | 1 |
Erica May Wilson Lauterwasser | DE | Mannheim | 2016-02-04 / 20160029630 - SUBSTITUTED 2-[PHENOXY-PHENYL]-1-[1,2,4]TRIAZOL-1-YL-ETHANOL COMPOUNDS AND THEIR USE AS FUNGICIDES | 19 |
Erica May Wilson Lauterwasser | DE | Wachenheim | 2016-04-07 / 20160096829 - Substituted Isoxazole Derivatives | 9 |
Erica May Wilson Lauterwasser | DE | Wachenheim | 2016-04-07 / 20160096829 - Substituted Isoxazole Derivatives | 9 |
Frank Lauterwasser | DE | Mannheim | 2014-11-27 / 20140350167 - PEROXIDE COMPOSITION | 1 |
Frank Lauterwasser | US | Richmond | 2013-05-30 / 20130137823 - RESIN COMPOSITION COMPRISING ISOSORBIDE CONTAINING SATURATED POLYMER | 1 |
Xavier Lauth | US | San Diego | 2016-02-25 / 20160050894 - MATERNALLY INDUCED STERILITY IN ANIMALS | 7 |
Mattias Lauth | SE | Huddinge | 2012-07-19 / 20120185955 - HEDGEHOG INHIBITOR ASSAY | 1 |
Arno Lauth | DE | Ranschbach | 2011-08-04 / 20110186564 - Electrical Heater And Method For Manufacturing An Electrical Heater | 1 |
Hans Juergen Lauth | DE | Neu-Anspach | 2008-10-23 / 20080260563 - Pumps | 1 |
Ulrich Lauther | DE | München | 2009-09-17 / 20090231996 - Method for the phase-related scheduling of data flow in switched networks | 1 |
Michael Lauther | US | P.s.l | 2011-01-06 / 20110000404 - Cooler attachment | 1 |
Ulrich Lauther | DE | Munchen | 2015-06-11 / 20150162936 - Method for Coding a Data Stream | 3 |
Jean-Pierre Lautier | FR | Labege | 2011-12-01 / 20110293679 - METHOD FOR COATING MICROSPHERES ONTO A FLEXIBLE MATERIAL | 1 |
Philippe Lautier | DK | Aarhus C | 2014-09-11 / 20140252863 - ALTERNATING CURRENT (AC) SYNCHRONIZATION FOR LOAD RESTORATION | 1 |
Jean-Claude Lautier | FR | Marseille | 2016-01-28 / 20160023720 - MOORING CHAIN STOPPING DEVICE AND SYSTEM FOR THE OFFSHORE MOORING OF A BUOYANT STRUCTURE INTO WHICH SUCH A DEVICE IS BUILT | 1 |
Laurence Lautier | FR | Plaisir | 2008-09-11 / 20080220783 - Method for Managing Communications and Related Core Network Node | 1 |
Douglas A. Lautner | US | Round Lake | 2016-02-25 / 20160057117 - SYSTEM AND METHOD FOR MANAGING SECURE COMMUNICATIONS IN AN AD-HOC NETWORK | 10 |
Siegfried Lautner | DE | Schwaebishch Gemuend | 2008-10-02 / 20080238046 - AIR BAG BRACKET/FASTENER | 1 |
Douglas A. Lautner | US | Round Lake | 2016-02-25 / 20160057117 - SYSTEM AND METHOD FOR MANAGING SECURE COMMUNICATIONS IN AN AD-HOC NETWORK | 10 |
Roberto Quieroga Lautner | BR | Belo Horizonte | 2016-05-05 / 20160122387 - Angiotensin Peptide and Pharmaceutical Compositions for Disease Treatment | 1 |
Erik Lautner | DE | Potsdam | 2013-01-10 / 20130013158 - Control Arrangement for Vehicles having a Hydrostatic Auxiliary Drive | 1 |
Siegfried Lautner | DE | Giessen | 2015-11-19 / 20150328849 - METHOD FOR INJECTION MOLDING A FASTENING ELEMENT, AND FASTENING ELEMENT | 2 |
Dirk Lautner | DE | Munich | 2009-11-26 / 20090288443 - Condenser for an Air Conditioner | 1 |
Siegfried Lautner | DE | Schwaeb | 2010-11-25 / 20100295273 - AIRBAG FASTENING DEVICE WITH SLIDE | 1 |
Siegfried Lautner | DE | Schwaeb. Gmuend | 2010-11-25 / 20100293776 - METHOD FOR FASTENING A RETAINER OF AN AIRBAG | 1 |
Inger Lautrup-Larsen | DK | Virum | 2013-01-03 / 20130005951 - PROCESS FOR PREPARING FGF21 WITH LOW DEGREE OF O-GLYCOSYLATION | 4 |
Wilfred Wayne Lautt | CA | Gibsons | 2014-07-03 / 20140187509 - USE OF S-ADENOSYLMETHIONINE, VITAMIN E, AND VITAMIN C FOR THE PREVENTION AND TREATMENT OF CARDIOVASCULAR DYSFUNCTION | 1 |
Wilfred Wayne Lautt | CA | Winnipeg | 2011-09-22 / 20110230434 - USE OF S-ADENOSYLMETHIONINE, VITAMIN E, AND VITAMIN C FOR THE TREATMENT OF OXIDATIVE LIVER INJURY OR INSULIN RESISTANCE | 4 |
W. Wayne Lautt | CA | Gibsons | 2014-11-20 / 20140342981 - HEPATIC INSULIN SENSITIZING SUBSTANCE AND TEST MEAL FOR INSULIN SENSITIZATION | 1 |
Christian Lautz | DE | Denzlingen | 2013-01-17 / 20130018201 - New ProcessAANM Birrer; BeatAACI KaiseraugstAACO CHAAGP Birrer; Beat Kaiseraugst CHAANM Clarke; LeoAACI County ClareAACO IEAAGP Clarke; Leo County Clare IEAANM Deichtmann; WalterAACI Village-NeufAACO FRAAGP Deichtmann; Walter Village-Neuf FRAANM Hayes; JohnAACI County ClareAACO IEAAGP Hayes; John County Clare IEAANM Jeisy; JuliusAACI PfeffingenAACO CHAAGP Jeisy; Julius Pfeffingen CHAANM Lautz; ChristianAACI DenzlingenAACO DEAAGP Lautz; Christian Denzlingen DEAANM Martin; Rainer E.AACI BaselAACO CHAAGP Martin; Rainer E. Basel CHAANM Meade; MichaelAACI County ClareAACO IEAAGP Meade; Michael County Clare IEAANM Pintao; JoaquimAACI County ClareAACO IEAAGP Pintao; Joaquim County Clare IEAANM Scalone; MichelangeloAACI BirsfeldenAACO CHAAGP Scalone; Michelangelo Birsfelden CHAANM Schaefer; JuergenAACI RheinfeldenAACO DEAAGP Schaefer; Juergen Rheinfelden DEAANM Smith; DennisAACI County ClareAACO IEAAGP Smith; Dennis County Clare IEAANM Staempfli; AndreasAACI BinningenAACO CHAAGP Staempfli; Andreas Binningen CHAANM Veits; JoachimAACI FlorenceAAST SCAACO USAAGP Veits; Joachim Florence SC USAANM Walch; ChristianAACI RixheimAACO FRAAGP Walch; Christian Rixheim FRAANM Walsh; AndrewAACI County ClareAACO IEAAGP Walsh; Andrew County Clare IEAANM Zogg; AndreasAACI FrenkendorfAACO CHAAGP Zogg; Andreas Frenkendorf CH | 1 |
David E. Lautz | US | New York | 2014-09-18 / 20140278834 - VOTING ON ACTIONS FOR AN EVENT | 1 |
Claus Lautz | CH | Orselina | 2013-05-30 / 20130133499 - DEVICE FOR PROCESSING OR GENERATING BREAK LINES IN FLAT PRODUCTS | 1 |
David P. Lautzenheiser | US | Los Altos | 2013-04-18 / 20130096749 - Method for a vehicle control unit (VCU) for control of the engine in a converted hybrid electric powered vehicle | 6 |
Dean Lautzenheiser | US | Cumming | 2011-01-13 / 20110009217 - STRING ALIGNER | 1 |
Terry L. Lautzenheiser | US | Nunica | 2015-10-15 / 20150290572 - AIR TREATMENT SYSTEM | 19 |
Terry Lee Lautzenheiser | US | Nunica | 2012-09-20 / 20120235408 - SELF-POWERED MINIATURE LIQUID TREATMENT SYSTEM WITH CONFIGURABLE HYDROPOWER GENERATOR | 3 |
Terry L. Lautzenheiser | US | Nunica | 2015-10-15 / 20150290572 - AIR TREATMENT SYSTEM | 19 |
John L. Lautzenhiser | US | Hamilton | 2016-02-11 / 20160043668 - RATE-OF-CHANGE SWITCHES AND CONTROLLABLE APPARATUS | 1 |
Frans Lautzenhiser | US | Indianapolis | 2011-10-27 / 20110264012 - COMPLIANT COUPLANT WITH LIQUID RESERVOIR FOR TRANSDUCER | 1 |
Lloyd L Lautzenhiser | CA | Nobel | 2015-12-24 / 20150366390 - SEAMING APPARATUS AND METHOD | 1 |
Frans Lautzenhiser | US | Zionsville | 2015-12-31 / 20150379311 - ULTRASONIC IDENTIFICATION OF REPLACEABLE COMPONENT FOR HOST SYSTEM | 11 |
Frans Lautzenhiser | US | Zionsville | 2015-12-31 / 20150379311 - ULTRASONIC IDENTIFICATION OF REPLACEABLE COMPONENT FOR HOST SYSTEM | 11 |
John Lautzenhiser | US | Hamilton | 2014-11-06 / 20140330464 - METHOD AND SYSTEM FOR CONTROL OF APPARATUS | 1 |
Lloyd L. Lautzenhiser | US | Verdi | 2016-05-05 / 20160123019 - MODULAR FLOOR COVERING SEAMING APPARATUS AND METHOD | 6 |
Llyod L. Lautzenhiser | CA | Nobel | 2014-11-13 / 20140333382 - METHOD AND SYSTEM FOR PROVIDING AUTOMATIC GATE BIAS AND BIAS SEQUENCING FOR FIELD EFFECT TRANSISTORS | 1 |
Lloyd Lautzenhiser | CA | Nobel | 2012-12-13 / 20120313709 - METHOD AND SYSTEM FOR PROVIDING AUTOMATIC GATE BIAS AND BIAS SEQUENCING FOR FIELD EFFECT TRANSISTORS | 2 |
Olav Lauvdal | NO | Marnardal | 2012-05-17 / 20120119530 - HOLDING DEVICE TO BE MOUNTED ON A CARRIER AND THE USE OF SUCH A DEVICE | 2 |
Alan E. Lauve | US | Magnolia | 2009-08-06 / 20090196026 - PURSE LIGHT | 1 |
Alan E. Lauve | US | 2009-03-12 / 20090066027 - Washer tossing game | 1 | |
Hubert Lauvray | FR | La Garenne Colombes | 2013-05-09 / 20130115762 - METHOD FOR DOPING A SEMICONDUCTOR MATERIAL | 3 |
Hubert Lauvray | FR | Saint Clair Du Rhone | 2008-10-23 / 20080257401 - Photovoltaic module and method for production thereof | 1 |
Hubert Lauvray | FR | Paris | 2010-05-27 / 20100126560 - PHOTOVOLTAIC MODULE COMPRISING A POLYMER FILM AND PROCESS FOR MANUFACTURING SUCH A MODULE | 2 |
Hady Wirawan Lauw | US | Mountain View | 2010-09-23 / 20100241647 - Context-Aware Query Recommendations | 1 |
Hady W. Lauw | US | Mountain View | 2010-04-01 / 20100082657 - GENERATING SYNONYMS BASED ON QUERY LOG DATA | 1 |
Hiang P. Lauw | US | Corvallis | 2010-05-06 / 20100112222 - DYE-BASED INK | 2 |
Filip Lauweres | BE | Erpe-Mere | 2014-11-27 / 20140351046 - System and Method for Predicting an Outcome By a User in a Single Score | 2 |
Guy Lauwereys | BE | Overijse | 2011-05-05 / 20110101175 - High-Lift Device Track | 1 |
Marc Josef Lauwereys | BE | Haaltert | 2010-09-23 / 20100240584 - Nematode-extracted serine protease inhibitors and anticoagulant proteins | 1 |
Marc Lauwereys | BE | Haaltert | 2014-06-26 / 20140178383 - STABLE FORMULATIONS OF POLYPEPTIDES AND USES THEREOF | 5 |
Marc Jozef Lauwereys | BE | Haaltert | 2015-04-23 / 20150110782 - SINGLE DOMAIN ANTIBODIES DIRECTED AGAINST TUMOR NECROSIS FACTOR-ALPHA AND USES THEREFOR | 11 |
Marc Jozef Lauwereys | BE | Haaltret | 2011-04-21 / 20110091462 - NOVEL ANTIGEN BINDING DIMER-COMPLEXES, METHODS OF MAKING AND USES THEREOF | 1 |
Marc Jozef Lauwereys | BE | Haaltert | 2015-04-23 / 20150110782 - SINGLE DOMAIN ANTIBODIES DIRECTED AGAINST TUMOR NECROSIS FACTOR-ALPHA AND USES THEREFOR | 11 |
Bianca Lauwers | DE | Sankt Augustin | 2012-12-20 / 20120321733 - COEXTRUSION ADAPTER | 1 |
Jozef Paul C. Lauwers | US | Mountain View | 2015-12-03 / 20150346935 - SCALABLE HIGH-PERFORMANCE INTERACTIVE REAL-TIME MEDIA ARCHITECTURES FOR VIRTUAL DESKTOP ENVIRONMENTS | 6 |
Chris Lauwers | US | Mountain View | 2010-04-08 / 20100085959 - SYSTEM AND METHOD FOR ACHIEVING INTEROPERABILITY BETWEEN ENDPOINTS OPERATING UNDER DIFFERENT PROTOCOLS | 1 |
Kenneth S. Lauwers | US | Waterford | 2008-10-23 / 20080261318 - Signalling Compounds For Use In Methods Of Detecting Hydrogen Peroxide | 1 |
Anne Lauwers | BE | Aartselaar | 2009-01-22 / 20090020821 - DUAL WORKFUNCTION SEMICONDUCTOR DEVICE | 1 |
Thais Lauwers | BE | Brussels | 2009-12-10 / 20090302998 - METHOD FOR PROVIDING TO AN END DEVICE ACCESS TO A SERVICE, TO AN END DEVICE AND TO A MOBILE TERMINAL REALIZING SUCH A METHOD | 1 |
Annick Lauwers | BE | Leuven - Heverlee | 2011-11-24 / 20110287439 - CLASTOGENICITY TESTING | 1 |
Thais Lauwers | BE | Westerlo | 2012-04-12 / 20120086559 - SERVICE PROVISIONING METHOD, A RELATED SERVICE PROVISIONING SYSTEM A RELATED SERVICE PROVISIONING SERVER AND A RELATED EVENT REGISTRATION SERVER | 1 |
Annick Lauwers | BE | Herent | 2010-08-05 / 20100197703 - N-SULFONYL THIAZOLYLPIPERAZINE DERIVATIVES AND RELATED N-SULFONYL HETEROCYCLIC DERIVATIVES FOR THE TREATMENT OF NEURO DEGENERATIVE DISEASES | 1 |
Andrew V. Lauwers | US | Stevens | 2016-05-19 / 20160135366 - Cutter Guard Assembly Cover of a Header for an Agricultural Farm Implement | 20 |
Andrew Lauwers | US | Stevens | 2009-06-11 / 20090145692 - Novel rear deck service ladder for combines | 1 |
Leonardus Gijsbertus Cornelis Lauwers | NL | Nieuwerkerk A/d Ijssel | 2011-06-09 / 20110136613 - COMPACT TRANSMISSION COMPRISING A PLANETARY GEAR SET | 1 |
Thais Lauwers | BE | Brussel | 2009-12-31 / 20090325550 - METHOD AND SYSTEM FOR RETRIEVING A LOST ENTITY, AND CELL-BASED WIRELESS NETWORK ADAPTED THEREFORE | 2 |
Bart Lauwers | BE | Schriek | 2008-10-30 / 20080263999 - Polymers Of Ethylene And, Optionally, Copolymerizable Esters, Films Using Such Polymers And Stretch Hood Packaging Processes Using Such Films | 1 |
Kenneth S. Lauwers | US | Auburn Hills | 2010-03-04 / 20100055800 - Compositions for Chemiluminescent Detection of Hydrogen Peroxide | 1 |
Bart Lauwers | US | Austin | 2011-02-17 / 20110040623 - SYSTEMS AND METHODS TO IDENTIFY USERS ACCESSING A WEB PAGE | 1 |
Jozef Paul Chris Lauwers | US | Mountain View | 2014-07-31 / 20140211811 - HIERARCHICAL CLOSED-LOOP CONTROL OF POLICY, GOAL, AND RESOURCE ALLOCATION IN BANDWIDTH MANAGEMENT USING BOTH SERVICE-SPECIFIC AND NETWORK MONITOR OBSERVATIONS | 4 |
Andrew V. Lauwers | US | Stevens | 2016-05-19 / 20160135366 - Cutter Guard Assembly Cover of a Header for an Agricultural Farm Implement | 20 |
Jozef Paul Lauwers | US | Mountain View | 2009-05-21 / 20090129405 - Network Communication Bandwidth Management | 1 |
Roger Gustaaf J. Lauwers | BE | Beerse | 2010-10-07 / 20100253484 - PHARMACEUTICAL SUPPLY PACKAGE | 1 |
Olivier Lauwers | FR | Yronde Et Buron | 2011-12-22 / 20110309556 - LINING ELEMENT COMPRISING A SHELL AND A CORE | 5 |
Holger Laux | DE | Balingen | 2014-02-27 / 20140054388 - AIR-DIVERTING ELEMENT WITH A FLOW-OPTIMIZED CONTOUR FOR AN AIR-CONDITIONING SYSTEM | 1 |
Wilda Laux | NZ | Mangere | 2014-12-18 / 20140371297 - ANTI-CONNEXIN COMPOUNDS TARGETED TO CONNEXINS AND METHODS OF USE THEREOF | 2 |
Ronald M. Laux | US | Shelby Twp. | 2008-10-16 / 20080251351 - ROBOTIC FRAME HANDLING SYSTEM | 1 |
Stefan E. F. Laux | US | Williamsville | 2013-09-19 / 20130244189 - OXYGEN TO EXPAND BURNER COMBUSTION CAPABILITY | 1 |
Robert Laux | DE | Neuwied | 2015-08-13 / 20150227120 - Method For Optimizing An Operating Function Of A Ground Milling Machine And Ground Milling Machine | 11 |
Douglas E. Laux | US | Iowa City | 2009-10-22 / 20090264306 - DNA METHYLATION BIOMARKERS IN LYMPHOID AND HEMATOPOIETIC MALIGNANCIES | 1 |
Stefan Laux | DE | Starzach | 2012-03-15 / 20120061060 - HEAT TRANSFER UNIT | 1 |
Stefan Laux | US | Williamsville | 2009-12-17 / 20090308073 - OXYGEN ENHANCED COMBUSTION IN INDUSTRIAL PROCESSES | 1 |
Oliver Laux | DE | Lorrach | 2014-05-15 / 20140131998 - RECEIVING PART OF A COUPLING FOR A FLUID LINE | 2 |
Peter C. Laux | US | Holland | 2014-02-13 / 20140043479 - VEHICLE VISION SYSTEM | 4 |
Harald Laux | DE | Regensburg | 2016-03-17 / 20160079468 - METHOD FOR PRODUCING OPTOELECTRONIC SEMICONDUCTOR CHIPS | 1 |
Christophe Laux | FR | Antony | 2015-06-25 / 20150179411 - APPARATUS FOR GENERATING REACTIVE GAS WITH GLOW DISCHARGES AND METHODS OF USE | 1 |
Stefan Ernst Friedrich Laux | US | Williamsville | 2010-03-25 / 20100071598 - CEMENT CLINKER PRODUCTION WITH REDUCED EMISSIONS | 1 |
Francis Harold Laux | US | Wheeling | 2010-08-19 / 20100205873 - SELF CENTERING SHAFT WALL SYSTEM | 1 |
Alan E. Laux | US | Great Mills | 2008-09-11 / 20080219584 - Image enhancer for detecting and identifying objects in turbid media | 1 |
Tom Laux | US | Soluang | 2010-12-09 / 20100309288 - 3-DIMENSIONAL HYBRID CAMERA AND PRODUCTION SYSTEM | 1 |
Thorsten O. Laux | US | Mountain View | 2009-04-30 / 20090113418 - METHOD AND APPARATUS FOR RESPONSIVE EXECUTION OF A PLATFORM-INDEPENDENT PROGRAM ON A COMPUTING PLATFORM THAT HAS NOT BEEN PROVISIONED | 1 |
Didier Laux | FR | Caveirac | 2010-01-14 / 20100010750 - METHOD FOR MEASURING THE PRESSURE AND/OR MOLAR MASS OF A GAS IN A HOUSING, AND CORRESPONDING MEASUREMENT ASSEMBLY | 1 |
Wolfgang Laux | FR | Paris | 2009-01-15 / 20090017509 - METHOD FOR THE PRODUCTION OF RAMIPRIL | 1 |
Roland Laux | CH | Roggwil | 2014-10-16 / 20140308428 - COCOA BEAN PROCESSING METHODS AND TECHNIQUES | 3 |
Carlos V. Laux | US | San Ramon | 2008-09-04 / 20080212572 - Extended Handset Functionality and Mobility | 1 |
Otto Laux | DE | Fichtenau | 2012-05-31 / 20120132489 - Motor Vehicle Having A Retarder | 1 |
Sébastien Laux | FR | Palaiseau | 2015-10-22 / 20150303642 - DEVICE FOR AMPLIFYING A LASER PULSE HAVING IMPROVED TEMPORAL CONTRAST | 2 |
Holger Laux | DE | Dietingen | 2016-04-28 / 20160116173 - AIR-CONDITIONING UNIT | 2 |
Benedikt Laux | DE | Monzernheim | 2014-09-25 / 20140288330 - PROCESS FOR PREPARING N-ALKYL(METH)ACRYLAMIDES | 7 |
Wolfgang Laux | DE | Diez | 2012-09-06 / 20120225103 - Transdermal Therapeutic System Containing a Pramipexol Active Agent | 5 |
Wolfgang Laux | DE | Frankfurt | 2009-11-19 / 20090286988 - METHOD FOR THE PRODUCTION OF OXAZOLES BY CONDENSING AROMATIC ALDEHYDES WITH a-KETOXIMES TO N-OXIDES AND THEN REACTING THE SAME WITH ACTIVATED ACID DERIVATIVES | 2 |
Kenneth Laux | US | Bloomington | 2011-09-08 / 20110214587 - ELEVATED BICYCLE-BASED TRANSPORTATION SYSTEM, CONNECTION APPARATUS FOR BICYCLE AND MODIFIED BICYCLE | 1 |
Dorothea Laux | DE | Erlangen | 2009-07-16 / 20090182219 - Method for planning a combined examination of an examination object | 1 |
Robert Laux | DE | Sulzbach/murr | 2009-06-18 / 20090155745 - Tooth implant with a primary and a secondary crown | 2 |
Kent William Laux | US | Fremont | 2016-02-18 / 20160050316 - SYSTEMS AND METHODS FOR LEAD ROUTING | 5 |
Wolfram Laux | DE | Seeheim-Jugenheim | 2009-05-21 / 20090129682 - METHOD AND SYSTEM FOR THE OPTICAL INSPECTION OF A PERIODIC STRUCTURE | 1 |
Thomas Laux | US | Solvang | 2014-10-30 / 20140320609 - 3-DIMENSIONAL HYBRID CAMERA AND PRODUCTION SYSTEM | 1 |
Robert Friedrich Laux | DE | Berlin | 2009-03-26 / 20090079238 - Body support structure | 1 |
Alan Laux | US | Great Mills | 2012-03-22 / 20120069341 - Extended Range Optical Imaging System for use in Turbid Media | 1 |
Thorsten Laux | DE | Paderbom | 2009-03-12 / 20090070831 - Interactive Hospitality System for an Exchange of Data | 1 |
Gerhard Laux | DE | Frankenthal | 2008-12-25 / 20080317934 - Production of Acid Propionates | 1 |
Robert Laux | DE | Neuwied | 2015-08-13 / 20150227120 - Method For Optimizing An Operating Function Of A Ground Milling Machine And Ground Milling Machine | 11 |
Stephan Laux | BE | Antwerpen | 2012-09-20 / 20120234165 - METHODS FOR CONTROLLING IMPURITY BUILDUP ON ADSORBENT FOR PRESSURE SWING ADSORPTION PROCESSES | 1 |
Volker Laux | DE | Mainz | 2011-07-21 / 20110178039 - OLIGOSACCHARIDE MIXTURES DERIVED FROM HEPARIN, PREPARATION THEREOF AND PHARMACEUTICAL COMPOSITIONS CONTAINING THEM | 1 |
Thorsten Laux | DE | Paderborn | 2008-11-13 / 20080278344 - Method and arrangement for registering and evaluating energy consumption | 2 |
Holger Laux | CH | Basel | 2015-11-12 / 20150322131 - PRODUCTION OF THERAPEUTIC PROTEINS IN GENETICALLY MODIFIED MAMMALIAN CELLS | 1 |
Stefan Laux | DE | Stutensee | 2011-11-24 / 20110285387 - ROTOR WITH A COMPENSATING MASS FOR A RELUCTANCE RESOLVER, AND RELUCTANCE RESOLVER | 1 |
Stefan E.f. Laux | US | Williamsville | 2016-04-14 / 20160102259 - REFORMING METHANE AND HIGHER HYDROCARBONS IN SYNGAS STREAMS | 6 |
Thomas Laux | DE | Steppach-Neusass | 2012-08-23 / 20120214129 - CONNECTING ARRANGEMENT BETWEEN A TOOTH PROSTHESIS AND AN IMPLANT POST | 1 |
Sébastien Laux | FR | Palaiseau | 2013-08-22 / 20130215915 - Device for Amplifying a Laser Beam with Suppression of Transverse Lasing | 1 |
Stefan Lauxtermann | US | Camarillo | 2011-03-24 / 20110068382 - TWO-DIMENSIONAL TIME DELAY INTEGRATION VISIBLE CMOS IMAGE SENSOR | 2 |
Stefan Clemens Lauxtermann | US | Camarillo | 2010-04-22 / 20100096675 - BACKSIDE ILLUMINATED CMOS IMAGE SENSOR WITH PHOTO GATE PIXEL | 4 |
Stefan C. Lauxtermann | US | Camarillo | 2011-09-08 / 20110215222 - METHOD AND APPARATUS FOR BACKSIDE ILLUMINATED IMAGE SENSORS USING CAPACITIVELY COUPLED READOUT INTEGRATED CIRCUITS | 8 |
Stefan C. Lauxtermann | US | Camarillo | 2011-09-08 / 20110215222 - METHOD AND APPARATUS FOR BACKSIDE ILLUMINATED IMAGE SENSORS USING CAPACITIVELY COUPLED READOUT INTEGRATED CIRCUITS | 8 |
Eliane Lauzanne | FR | Paris | 2008-10-30 / 20080263950 - NATURAL OR ARTIFICIAL CULTURE SUPPORTS AND PRESERVATION MEDIA FOR CUT FLOWERS ENRICHED WITH ACTIVE SUBSTANCES | 1 |
Francois B. Lauze | DK | Copenhagen | 2009-08-27 / 20090216094 - Method of deriving a quantitative measure of a degree of calcification of an aorta | 1 |
Dennis Lauze | US | Rutland | 2010-06-10 / 20100142334 - OPTICAL TAPE SYSTEM | 1 |
Francois B. Lauze | DK | Vanlose | 2009-08-13 / 20090204338 - Method of deriving a quantitative measure of the instability of calcific deposits of a blood vessel | 1 |
Maurice Lauzet | FR | Chenecy Buillon | 2009-10-15 / 20090256016 - Multipurpose cassette for adjusting a grinder in a mechanical or electrical condiment mill or on a device for finely breaking foodstuff | 1 |
Maurice Lauzet | FR | Chenecey Buillon | 2009-01-15 / 20090013847 - Toothed saw blade with a variable pitch | 1 |
Christian Lauzier | CA | Kingsey Falls | 2010-12-23 / 20100320310 - STEM PACKING DISPENSER | 1 |
Jonathan Lauzier | CA | Sherbrooke | 2010-04-01 / 20100077953 - WATERCRAFT BUMPER | 1 |
Nicolas Lauzier | CA | Ste-Flavie | 2009-11-12 / 20090277360 - Reconfigurable Pallet Using Locator Bases and Clamps | 6 |
Nicolas Lauzier | CA | Ste-Favlie | 2009-09-24 / 20090235846 - Reconfigurable pallet | 1 |
Nicolas Lauzier | CA | Quebec | 2016-05-05 / 20160121491 - FORCE LIMITING DEVICE AND METHOD | 4 |
Jonathan Lauzier | CA | St-Eugene | 2011-10-06 / 20110240236 - RATCHET SYSTEM FOR WINDING A ROLLING DOOR ASSEMBLY | 1 |
James S. Lauzon | US | Bessemer | 2013-02-21 / 20130043268 - FOLDED SHEET PRODUCT AND PACKAGING ARRANGEMENT | 1 |
Richard Lauzon | CA | St-Marthe | 2013-04-25 / 20130098649 - Support frame for a jack hammer | 1 |
Brian Lauzon | US | Las Vegas | 2013-05-02 / 20130107530 - High Efficiency LED Lighting System with Thermal Diffusion | 1 |
Shawn William Lauzon | US | Austin | 2008-12-11 / 20080307437 - Method and System for Time Bounding Notification Delivery in an Event Driven System | 1 |
Stephen Lauzon | US | New Bedford | 2015-05-07 / 20150126924 - BIOPSY SPACER DEVICE AND METHOD OF OPERATION | 2 |
Sophie Lauzon | CA | St. Lazare | 2012-02-09 / 20120035214 - RENIN INHIBITORS | 1 |
Daniel Lauzon | CA | Lachine | 2009-06-11 / 20090146801 - Tuning algorithm for clock source frequency drift | 1 |
Robert Lauzon | CA | Montreal | 2012-06-28 / 20120165171 - AUTOMATIC BAG HANDLING METHOD FOR PRECISELY SECURING A PLASTIC HANDLE TO A PLASTIC BAG | 2 |
Vincent Lauzon | CA | Laval | 2010-07-29 / 20100190545 - GAMING DEVICE WITH INTERACTIVE SPIN ACTION VISUAL EFFECTS | 3 |
M. Louis Lauzon | CA | Calgary | 2010-07-29 / 20100191792 - Signal Processing with Fast S-Transforms | 1 |
Michel Armand Lauzon | CA | Haileybury | 2008-12-18 / 20080307932 - METHODS AND APPARATUS FOR JOINT DISASSEMBLY | 1 |
Jocelyn Lauzon | CA | Laplaine | 2008-11-27 / 20080289771 - Label removing tool | 1 |
Normand Lauzon | CA | St-Joseph Du Lac | 2008-09-04 / 20080210262 - Cleaning Method and Apparatus | 1 |
Marco Lauzon | CA | Saint-Amable | 2012-08-23 / 20120215686 - METHOD AND SYSTEM FOR ENABLING A USER TO CREATE A DOCUMENT IN A COLLABORATIVE ENVIRONMENT | 1 |
Paul Lauzon | CA | Tiverton | 2013-10-24 / 20130276348 - Monomanuel Electric Fishing Rod and Reel | 1 |
Nicolas Lavabre | FR | Marseille | 2008-09-18 / 20080227432 - Activating the Unlocking of a Mobile Terminal | 1 |
Vincent Lavabre | FR | Balma | 2015-05-28 / 20150143643 - DEVICE FOR CLEANING A SUBMERGED SURFACE WITH A PROPELLED WATER JET | 2 |
Vincent Lavabre | FR | F-Balma | 2013-06-27 / 20130161925 - FRONT END DEVICE FOR A VEHICLE WITH A SINGLE STEERED FRONT WHEEL, AND VEHICLE EQUIPPED WITH A SUCH A FRONT END DEVICE | 1 |
Vincent Lavabre | FR | Toulouse | 2008-10-16 / 20080250580 - Motorised Pool-Cleaning Device Comprising Cantilevered Motor Movement Transmission Means | 2 |
Marcel Lavabre | US | Darina Del Rey | 2009-12-10 / 20090302064 - Elastomeric dispensing pump that can be made with as few as two components | 1 |
Marc Lavabre | FR | Vaucresson | 2016-04-21 / 20160107655 - OPERATING METHOD FOR A VEHICLE IN MANUAL MODE AND IN AUTONOMOUS MODE | 1 |
Mark L. Lavach | US | Allentown | 2014-04-17 / 20140107279 - ACRYLIC COPOLYMER FOR USE IN HIGHLY FillED COMPOSITES | 3 |
Steven Lavache | US | 2011-03-17 / 20110064025 - WIRELESS POSITION SENSING IN THREE DIMENSIONS | 1 | |
Steven Lavache | GB | Ware | 2011-03-24 / 20110069007 - POINTING DEVICE | 1 |
Steven Lavache | GB | Hertfordshire | 2009-07-23 / 20090187374 - WIRELESS POSITION SENSING IN THREE DIMENSIONS USING ULTRASOUND | 1 |
Steven Lavache | GB | Ware Hertfordshire | 2011-06-23 / 20110153269 - METHODS AND APPARATUS FOR FILTERING NOISE IN A THREE-DIMENSIONAL POSITION MEASUREMENT SYSTEM | 1 |
Steven David Lavache | GB | Hertfordshire | 2011-02-03 / 20110026363 - WIRELESS POSITION SENSING IN THREE DIMENSIONS USING ULTRASOUND | 1 |
Luis Moscoso Lavagna | AU | North Ryde | 2016-03-03 / 20160061213 - Pump Impeller | 8 |
Philippe Daniel Richard Lavagna | US | 2011-09-22 / 20110226484 - CONNECTOR FOR STEEL CATENARY RISER TO FLEXIBLE LINE WITHOUT STRESS-JOINT OR FLEX-JOINT | 1 | |
Silvio Massimo Lavagna | IT | Rome | 2012-11-15 / 20120289532 - METHOD FOR THE PRODUCTION OF AMORPHOUS RIFAXIMIN | 1 |
Luis Moscoso Lavagna | AU | North Ryde | 2016-03-03 / 20160061213 - Pump Impeller | 8 |
Philippe Lavagna | MC | Monaco | 2014-09-18 / 20140261131 - MOORING SYSTEM WITH DECOUPLED MOORING LINES AND/OR RISER SYSTEM | 2 |
Philippe Daniel Richard Lavagna | US | Katy | 2010-11-11 / 20100285709 - BUOY-TO-RISER CONNECTOR | 1 |
Luis Moscoso Lavagna | AU | New South Wales | 2011-06-30 / 20110158795 - CENTRIFUGAL PUMP IMPELLERS | 3 |
Luciano Lavagno | US | San Jose | 2010-06-24 / 20100162189 - SYSTEM AND METHOD FOR SYNTHESIS REUSE | 1 |
Luciano Lavagno | IT | Torino | 2012-01-19 / 20120013408 - NETWORK OF TIGHTLY COUPLED PERFORMANCE MONITORS FOR DETERMINING THE MAXIMUM FREQUENCY OF OPERATION OF A SEMICONDUCTOR IC | 4 |
Luciano Lavagno | US | Berkeley | / - | 1 |
Karthik Lavakumar | US | Framingham | 2016-03-24 / 20160081790 - REINFORCEMENT INSERT FOR TISSUE GRAFT | 4 |
Janardhan Lavakumar | US | Hillsboro | 2014-04-03 / 20140095910 - Method and Apparatus For Efficient Store/Restore of State Information During A Power State Transition | 1 |
Anthony Laval | FR | Créteil Cedex | 2009-01-08 / 20090007612 - Safe Gear Box for Electrical Steering Column Lock | 1 |
Philippe Laval | FR | Aubervilliers Cedex | 2013-09-19 / 20130241629 - Actuator and Method of Manufacture Thereof | 1 |
Philippe Laval | FR | Vanves | 2011-08-18 / 20110202621 - METHOD AND SYSTEM FOR E-MAIL ENHANCEMENT | 1 |
Bertrand Laval | FR | Paris | 2013-04-04 / 20130082037 - METHOD OF ABLATING A THREE-DIMENSIONAL SURFACE USING A LASER ABLATION DEVICE AND THROUGH THE USE OF A CALIBRATION STEP; DEVICE FOR IMPLEMENTING SUCH A METHOD | 1 |
Marc Laval | FR | Antibes | 2013-06-27 / 20130166330 - SEAMLESS TRAVEL HIVE ENGINE AND METHOD OF SAME | 1 |
Frédéric Laval | FR | Cergy | 2010-09-02 / 20100221939 - END CONNECTOR FOR A SHIELDED CABLE | 1 |
Claude Laval | FR | Grenoble | 2011-10-13 / 20110251929 - SYSTEMS AND METHODS TO OUTSOURCE SERVICE AND SUPPORT OPERATIONS | 1 |
Carine Laval | FR | Nozay | 2012-05-10 / 20120114335 - SYSTEM AND METHOD FOR TRANSMITTING OPTICAL SIGNALS | 1 |
Stuart Laval | US | Fort Mill | 2016-05-12 / 20160131686 - APPARATUSES INCLUDING UTILITY METER, POWER ELECTRONICS, AND COMMUNICATIONS CIRCUITRY, AND RELATED METHODS OF OPERATION | 7 |
Stuart S. Laval | US | Apopka | 2014-07-10 / 20140195844 - SYSTEM AND METHOD FOR DEVELOPING, DEPLOYING AND IMPLEMENTING POWER SYSTEM COMPUTER APPLICATIONS | 1 |
Anthony Laval | DE | Erdweg | 2014-05-08 / 20140124282 - ANTITHEFT DEVICE FOR THE STEERING MECHANISM OF A MOTOR VEHICLE | 2 |
Suzanne Laval | FR | Gif-Sur-Yvette | 2008-10-23 / 20080260320 - Optoelectric High Frequency Modulator Integrated on Silicon | 1 |
Anthony Laval | FR | Créteil Cedex | 2009-01-08 / 20090007612 - Safe Gear Box for Electrical Steering Column Lock | 1 |
Jean-Paul Laval | FR | Le Versoud | 2009-02-26 / 20090054076 - Method and Device for Locating a Terminal in a Wireless Local Area Network | 1 |
Philippe Laval | FR | Paris | 2010-03-11 / 20100058845 - CRYSTALLIZATION OF CHEMICAL SPECIES/POLYMORPHS AND MICROFLUIDIC APPARATUS AND SCREENING METHODOLOGY THEREFOR | 1 |
Frédéric Laval | FR | Cergy | 2010-09-02 / 20100221939 - END CONNECTOR FOR A SHIELDED CABLE | 1 |
Julie Laval | FR | Caux | 2013-10-03 / 20130260394 - METHOD FOR THE DIAGNOSIS AND/OR PROGNOSIS OF INFLAMMATORY STATES | 2 |
Philippe Laval | FR | Bordeaux | 2011-02-10 / 20110032513 - FLUID FLOW DEVICE, ASSEMBLY FOR DETERMINING AT LEAST ONE CHARACTERISTIC OF A PHYSICO-CHEMICAL SYSTEM THEREWITH | 1 |
Laurence Laval | FR | Toulouse | 2009-12-17 / 20090309674 - Compact Orthomode Transduction Device Optimized in the Mesh Plane, for an Antenna | 1 |
Anthony Laval | DE | Dachau | 2016-01-28 / 20160023634 - ANTI-THEFT DEVICE FOR A STEERING COLUMN OF A MOTOR VEHICLE | 2 |
Laurent Laval | FR | Toulouse | 2013-11-14 / 20130304303 - METHOD AND DEVICE FOR REQUIREMENT CAPTURE FOR A SYSTEM FOR CENTRALIZED MAINTENANCE FOR AIRCRAFT | 1 |
Laurent Laval | FR | Valence | 2013-11-14 / 20130304420 - PARAMETRIZABLE SYSTEM FOR CENTRALIZED MAINTENANCE INTENDED FOR AN AIRCRAFT | 1 |
Vincent Laval | FR | Chambery | 2011-08-04 / 20110191003 - SKID STEER MACHINE WITH AUTOMATIC OPERATING RATIO CHANGE SYSTEM | 1 |
Ludovic Laval | FR | Villeurbanne | 2011-08-04 / 20110189633 - DENTAL IMPLANT WITH FEMALE FRUSTOCONICAL CONNECTOR | 1 |
Jorn Lavalaye | DE | Wurzburg | 2015-02-12 / 20150044452 - Pigmented Coating Material And Process For Producing A Multi-Coat Paint System Using The Pigmented Coating Material | 7 |
Rémi Laval-Jeantet | FR | Saint Brice Sous Foret | 2015-10-15 / 20150292473 - FLOATING MARINE CURRENT TURBINE | 1 |
David Lavalle | US | Brooklyn | 2013-12-05 / 20130325687 - METHODS AND ARRANGEMENTS FOR EXCHANGE TRADED PRODUCTS | 1 |
Stephane Lavalle | FR | Saint Martin D'Uriage | 2010-09-16 / 20100234770 - COMPUTER ASSISTED ORTHOPEDIC SURGERY SYSTEM FOR LIGAMENT RECONSTRUCTION | 1 |
David Lavalle | FR | Clermont-Ferrand | 2012-12-27 / 20120325388 - Tire Containing an Antioxidant Reservoir | 1 |
Steve Lavalle | US | Irvine | 2015-07-09 / 20150193983 - CALIBRATION OF VIRTUAL REALITY SYSTEMS | 2 |
Theresa Lavalle | US | Rockville | 2012-11-08 / 20120283121 - Quantification of IR-A and IR-B for Tumor Classification | 1 |
Marco Lavalle | IT | Formigine | 2015-10-29 / 20150306261 - DEVICE AND METHOD FOR IRRADIATING OBJECTS WITH ELECTRON BEAM | 1 |
Brad Lavalle | US | St. Louis | 2015-08-06 / 20150216135 - Molecular Markers and Phenotypic Screening for Metribuzin Tolerance | 1 |
Steven Lavalle | US | Irvine | 2015-08-20 / 20150234455 - Perception Based Predictive Tracking for Head Mounted Displays | 1 |
Amy E. Lavalle | US | New Rochelle | 2010-12-16 / 20100318424 - System for Correlating Physiological and Environmental Conditions | 1 |
Steven Lavalle | US | Bayville | 2009-11-05 / 20090273180 - Pipe Connector | 1 |
Dean R. Lavalle | US | Centerville | 2015-11-26 / 20150338213 - Adaptive Manufacturing System | 2 |
Al Lavalle | US | Beaverton | 2009-08-27 / 20090212196 - METHOD OF MAKING AN ELECTRICAL INDUCTOR USING A SACRIFICIAL ELECTRODE | 1 |
Raymond W. Lavallee | US | Littleton | 2008-10-02 / 20080243444 - Method of predicting and communicating the performance of an installed building component based on the transient hygrothermal behavior of the component | 1 |
Michael Lavallee | US | Whitinsville | 2013-05-30 / 20130134083 - Liquid-Chromatography Conduit Assemblies Having High-Pressure Seals | 2 |
Carolyn Lavallee | US | Croton-On-Hudson | 2011-09-29 / 20110237683 - Pigment compositions with improved sparking effect | 1 |
Stéphane Lavallee | FR | Saint Martin D'Uriage | 2016-04-21 / 20160106554 - SYSTEM FOR DETERMINING THE POSITION OF A KNEE PROSTHESIS | 19 |
Stéphanie Lavallee | FR | St. Martin D'Uriage | 2012-10-11 / 20120259204 - DEVICE AND METHOD FOR DETERMINING THE POSITION OF AN INSTRUMENT IN RELATION TO MEDICAL IMAGES | 1 |
Kenneth A. Lavallee | US | Colchester | 2014-07-03 / 20140184262 - LOW-VOLTAGE IC TEST FOR DEFECT SCREENING | 1 |
Stephane Lavallee | FR | Saint Martin D'Urtage | 2013-05-09 / 20130114873 - METHOD FOR AUTOMATICALLY IDENTIFYING THE CONTOURS OF A PREDEFINED BONE, DERIVED METHODS AND CORRESPONDING COMPUTER PROGRAM PRODUCTS | 1 |
Denis Lavallee | CA | St-Constant | 2015-09-24 / 20150268937 - APPLICATION FOR BUILDER FOR INDUSTRIAL AUTOMATION | 10 |
Jean-Yves Lavallee | US | Farmington Hills | 2014-05-08 / 20140123608 - ENGINE-OUT SOOT FLOW RATE PREDICTION | 2 |
Stéphane Lavallee | FR | St Martin D'Uriage | 2016-05-19 / 20160135816 - SYSTEM FOR POSITIONING A SURGICAL DEVICE | 12 |
Joshua John Lavallee | CA | Breslau | 2013-07-25 / 20130187861 - SIMULTANEOUS DISPLAY OF MULTIPLE MAXIMIZED APPLICATIONS ON TOUCH SCREEN ELECTRONIC DEVICES | 1 |
Stéphane Lavallee | FR | Saint Martin D'Uriage | 2016-04-21 / 20160106554 - SYSTEM FOR DETERMINING THE POSITION OF A KNEE PROSTHESIS | 19 |
Dean Lavallee | US | Jupiter | 2012-12-13 / 20120315415 - SHIPPING STRUCTURE AND METHODS OF MAKING AND USING SAME | 1 |
Jean-Francois Lavallee | CA | Milles-Iles | 2012-01-05 / 20120004224 - Triheterocyclic Compounds and Compositions Thereof | 1 |
Wendy Elise Waesche Lavallee | US | Norwalk | 2010-03-18 / 20100065457 - BEVERAGE PACKAGE WITH INCORPORATED HANDLES | 1 |
Louis F. Lavallee | US | Webster | 2011-05-12 / 20110112887 - CRITICAL PARAMETER/REQUIREMENTS MANAGEMENT PROCESS AND ENVIRONMENT | 2 |
Gregory L. Lavallee | US | Monticello | 2015-06-04 / 20150151233 - SUPPORT STRUCTURE FOR PLEATED FILTER MEDIA AND METHODS | 4 |
Theresa M. Lavallee | US | Rockville | 2009-04-23 / 20090105205 - METHODS OF TREATING DISEASE STATES USING ANTIANGIOGENIC AGENTS | 2 |
Claude Lavallee | US | Maplewood | 2016-02-04 / 20160032087 - FLUORINE-CONTAINING POLYMER COMPOSITION | 8 |
Ronald J. Lavallee | US | Belgrade | 2013-03-21 / 20130074037 - Analytic engine to parallelize serial code | 1 |
Pierre Lavallee | CA | Rosemere | 2016-02-18 / 20160046587 - PREPARATION AND METHOD FOR USE IN THE TREATMENT OF RESPIRATORY DISEASES | 1 |
Jean-Francois Lavallee | CA | Mille-Iles | 2008-12-25 / 20080318903 - METHODS FOR TREATING CANCER | 1 |
Jean-Francois Lavallee | CA | Montreal | 2013-06-20 / 20130158261 - PROTEASE INHIBITORS | 2 |
Gregory Lavallee | US | Monticello | 2015-01-22 / 20150020487 - Air cleaner; replaceable filter cartridges; and, methods | 4 |
Theresa Lavallee | US | Rockville | 2011-10-20 / 20110256155 - METHODS TO MOLECULARLY CHARACTERIZE CIRCULATING TUMOR CELLS | 2 |
Gerald A. Lavallee | US | Belchertown | 2009-12-03 / 20090297653 - TWO-STAGE REACTION INJECTION MOLDED GOLF BALL | 1 |
Patricia Lavallee | US | Ft. Lauderdale | 2009-05-21 / 20090129089 - Decorative Display Apparatus | 1 |
Paul R. Lavallee | US | Berwyn | 2014-04-17 / 20140107279 - ACRYLIC COPOLYMER FOR USE IN HIGHLY FillED COMPOSITES | 5 |
Bradley Lavallee | US | St. Louis | 2014-10-09 / 20140304860 - Molecular Markers Associated with Soybean Tolerance to Low Iron Growth Conditions | 1 |
Claude Lavallee | US | Maplewood | 2016-02-04 / 20160032087 - FLUORINE-CONTAINING POLYMER COMPOSITION | 8 |
Jean-Simon Lavallee | CA | Sherbrooke | 2014-10-23 / 20140311637 - COVER FOR A VEHICLE | 2 |
Stéphane Lavallee | FR | St Martin D'Uriage | 2016-05-19 / 20160135816 - SYSTEM FOR POSITIONING A SURGICAL DEVICE | 12 |
Claude Lavallee | US | Lexington | 2012-02-02 / 20120024701 - GENERAL PROCEDURE FOR THE IDENTIFICATION OF DNA SEQUENCES GENERATING ELECTROMAGNETIC SIGNALS IN BIOLOGICAL FLUIDS AND TISSUES | 2 |
Bernard Lavallee | CA | Ste. Foy | 2011-12-22 / 20110311661 - Plant Extracts and Dermatological Uses Thereof | 1 |
John J. Lavallee | US | Wrentham | 2014-08-21 / 20140237328 - SYSTEM AND METHOD FOR FAULT TOLERANT COMPUTING USING GENERIC HARDWARE | 4 |
Stéphane Lavallee | FR | St Martin D'Uriage | 2012-07-05 / 20120172762 - DEVICE AND METHOD OF AUTOMATIC CALIBRATION OF A TENSOR IN ARTHROPLASTY PROCEDURES | 3 |
Stéphane Lavallee | FR | Saint Martin D'Uriage | 2013-04-18 / 20130096373 - METHOD OF DETERMINATION OF ACCESS AREAS FROM 3D PATIENT IMAGES | 7 |
Denis Lavallee | CA | St-Constant | 2015-09-24 / 20150268937 - APPLICATION FOR BUILDER FOR INDUSTRIAL AUTOMATION | 10 |
Paul Lavallee | CA | Calgary | 2009-12-31 / 20090320517 - COOLER AND COOLER ACCESSORY WITH INTEGRATED LIQUID DISPENSER | 2 |
Jean-Francois Lavallee | CA | Mille-Lles | 2008-12-25 / 20080318902 - TRIHETEROCYCLIC COMPOUNDS AND COMPOSITIONS THEREOF | 1 |
Stephane Lavallee | FR | Saint Martin D'Here | 2011-12-01 / 20110295109 - METHOD AND DEVICE FOR NAVIGATION OF A SURGICAL TOOL | 1 |
Christopher Thomas Lavallee | US | Morganville | 2015-12-31 / 20150374017 - SPRAY-DRIED COMPOSITIONS CAPABLE OF RETAINING VOLATILE COMPOUNDS AND METHODS OF PRODUCING THE SAME | 4 |
Ben S. Lavallee | US | Mcdonald | 2010-02-18 / 20100041495 - GOLF DRIVER HEAD WITH EXCHANGEABLE REAR SECTIONS | 1 |
Bradley J. La Vallee | US | Clarkson Valley | 2015-05-14 / 20150135359 - METHOD TO IDENTIFY ASIAN SOYBEAN RUST RESISTANCE QUANTITATIVE TRAIT LOCI IN SOYBEAN AND COMPOSITIONS THEREOF | 4 |
Alexandre Lavallée | CA | Montreal | 2010-09-16 / 20100230311 - BICYCLE TRAVEL/STORAGE BAG | 1 |
Jean-François Lavallée | CA | Montreal | 2012-05-31 / 20120133727 - SCREEN SHARING AND VIDEO CONFERENCING SYSTEM AND METHOD | 1 |
Alexandre Lavallée | CA | Montreal | 2010-09-16 / 20100230311 - BICYCLE TRAVEL/STORAGE BAG | 1 |
Alexandre Lavallée | CA | Montreal | 2010-09-16 / 20100230311 - BICYCLE TRAVEL/STORAGE BAG | 1 |
Jean-Francois Lavallée | CA | Verdun | 2016-03-10 / 20160070696 - TASK SWITCHING IN DIALOGUE PROCESSING | 6 |
Alexandre Lavallée | CA | Morin Heights | 2015-07-30 / 20150208736 - FOREARM SUPPORT GARMENT | 1 |
Jean-François Lavallée | CA | Mille-Isles | 2015-05-14 / 20150133446 - IMIDAZOTHIADIAZOLE DERIVATIVES AS PROTEASE ACTIVATED RECEPTOR 4 (PAR4) INHIBITORS FOR TREATING PLATELET AGGREGATION | 1 |
Jean-François Lavallée | CA | Montreal | 2012-05-31 / 20120133727 - SCREEN SHARING AND VIDEO CONFERENCING SYSTEM AND METHOD | 1 |
Alexandre Lavallée | CA | Montreal | 2010-09-16 / 20100230311 - BICYCLE TRAVEL/STORAGE BAG | 1 |
Raymond W. Lavallee, Ii | US | Erie | 2015-08-20 / 20150231654 - MATERIAL SPRAYING MACHINE | 1 |
Fernando Javier Lavalle González | MX | Monterrey | 2011-12-08 / 20110300175 - COMPOSITION FOR PROMOTING CONTROL OF TOTAL AND LDL CHOLESTEROL, AND/OR WEIGHT LOSS AND/OR THERMOGENESIS | 1 |
Daniel Lawrence Lavalley | US | Williamstown | 2009-03-05 / 20090058988 - System for Panoramic Image Processing | 1 |
Daniel Lawrence Lavalley | US | Southampton | 2012-09-13 / 20120229596 - Panoramic Imaging and Display System With Intelligent Driver's Viewer | 1 |
Mary Lavalley | US | Huntersville | 2009-08-06 / 20090196710 - SECURING ARRANGEMENT OF LOOSE ELEMENTS OF DRAFT SCRAPBOOKING PAGE | 1 |
Patrick Lavalley | US | Elk River | 2015-06-04 / 20150151124 - ELECTRICAL STIMULATION DEVICE AND METHOD FOR THERAPEUTIC TREATMENT AND PAIN MANAGEMENT | 4 |
Howard N. Lavalley | US | Albuquerque | 2012-09-27 / 20120242974 - Method and Apparatus for Controlling Laser Transmissions for Enhanced Safety | 3 |
Roger Lavalley | US | Bemidji | 2015-03-26 / 20150082598 - ATTACHMENT FOR MAKING UP OR BREAKING OUT PIPE | 7 |
Jason Lavalley | US | Bemidji | 2016-05-19 / 20160137463 - ATTACHMENT WITH VACUUM AND GRAB ARMS | 13 |
Zachery Lavalley | US | Leominster | 2009-03-12 / 20090066641 - Methods and Systems for Interpretation and Processing of Data Streams | 1 |
Scott Lavalley | US | Marlborough | 2010-12-23 / 20100319524 - Vehicle protection system | 1 |
Brad M. Lavalley | US | Brookhaven | 2011-09-08 / 20110217114 - ARRESTING SYSTEMS AND METHODS | 1 |
Jason Lavalley | US | Bemidji | 2016-05-19 / 20160137463 - ATTACHMENT WITH VACUUM AND GRAB ARMS | 13 |
Jason Lavalley | US | Bemidje | 2013-10-31 / 20130283589 - ATTACHMENT FOR MAKING UP OR BREAKING OUT PIPE | 1 |
Perrine Lavalley-Maillet | FR | Marcilly Les Buxy | 2015-03-19 / 20150079319 - NATURAL COLD SURFACE TREATMENT FOR HOLLOW GLASS ITEMS | 1 |
Edward R. Lavallie | US | Harvard | 2010-03-11 / 20100062448 - PROTEIN KINASE C ZETA AS A DRUG TARGET FOR ARTHRITIS AND OTHER INFLAMMATORY DISEASES | 3 |
Mario Joseph Leo Claude Lavalliere | CA | Mascouche | 2013-11-14 / 20130304753 - METHOD AND APPARATUS FOR CONCURRENT FILTERING OF MULTIPLE COMPONENTS OF STREAMING DATA | 9 |
Mario Joseph Leo Claude Lavalliere | CA | Mascouche | 2013-11-14 / 20130304753 - METHOD AND APPARATUS FOR CONCURRENT FILTERING OF MULTIPLE COMPONENTS OF STREAMING DATA | 9 |
Richard R. Lavalliere | US | West Palm Beach | 2008-09-18 / 20080223104 - CRIMPING TOOL AND METHOD FOR DUCT CONNECTORS | 1 |
Joseph Leo Claude Mario Lavalliere | CA | Mascouche | 2013-11-28 / 20130316680 - GENERATION AND DELIVERY OF MULTIMEDIA CONTENT-ADAPTATION NOTIFICATIONS | 5 |
Brian Edward Lavan | US | San Francisco | 2016-02-25 / 20160051520 - Methods for treating hyperuricemia in patients with gout using halofenate or halofenic acid and a second urate-lowering agent | 8 |
David A. Lavan | US | Hamden | 2010-11-25 / 20100297608 - Systems and Methods for CMOS-Compatible Silicon Nano-Wire Sensors with Biochemical and Cellular Interfaces | 1 |
Lucille G. Lavan | US | Pittsford | 2011-10-13 / 20110251776 - FUEL ACCUMULATOR AND FUEL SYSTEM USING THE SAME | 1 |
David Lavan | US | Rockville | 2012-02-16 / 20120039978 - Novel Anti-Viral Method | 1 |
Avi Lavan | IL | Yokneam-Elit | 2009-08-13 / 20090204747 - Non binary flash array architecture and method of operation | 2 |
Brian Edward Lavan | US | San Francisco | 2016-02-25 / 20160051520 - Methods for treating hyperuricemia in patients with gout using halofenate or halofenic acid and a second urate-lowering agent | 8 |
Sebastien Lavanchy | CH | Denges | 2013-03-14 / 20130065013 - MULTI-LAYER WOOD VENEER MOULDING | 1 |
Frederic Lavanchy | CH | Grandson | 2015-12-03 / 20150342254 - SMOKING ARTICLE COMPRISING AN AIRFLOW DIRECTING ELEMENT | 5 |
David Lavanchy | CH | Morges | 2013-09-19 / 20130240322 - Coin Sensor | 1 |
Michel Lavanchy | FR | Saint Martin D'Uriage | 2008-08-21 / 20080196871 - Condenser-Type Welded-Plate Heat Exchanger | 1 |
Jose Luis Lavandera Diaz | US | Research Triangle Park | 2012-05-10 / 20120115899 - NAPHTHYRIDIN-2 (1 H)-ONE COMPOUNDS USEFUL AS ANTIBACTERIALS | 1 |
Sergio Alejandro Lavandero González | CL | Santiago | 2015-07-09 / 20150190472 - USE OF THE ANGIOTENSIN-(1-9) PEPTIDE OR DERIVATIVES THEREOF, USE OF VECTORS OVEREXPRESSING THE ACE2 ENZYME THAT PRODUCES ANGIOTENSIN-(1-9) FOR PREPARING MEDICAMENTS USEFUL FOR PREVENTING, REVERTING, INHIBITING AND/OR REDUCING HYPERTENSION AND/OR INDUCING VASODILATION | 1 |
Simone Lavanga | IT | Roma | 2010-04-29 / 20100102358 - SINGLE VOLTAGE SUPPLY PSEUDOMORPHIC HIGH ELECTRON MOBILITY TRANSISTOR (PHEMT) POWER DEVICE AND PROCESS FOR MANUFACTURING THE SAME | 1 |
Vito Lavanga | IT | Sesto S. Giovanni | 2012-07-05 / 20120167870 - SYSTEM FOR STORAGE AND TRANSFER OF HEAT ENERGY | 1 |
Suresh Lavani | US | Austin | 2012-03-29 / 20120079256 - Interrupt suppression | 1 |
Mahesh Lavannis | US | Rockville | 2013-04-11 / 20130090910 - APPLICATION LEVEL INTERFACE TO NETWORK ANALYSIS TOOLS | 3 |
Barry James Lavaque | US | Mosinee | 2013-03-14 / 20130062225 - ARM PALETTE | 2 |
Gary Kenneth Lavarack | US | Sausalito | 2014-11-27 / 20140345958 - LIGHTWEIGHT POLYCARBONATE SUSPENSION FOR VEHICLE | 1 |
Erwann Lavarec | FR | Montpellier | 2008-09-11 / 20080221729 - Automatic Surface-Scanning Method and System | 1 |
Jean De Lavarene | FR | Levallois Perret | 2016-03-10 / 20160072817 - SYSTEM AND METHOD FOR PROVIDING FOR SECURE NETWORK COMMUNICATION IN A MULTI-TENANT ENVIRONMENT | 7 |
Anna Lavarenne | FR | Saint Remy | 2014-06-05 / 20140150573 - Device for Measuring Pressure from a Flexible, Pliable, and/or Extensible Object Made from a Textile Material Comprising a Measurement Device | 1 |
Christophe Lavarenne | FR | Saint Remy | 2014-06-05 / 20140150573 - Device for Measuring Pressure from a Flexible, Pliable, and/or Extensible Object Made from a Textile Material Comprising a Measurement Device | 1 |
Eva Lavarenne | FR | Saint Remy | 2014-06-05 / 20140150573 - Device for Measuring Pressure from a Flexible, Pliable, and/or Extensible Object Made from a Textile Material Comprising a Measurement Device | 1 |
J. La Var Oldham | US | Sandy | 2008-11-06 / 20080272925 - Safety-Enhanced Electrical Circuit Interrupter | 1 |
Seyed Hossein Miri Lavasani | US | Atlanta | 2011-06-09 / 20110133848 - Thin-Film Piezoelectric-on-Insulator Resonators Having Perforated Resonator Bodies Therein | 3 |
Seyed Hossein Miri Lavasani | US | San Jose | 2012-12-13 / 20120313708 - METHOD AND APPARATUS FOR AUTOMATICALLY ADJUSTING THE BANDWIDTH OF AN ELECTRONIC AMPLIFIER | 1 |
Mitra Lavasani | US | Homestead | 2013-12-19 / 20130336935 - Compositions and Methods for Restoring or Rejuvenating Stem/Progenitor Cell Function | 2 |
Afsaneh Lavasanifar | CA | Edmonton | 2013-05-09 / 20130116428 - NOVEL POLY(ETHYLENE OXIDE)-BLOCK-POLY(ESTER) BLOCK COPOLYMERS | 3 |
Bruce William Lavash | US | West Chester | 2016-03-03 / 20160058626 - MENSTRUAL PANT | 7 |
Bruce William Lavash | US | Cincinnati | 2008-09-11 / 20080221542 - Absorbent article | 2 |
Sarah Ann Lavash | US | Cincinnati | 2014-01-30 / 20140029871 - FLEXIBLE PACKAGE AND METHOD OF MAKING SAME | 1 |
Bud Lavassani | US | Burbank | 2015-10-01 / 20150272410 - FOLDABLE TOILET ACCESSORY | 1 |
Olivier Lavastre | FR | Gahard | 2015-03-19 / 20150079497 - FUEL CELL DEVICE WITH ELECTROLYTES FLOWING BY MEANS OF PERCOLATION THROUGH ELECTRODES HAVING A POROUS, THREE-DIMENSIONAL STRUCTURE | 10 |
Celine Lavaud | FR | Bordeaux | 2009-01-08 / 20090011332 - NEGATIVE ACTIVE MATERIAL FOR NICKEL-METAL HYDRIDE ACCUMULATOR | 1 |
Jacques Lavaud | FR | Pau | 2012-05-17 / 20120118879 - METHOD FOR EXTRACTING HYDROCARBONS BY IN-SITU ELECTROMAGNETIC HEATING OF AN UNDERGROUND FORMATION | 1 |
Jean-Francois Lavaud | FR | Bergerac | 2009-10-01 / 20090243487 - High-pressure discharge lamp having an outer envelope arranged around a discharge vessel | 1 |
Brigitte Lavaud | FR | Paris | 2014-12-04 / 20140356310 - COSMETIC USE OF DEDIFFERENTIATED PLANT CELLS | 2 |
Thomas Lavaud | FR | Meudon | 2009-11-26 / 20090289147 - METHOD OF COLLECTING INFORMATION RELATING TO A MOVABLE AIRFOIL SURFACE OF AN AIRCRAFT | 2 |
Rachel Agnes Lavaure | JP | Tokyo | 2013-08-22 / 20130213712 - Coring Tool and Method | 3 |
Rachel Lavaure | FR | Le Plessis Robinson | 2014-10-02 / 20140291034 - Coring Apparatus And Methods To Use The Same | 1 |
Alain Lavaure | FR | Noyal Sur Vilaine | 2015-10-08 / 20150288412 - Harmonic Rejection Mixer | 1 |
Guillame Lavaure | FR | Lyon | 2016-02-11 / 20160041070 - Automatic Rotating-Machine Fault Diagnosis With Confidence Level Indication | 1 |
Rachel Lavaure | JP | Tokyo | 2012-01-05 / 20120000711 - Coring Apparatus And Methods To Use The Same | 2 |
Stephan T. Lavavej | US | Redmond | 2013-01-17 / 20130019254 - ENABLING FAST STRING ACQUISITION IN AN OPERATING SYSTEM FOR EFFICIENT INTEROPERATIONS WITH VARIOUS LANGUAGE PROJECTIONSAANM Kuhn; BenjaminAACI BellevueAAST WAAACO USAAGP Kuhn; Benjamin Bellevue WA USAANM Lavavej; Stephan T.AACI RedmondAAST WAAACO USAAGP Lavavej; Stephan T. Redmond WA USAANM Zeng; WeiAACI SammamishAAST WAAACO USAAGP Zeng; Wei Sammamish WA US | 1 |
Carter Lavay | US | 2009-04-30 / 20090110652 - Polyglycerol dimer polyester resins | 1 | |
Carter Lavay | US | Riverside | 2010-08-05 / 20100196304 - Polyglycerol silicone polymers in personal care | 7 |
Maylis Lavayssiere | FR | Grenoble | 2010-08-12 / 20100200747 - METHOD FOR CORRECTING ASTIGMATISM IN ELECTRON EMISSION SPECTROMICROSCOPY IMAGING | 1 |
Natacha Lavayssierre | FR | Essert | 2010-03-18 / 20100065493 - MICROBIAL DEGRADATION OF WATER-BORNE PAINT CONTAINING HIGH LEVELS OF ORGANIC SOLVENT | 1 |
Randall L. La Veau | US | Des Plaines | 2008-11-06 / 20080272018 - PACKAGE FOR LIGHTBULBS AND METHOD OF MAKING SAME | 1 |
Richard Arthur Laveault | US | Cheshire | 2011-01-06 / 20110000800 - Inverted dome to supply dose | 1 |
Claudio Lavecchia | FR | Antibes | 2013-05-16 / 20130124235 - METHOD AND SYSTEM FOR GENERATING AND USING A PRICE SUMMARY | 1 |
Ellyn Ferrante Lavecchia | US | Medford | 2013-11-21 / 20130311498 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR DATA INTEGRATION AND DATA MAPPING | 1 |
Roberto Lavecchia | IT | Rome | 2010-03-04 / 20100055261 - PROCESS FOR THE EXTRACTION OF LYCOPENE | 1 |
Claudio Lavecchia | FR | Biot | 2008-12-04 / 20080301122 - Searching techniques | 1 |
John Lavecchia | US | Hawthorne | 2015-10-08 / 20150287068 - SYSTEM AND METHOD FOR POOLING AND CONVERTING PURCHASE REWARDS TO CASH FOR END-USERS | 1 |
Daniel P. Lavecchia | US | Cape May | 2014-05-01 / 20140120813 - METHOD AND SYSTEM FOR OPENING SHELLFISH USING INFRARED ENERGY | 1 |
Nunzio La Vecchia | CH | Ascona | 2011-11-24 / 20110285629 - Computer Mouse, In Particular a Laser Mouse | 1 |
Gioacchino La Vecchia | IT | Castrofilippo (ag) | 2008-08-28 / 20080209431 - System and method for routing tasks to a user in a workforce | 1 |
Janet L. Laveck | US | Conway | 2010-06-03 / 20100132857 - Coin Separator Tray for Purse or Handbag | 1 |
Christian Lavedan | US | 2010-11-18 / 20100292211 - ANTIPSYCHOTIC TREATMENT BASED ON SNP GENOTYPE | 1 | |
Christian Lavedan | US | Potomac | 2016-02-25 / 20160053324 - METHODS FOR THE ADMINISTRATION OF ILOPERIDONE | 16 |
Christian N. Lavedan | US | Potomac | 2009-01-08 / 20090011011 - STABLE DOSAGE FORMULATIONS OF IMIDAZOLYLALKYL-PYRIDINES | 2 |
Christian Lavedan | US | Potomac | 2016-02-25 / 20160053324 - METHODS FOR THE ADMINISTRATION OF ILOPERIDONE | 16 |
Thomas G. Lavedas | US | Clifton | 2015-12-24 / 20150372395 - NEAR-FIELD GRADIENT PROBE FOR THE SUPPRESSION OF RADIO INTERFERENCE | 6 |
Doron Lavee | IL | Carmei Yosef | 2010-10-21 / 20100265041 - RFID TRANSPONDER | 3 |
Gal Lavee | IS | Tel Aviv | 2015-10-29 / 20150310529 - WEB-BEHAVIOR-AUGMENTED RECOMMENDATIONS | 1 |
Doron Lavee | IL | Karmel Yosef | 2008-11-06 / 20080272890 - Battery-assisted backscatter RFID transponder | 1 |
Gal Lavee | IL | Haifa | 2013-12-26 / 20130346401 - TOPICAL AFFINITY BADGES IN INFORMATION RETRIEVAL | 1 |
Jacob Lavee | IL | Ramat Gan | 2012-09-06 / 20120226218 - EXTRACELLULAR MATRIX MATERIAL CREATED USING NON-THERMAL IRREVERSIBLE ELECTROPORATION | 1 |
Tamar Lavee | IL | Tel Aviv | 2015-11-05 / 20150317560 - AUTOMATIC CONSTRUCTION OF ARGUMENTS | 3 |
Tamar Lavee | IL | Moshav Sde Yaakov | 2012-12-20 / 20120324597 - MELON PLANTS COMPRISING TETRA-CIS-LYCOPENE | 1 |
Uri Lavee | IL | Tel-Aviv | 2014-09-18 / 20140279012 - TARGETED ADVERTISEMENTS FOR TRAVEL REGION DEMOGRAPHICS | 5 |
Shimon Lavee | IL | Rehovot | 2011-05-05 / 20110107477 - Olive Tree Named 'MASEPO' | 4 |
Joseph Donald Laveigne | US | Buellton | 2016-04-14 / 20160104696 - TECHNIQUES FOR TILING ARRAYS OF PIXEL ELEMENTS AND FABRICATING HYBRIDIZED TILES | 2 |
Deen Laveil | US | Pompano Beach | 2008-11-27 / 20080289561 - Elevated Water Sport Tow Extension Apparatus | 1 |
Andrew T. Laveine | US | Newberg | 2013-05-23 / 20130126398 - FLEXIBLE MAT SCREENING APPARATUS WITH OFFSET SUPPORTS | 1 |
Lawrence P. Lavelle | US | Rahway | 2009-12-24 / 20090319046 - HYOID SUSPENSION FOR OBSTRUCTIVE SLEEP APNEA | 1 |
Ed Lavelle | IE | Dublin | 2009-07-09 / 20090176696 - Methods And Compositions For Modulating An Immune Response | 1 |
Richard M. Lavelle | US | Wexford | 2014-01-30 / 20140027330 - SURGICAL TRAY PROTECTION SYSTEM AND METHOD | 1 |
Shay Lavelle | IE | County Limerick | 2012-01-26 / 20120022398 - BIOPSY DEVICE | 1 |
Scot Lavelle | US | Rocky Face | 2014-09-04 / 20140248456 - METHOD AND APPARATUS FOR TOXIC SUBSTANCE ENCAPSULATION | 2 |
Shay Lavelle | IE | Annacotty | 2014-11-27 / 20140350587 - FIDUCIAL DEPLOYMENT NEEDLE SYSTEM | 2 |
Florian Lavelle | FR | Paris | 2014-04-24 / 20140110051 - METHOD FOR MAKING A SANDWICH TYPE COMPOSITE BY CO-FIRING | 1 |
Patrick M. Lavelle | US | Sayville | 2016-04-28 / 20160114736 - VEHICLE ENTERTAINMENT SYSTEM AND METHOD OF MOUNTING VEHICLE ENTERTAINMENT UNIT | 3 |
Tom Lavelle | US | San Antonio | 2014-09-18 / 20140280389 - DEVICE AGNOSTIC ACTIVE/ACTIVE DATA CENTER AFFINITY | 1 |
Shay J. Lavelle | IE | Limerick | 2016-03-24 / 20160082202 - APPARATUS FOR ACCURATELY CONTROLLING NEEDLE EXTENSION | 1 |
Peter Lavelle | US | Wilbraham | 2014-08-21 / 20140232123 - HOSE SUPPORT APPARATUS | 1 |
M. Katherine Lavelle | US | Roslindale | 2015-08-06 / 20150221035 - RETIREMENT SAVINGS PLAN MYTHBUSTER | 1 |
Shay J. Lavelle | IE | Annacotty | 2015-08-20 / 20150232975 - NICKEL-TITANIUM ALLOY INCLUDING A RARE EARTH ELEMENT | 2 |
Mark L. Lavelle | US | Govans | 2014-09-04 / 20140249949 - CONSUMER PROCESSING SYSTEM AND METHOD | 5 |
Tara Lavelle | US | Moosic | 2008-09-04 / 20080214089 - Get well toy | 1 |
Bill Lavelle | US | Massillon | 2008-11-13 / 20080277464 - Cash dispensing automated banking machine deposit accepting system and method | 1 |
Peter Lavelle | US | Danbury | 2009-06-04 / 20090142717 - Metering combustion control | 1 |
James P. Lavelle | US | Roslyn | 2009-10-01 / 20090241565 - Method for Enhancing Mineral Oil Miscibility and Oil Return | 1 |
Dennis Lavelle | US | Paso Robles | 2009-10-08 / 20090252619 - Integrated pump housing | 1 |
Mark Lavelle | US | San Mateo | 2009-10-08 / 20090251417 - Keyboard with Programmable Keys | 1 |
Michael G. Lavelle | US | Saratoga | 2014-02-27 / 20140059616 - ON SCREEN DISPLAYS ASSOCIATED WITH REMOTE VIDEO SOURCE DEVICES | 6 |
Greg M. Lavelle | US | Jacksonville | 2010-10-28 / 20100275054 - KNOWLEDGE MANAGEMENT SYSTEM | 5 |
Carol Lavelle | US | Saratoga | 2010-12-23 / 20100321395 - DISPLAY SIMULATION SYSTEM AND METHOD | 1 |
Mark L. Lavelle | US | Cockeysville | 2010-03-11 / 20100063874 - METHOD AND SYSTEM FOR PROVIDING INCENTIVES DURING A CONSUMER AND A MERCHANT PURCHASE TRANSACTION | 1 |
Timothy C. Lavelle | US | San Jose | 2009-12-03 / 20090300718 - SYSTEM AND METHOD FOR LOST DATA DESTRUCTION OF ELECTRONIC DATA STORED ON A PORTABLE ELECTRONIC DEVICE WHICH COMMUNICATES WITH SERVERS THAT ARE INSIDE OF AND OUTSIDE OF A FIREWALL | 1 |
Michael R. Lavelle | US | Indianapolis | 2009-12-10 / 20090307573 - ENERGY MANAGEMENT SYSTEM | 2 |
Shay Joseph Lavelle | IE | Annacotty | 2012-12-20 / 20120318110 - BUTTON RELEASE HANDLE | 2 |
Shay Joseph Lavelle | IE | Limerick | 2012-10-18 / 20120265156 - APPARATUS FOR ACCURATELY CONTROLLING NEEDLE EXTENSION | 1 |
Shay James Lavelle | IE | Annacotty | 2015-07-23 / 20150202064 - ELECTRICALLY CHARGED MEDICAL DEVICE | 2 |
Gary J. Lavelle | US | Newtown | 2016-05-12 / 20160132447 - AGGREGATING COMPLETION MESSAGES IN A SIDEBAND INTERFACE | 4 |
Shay Lavelle | IE | Co. Limerick | 2013-01-03 / 20130006286 - FIDUCIAL DEPLOYMENT NEEDLE SYSTEM | 1 |
Edward C. Lavelle | IE | Dublin | 2013-01-03 / 20130004579 - Use of Plant Lectins to Target Leukocytes | 1 |
Shay Joseph Lavelle | IE | Annocotty | 2013-10-31 / 20130289740 - Anti-Aspiration Prosthesis | 1 |
Patrick M. Lavelle | US | Bonita Springs | 2015-07-30 / 20150210225 - VEHICLE MOUNTING SYSTEM FOR MOBILE COMPUTING DEVICES | 2 |
Lawrence P. Lavelle, Jr. | US | Rahway | 2012-09-20 / 20120239161 - AT LEAST PARTIALLY RESORBABLE RETICULATED ELASTOMERIC MATRIX ELEMENTS AND METHODS OF MAKING SAME | 4 |
Alan Peter Lavell-Smith | GB | Portsmouth | 2011-10-27 / 20110260908 - METHOD FOR MITIGATING THE EFFECTS OF CLUTTER AND INTERFERENCE ON A RADAR SYSTEM | 1 |
Jamie Lavely | US | Clarkston | 2009-04-09 / 20090089995 - Roller hemming system | 1 |
Jozef Stephanus Elisabeth Laven | NL | Rotterdam | 2014-10-30 / 20140322715 - NEW METHOD AND KIT FOR PREDICTION SUCCESS OF IN VITRO FERTILIZATION | 1 |
Johannes Laven | DE | Taufkirchen | 2016-04-28 / 20160118466 - SEMICONDUCTOR CHIP ARRANGEMENT | 10 |
Arne Laven | US | Bend | 2012-02-02 / 20120028155 - SYSTEMS AND METHODS FOR STARTING AND OPERATING FUEL CELL SYSTEMS IN SUBFREEZING TEMPERATURES | 9 |
Gaston Laven | SE | Stockholm | 2013-01-10 / 20130012725 - PROCESS FOR THE REDUCTION OF A TERTIARY PHOSPHINE OXIDE TO THE CORRESPONDING TERTIARY PHOSPHINE IN THE PRESENCE OF A CATALYST AND USE OF A TERTIARY PHOSPHINE FOR REDUCING A TERTIARY PHOSPHINE OXIDE IN THE PRESENCE OF A CATALYST | 1 |
Sudha Rani Laven | US | Bend | 2011-09-15 / 20110223507 - SYSTEMS AND METHODS FOR FUEL CELL THERMAL MANAGEMENT | 2 |
Johannes Laven | DE | Taufkirchen | 2016-04-28 / 20160118466 - SEMICONDUCTOR CHIP ARRANGEMENT | 10 |
Arne Laven | US | Bend | 2012-02-02 / 20120028155 - SYSTEMS AND METHODS FOR STARTING AND OPERATING FUEL CELL SYSTEMS IN SUBFREEZING TEMPERATURES | 9 |
Jozua Laven | NL | Best | 2011-06-30 / 20110156081 - POLYMERIC WAVELENGTH CONVERTING ELEMENTS | 1 |
Arne Laven | US | Hampton | 2014-01-16 / 20140013735 - FLUID STORAGE IN COMPRESSED-GAS ENERGY STORAGE AND RECOVERY SYSTEMS | 12 |
Johannes Georg Laven | DE | Taufkirchen | 2016-05-19 / 20160141399 - Method for Forming a Semiconductor Device and a Semiconductor Device | 33 |
Arne Laven | US | Hampton | 2014-01-16 / 20140013735 - FLUID STORAGE IN COMPRESSED-GAS ENERGY STORAGE AND RECOVERY SYSTEMS | 12 |
Johannes Georg Laven | DE | Taufkirchen | 2016-05-19 / 20160141399 - Method for Forming a Semiconductor Device and a Semiconductor Device | 33 |
Johannes Georg Laven | DE | Taufkrichen | 2016-03-10 / 20160071759 - Method of Forming a Semiconductor Substrate With Buried Cavities and Dielectric Support Structures | 1 |
Mattia Lavena | IT | Rome | 2011-02-03 / 20110026517 - Session Initiation Protocol (SIP) | 1 |
Lawrence S. Lavenberg | US | Los Angeles | 2013-01-31 / 20130028080 - SYSTEM AND METHOD FOR CONFIGURATION AND MANAGEMENT OF QUEUE SETS | 1 |
Ben Lavender | GB | Oxford | 2009-01-01 / 20090007198 - Accessing Broadcast Media | 1 |
Julie Lavender | US | Ann Arbor | 2012-02-09 / 20120032961 - RATING TOOL | 1 |
Charles Eric Lavender | US | Mason | 2012-08-16 / 20120207603 - TRAPPED SPRING BALANCE WEIGHT AND ROTOR ASSEMBLY | 2 |
Curtis A. Lavender | US | Richland | 2014-09-25 / 20140283574 - SYSTEM AND PROCESS FOR FORMATION OF EXTRUSION STRUCTURES | 1 |
Jack Lavender | GB | Loanhead | 2015-10-29 / 20150308419 - VEHICLE | 2 |
Mark Lavender | US | Maple Grove | 2014-07-17 / 20140200560 - ADJUSTABLE STOP FOR ELONGATE MEDICAL DEVICE DEFLECTION MECHANISM | 1 |
John Paul Lavender | GB | Caterham | 2013-03-07 / 20130059477 - BATTERY COUPLING ARRANGEMENT | 2 |
Lance L. Lavender | US | Enfield | 2015-07-30 / 20150210510 - METHODS AND APPARATUSES FOR APPLYING A SUBSTRATE ONTO AN ELEVATOR SHEAVE | 1 |
Timothy Lavender | US | Pikeville | / - | 1 |
Stacey Lavender | US | Chesterfield | 2013-12-05 / 20130323185 - METHODS OF ANALYZING PLAQUE | 2 |
Richard Jerald Lavender | CA | Egmondville | 2013-09-26 / 20130252524 - BEAUTY SALON VENTILATOR | 1 |
Jack Samuel Lavender | GB | Loanhead, Midlothian, Scotland | 2016-03-24 / 20160084246 - ATTACHMENT OF A FLUID FLOW HAT FOR ACTUATED VALVE | 1 |
Jack Samuel Lavender | GB | Edinburgh | 2012-03-15 / 20120060684 - FLUID WORKING MACHINE AND METHOD OF OPERATING A FLUID WORKING MACHINE | 1 |
Dan Lavender | US | Littleton | 2014-09-11 / 20140259044 - Identity-Media Measurement Model (IMMM) | 1 |
Stephen J. Lavender | US | Caledonia | 2016-04-21 / 20160108881 - LAWN MOWER STARTER SYSTEM | 6 |
Stephen J. Lavender | US | Racine | 2014-10-30 / 20140318095 - ENGINE MOUNTING SYSTEM | 2 |
Jack Lavender | GB | Midlothian | 2016-01-07 / 20160003239 - VALVE UNIT A FLUID WORKING MACHINE COMPRISING A VALVE UNIT | 1 |
Frances Louise Lavender | GB | Hants | 2015-04-09 / 20150099646 - NUCLEIC ACID AMPLIFICATION PRIMERS FOR PCR-BASED CLONALITY STUDIES | 1 |
John Paul Lavender | GB | London | 2015-04-09 / 20150099151 - Battery | 1 |
Scott D. Lavender | US | Rochester | 2013-07-18 / 20130182893 - SYSTEM AND METHOD FOR VIDEO EPISODE VIEWING AND MINING | 1 |
Curt A. Lavender | US | Richland | 2015-04-30 / 20150114975 - CONFORMABLE PRESSURE VESSEL FOR HIGH PRESSURE GAS STORAGE | 7 |
Hayley Lavender | GB | Oxford | 2016-02-04 / 20160030544 - IMMUNOGENIC COMPOSITION TO NEISSERIA | 1 |
Doug Lavender | AU | North Ryde | 2012-02-09 / 20120031555 - Plasterboard Jointing System | 1 |
Francois-Regis Lavenier | FR | Exincourt | 2013-08-29 / 20130222008 - METHOD FOR DIAGNOSING AN ELECTRICAL CIRCUIT | 1 |
Dominique Lavenier | FR | Betton | 2012-11-08 / 20120281930 - FLEXIBLE PIXEL-NEIGHBOURHOOD-BASED RECONFIGURABLE COMPUTATION DEVICE | 1 |
François-Régis Lavenier | BE | Jette | 2011-10-06 / 20110240630 - Heater for a vehicular fluid tank, motor vehicle comprising same, and method for heating a vehicular fluid tank | 1 |
David Michael Lavenson | US | Houston | 2015-05-07 / 20150127315 - Apparatus and Methods For Qualifying Compositions | 1 |
Gennady Laventman | IL | Haifa | 2014-03-27 / 20140089155 - MAPPING PORTAL APPLICATIONS IN MULTI-TENANT ENVIRONMENT | 3 |
Yannick Laventure | AU | Perth | 2009-11-05 / 20090273755 - Spectacle and writing instrument combination | 1 |
Gerald J. Lavenz | US | Anamosa | 2011-07-28 / 20110183594 - Plasma cutting machine exhaust apparatus and method | 1 |
Michael Anthony Laver | US | Ei Cajon | 2015-12-24 / 20150369861 - GROUP VISION ALIGNMENT FOR DOUBLE SIDED IC DEVICE TESTING | 1 |
David G. Laver | CA | Hornings Mills | 2011-02-03 / 20110025181 - PERSONAL STORAGE LOCKER | 1 |
Steven Douglas Laver | US | San Diego | 2015-07-23 / 20150207624 - KEY EXTRACTION DURING SECURE BOOT | 7 |
Nicholas Laver | AU | Brighton, Victoria | 2016-02-25 / 20160055196 - METHODS AND SYSTEMS FOR IMPROVED DOCUMENT COMPARISON | 1 |
Michael Anthony Laver | US | El Cajon | 2015-01-15 / 20150015286 - MICRO-VISION ALIGNMENT SYSTEM WITH GUIDING RINGS FOR IC TESTING | 3 |
Hugh Laver | CH | Reinach | 2013-08-08 / 20130199832 - COMPOSITE BUILD-UP MATERIALS FOR EMBEDDING OF ACTIVE COMPONENTS | 1 |
Bryan Kent Laver | US | Everett | 2014-12-04 / 20140358991 - INTEGRATING DATA FROM VARIOUS NETWORK NODES USING A TEMPLATE STACK | 1 |
Rodney Laver | GB | Sussex | 2010-08-19 / 20100211367 - SYSTEM AND METHOD OF INTEGRATING SUBTERRANEAN COMPUTER MODELS FOR OIL AND GAS EXPLORATION | 2 |
Frank T. Laver | US | Queen Creek | 2014-08-28 / 20140238483 - THREE-DIMENSIONAL SOLAR CELL HAVING INCREASED EFFICIENCY | 2 |
Bryan Kent Laver | US | Colorado Springs | 2014-04-03 / 20140096045 - ALARM CLUSTERING MECHANISM | 1 |
Jeffrey P. Laver | CA | Waterloo | 2011-08-18 / 20110202797 - METHOD AND SYSTEM FOR RESETTING A SUBSYSTEM OF A COMMUNICATION DEVICE | 1 |
John R. Laverack | US | Southbury | 2015-05-28 / 20150147448 - Capsule Based System for Preparing and Dispensing a Beverage | 12 |
John Laverack | US | Southbury | 2016-05-05 / 20160121800 - Watersport Equipment Carrier | 15 |
John R. Laverack | US | Southbury | 2015-05-28 / 20150147448 - Capsule Based System for Preparing and Dispensing a Beverage | 12 |
John Laverack | US | Southbury | 2016-05-05 / 20160121800 - Watersport Equipment Carrier | 15 |
Amy Laverdiere | US | San Francisco | 2009-04-16 / 20090099344 - REDUCING PROTEIN A LEACHING DURING PROTEIN A AFFINITY CHROMATOGRAPHY | 1 |
Marc Laverdiere | US | Wakefield | 2015-10-01 / 20150273365 - PURIFICATION SYSTEM | 15 |
Marc Laverdiere | US | Wakefield | 2015-10-01 / 20150273365 - PURIFICATION SYSTEM | 15 |
Joshua Laverdiere | US | Peabody | 2014-12-18 / 20140367228 - CONVEYOR ASSEMBLY AND ASSOCIATED METHOD | 1 |
James Laverdiere | US | Boxford | 2016-05-19 / 20160137428 - Rotary picker | 2 |
Marc Laverdiere | US | Wakefiled | 2013-08-29 / 20130220452 - Liquid Flow Controller And Precision Dispense Apparatus And System | 1 |
Beth Laverdiere | US | Rocky River | 2012-12-20 / 20120317850 - FLAP SENSOR ACTIVATED GREETING CARDS | 3 |
Marc-Andre Laverdiere-Papineau | IN | Hyderabad | 2013-04-04 / 20130086693 - CLASSIFICATION-BASED DIGITAL RIGHTS MANAGEMENT | 3 |
Marc-Andre Laverdiere-Papineau | CA | Montreal | 2012-11-29 / 20120304306 - SYSTEM FOR ENTERPRISE DIGITAL RIGHTS MANAGEMENT | 1 |
Marc-Andre Laverdiere-Papineau | IN | Andhra Pradesh | 2013-03-07 / 20130061331 - Assigning Access Rights in Enterprise Digital Rights Management Systems | 1 |
Marc-André Laverdière-Papineau | IN | Hyderabad | 2013-04-04 / 20130086693 - CLASSIFICATION-BASED DIGITAL RIGHTS MANAGEMENT | 2 |
Marc-André Laverdière-Papineau | IN | Hyderabad | 2013-04-04 / 20130086693 - CLASSIFICATION-BASED DIGITAL RIGHTS MANAGEMENT | 2 |
Kenneth Scott Laverdure | US | Plano | 2016-05-05 / 20160122490 - Composition and Method for Making a Cavitated Bio-Based Film | 10 |
Kenneth Scott Laverdure | US | Plano | 2016-05-05 / 20160122490 - Composition and Method for Making a Cavitated Bio-Based Film | 10 |
Kenneth S. Laverdure | US | Lake Jackson | 2009-01-29 / 20090030095 - Polystyrene compositions and methods of making and using same | 3 |
Kenneth S. Laverdure | US | Plano | 2012-08-02 / 20120196982 - Modifiers for Oriented Polypropylene | 3 |
Alain Laverdure | US | Medford | 2011-05-19 / 20110113649 - Articles of Footwear | 2 |
Robin Lavereau | CA | Victoria Habour | 2010-10-07 / 20100252963 - SYSTEMS AND METHODS FOR COOLING MOVING MOLDS | 1 |
Olivier Lavergne | FR | Palaiseau | 2012-04-05 / 20120083514 - IMIDAZOLIDINE-2,4-DIONE DERIVATIVES, AND USE THEREOF AS A CANCER DRUG | 4 |
Catherine Lavergne | FR | Talence | 2010-01-07 / 20100002395 - ELECTRONIC HOUSING WITH ELECTRONIC BOARDS COMPRISING HEAT PIPES | 1 |
Damien Lavergne | FR | Paris | 2009-08-06 / 20090194124 - Hair Shaping Kit and Process Comprising at Least One Non-Hydroxide Imine | 6 |
Rejean Lavergne | CA | Quebec | 2008-10-09 / 20080245521 - COOLING SYSTEM FOR AN ENCLOSURE | 1 |
Guillaume Philippe Colette Lavergne | FR | Paris | 2014-06-26 / 20140179843 - POLYCARBONATE COMPOSITIONS, ARTICLES FORMED THEREFROM, AND METHODS OF MANUFACTURE THEREOF | 1 |
Damien Lavergne | FR | Sceaux | 2013-08-08 / 20130202738 - Synergistic Fermentation of Lactobacillus Rhamnosus and Lactobacillus Paracasei Subsp Paracasei | 2 |
Thomas Lavergne | FR | Saint Clement De Riviere | 2011-11-10 / 20110275793 - Chemical RNA Synthesis Method | 1 |
David Lavergne | FR | Bouloc | 2009-05-07 / 20090117840 - Method of operating an aircraft system | 1 |
Patrice Lavergne | FR | Monteynard | 2016-04-21 / 20160107712 - Device For Measuring The Chain Force In A Bicycle | 2 |
Claire Lavergne | US | San Francisco | 2016-03-10 / 20160071124 - SYSTEM AND METHOD FOR PREDICTIVE ACCOUNT TARGETING | 1 |
Claudine Lavergne | FR | Caixon | 2011-09-29 / 20110237705 - TWO-COMPONENT SYSTEM FOR BONE CEMENT | 2 |
Hans-Peter Lavergne | DE | Trunkelsberg | 2015-06-04 / 20150152842 - WIND ENERGY SYSTEM WITH A PITCH ADJUSTMENT SYSTEM | 10 |
Elise Lavergne | FR | Rennes | 2010-12-16 / 20100316616 - Use of FZC18-Containing Collagen 18 Polypeptides for the Treatment, Diagnosis and Outcome Prediction of Diseases | 1 |
Caroline Lavergne | CA | Mascouche | 2013-11-07 / 20130296548 - SUPERABSORBENT SURFACE-TREATED CARBOXYLATED POLYSACCHARIDES AND PROCESS FOR PRODUCING SAME | 1 |
Fabien Lavergne | FR | Aussonne | 2011-12-01 / 20110295574 - DEVICE FOR BALANCING A SIMULATION PLATFORM, AND ASSOCIATED METHOD | 1 |
Olivier Lavergne | FR | Palaiseua | 2016-02-04 / 20160031905 - Macrocyclic LRRK2 Kinase Inhibitors | 1 |
Mark E. Lavergne | US | Lilburn | 2009-10-22 / 20090265698 - STACKED HARDWARE ABSTRACTION LAYER METHODS FOR MAINTAINING SOFTWARE/HARDWARE BACKWARD COMPATIBILITY | 1 |
Hans-Peter Lavergne | DE | Trunkelsberg | 2015-06-04 / 20150152842 - WIND ENERGY SYSTEM WITH A PITCH ADJUSTMENT SYSTEM | 10 |
Terry J. Lavergne | US | Lafayette | 2013-08-22 / 20130213119 - SAFETY SYSTEM | 2 |
Mark Andrew Laverick | GB | Wiltshire | 2009-03-12 / 20090068672 - DETECTION SYSTEM | 1 |
Gordon R. Lavering | US | Belmont | 2014-02-06 / 20140036492 - LED LENS | 2 |
Lucas Laverman | US | Newton | 2013-09-19 / 20130240269 - LATCHING ROD BOX | 1 |
Leroy E. Laverman | US | Santa Barbara | 2011-02-10 / 20110034741 - HYDROCARBON CONVERSION PROCESS IMPROVEMENTS | 2 |
Paola Lavermicocca | IT | Bari | 2011-05-12 / 20110111094 - PROCESS FOR THE PREPARATION OF VEGETABLE PRESERVES CONTAINING PROBIOTIC MICROORGANISMS | 1 |
Natividad Lopez Lavernia | DE | Munich | 2016-05-05 / 20160122555 - SLIP AND PROCESS FOR PRODUCING AN OXIDATION- AND CORROSION-RESISTANT DIFFUSION LAYER | 5 |
Enrique J. Lavernia | US | Davis | 2011-07-07 / 20110165348 - Compositions of Corrosion-resistant Fe-Based Amorphous Metals Suitable for Producing Thermal Spray Coatings | 4 |
Maritza Lavernia | US | Miami | 2010-06-24 / 20100159605 - Method of Correction of Particle Interference to Hemoglobin Measurement | 1 |
Craig Anthony Laverone | US | Sunnyvale | 2013-03-14 / 20130067472 - ORGANIZATION OF HETEROGENEOUS ENTITIES INTO SYSTEM RESOURCE GROUPS FOR DEFINING POLICY MANAGEMENT FRAMEWORK IN VIRTUAL CLUSTER MANAGED SYSTEMS ENVIRONMENT | 2 |
Craig A. Laverone | US | Sunnyvale | 2009-12-24 / 20090320046 - Methods, Systems and Computer Program Products for Dynamic Categorized Event Cool Off for CIM Indications | 1 |
Michelle L. Lavers | US | Maynard | 2013-11-07 / 20130297368 - UPDATING CUSTOMER RELATIONSHIP MANAGEMENT SYSTEMS THROUGH SALES PLAYBOOK ACTIVITIES | 1 |
J. Douglas Lavers | CA | Toronto | 2009-10-01 / 20090242165 - Modulated electromagnetic stirring of metals at advanced stage of solidification | 1 |
Timothy Lavers | AU | Woonona | 2011-05-26 / 20110125740 - METHOD AND SYSTEM FOR GENERATING TEXT | 1 |
Thomas Lavertu | US | Clifton Park | 2012-08-02 / 20120192546 - Catalytic Converter for a Pulse Detonation Turbine Engine | 1 |
Thomas Lavertu | US | Niskayuna | 2013-03-07 / 20130055711 - METHOD AND SYSTEM FOR A TURBOCHARGED ENGINE | 1 |
Thomas Michael Lavertu | US | Clifton Park | 2016-04-21 / 20160108873 - DIFFERENTIAL FUELING BETWEEN DONOR AND NON-DONOR CYLINDERS IN ENGINES | 11 |
Marc Lavertu | CA | Pointe-Claire | 2016-05-12 / 20160130606 - FREEZE-DRIED POLYELECTROLYTE COMPLEXES THAT MAINTAIN SIZE AND BIOLOGICAL ACTIVITY | 5 |
Thomas Michael Lavertu | US | Clifton Park | 2016-04-21 / 20160108873 - DIFFERENTIAL FUELING BETWEEN DONOR AND NON-DONOR CYLINDERS IN ENGINES | 11 |
Carl Lavertu | CA | Beloeil | 2014-10-09 / 20140300282 - Control of the Intensity of a LED Lighting System | 2 |
Robert Lavertu | US | Perry Hall | 2009-10-29 / 20090268475 - VENTILATION SYSTEM FOR LAMP ENCLOSURES | 1 |
Hugh Laverty | GB | Manchester | 2011-09-01 / 20110212897 - WNT3A FOR INHIBITION OF SCARRING | 4 |
Hugh Gerald Laverty | GB | Manchester | 2010-07-22 / 20100184610 - METHOD OF PROGNOSIS | 3 |
Hugh G. Laverty | GB | Manchester | 2010-06-03 / 20100137201 - MEDICAMENTS | 1 |
Paul Laverty | US | Vista | 2016-04-14 / 20160101389 - METHOD OF PERFORMING A CLEANING OPERATION ON A WATER FILTRATION DEVICE | 1 |
Hugh Gerard Laverty | GB | Manchester | 2011-05-05 / 20110105396 - TGF-BETA3 MUTANTS | 6 |
Gregoire Laverty | FR | Lyon | 2014-04-10 / 20140100063 - BADMINTON RACKET | 1 |
Edward T. Laverty | AU | Brisbane | 2012-03-15 / 20120061974 - CAVITY DOOR END PULL LATCH SET AND LOCK SET | 2 |
Tim A. Laverty | US | Seattle | 2010-09-16 / 20100235725 - SELECTIVE DISPLAY OF ELEMENTS OF A SCHEMA SET | 1 |
Ronan Mac Laverty | FI | Helsinki | 2010-12-02 / 20100306057 - METHOD AND APPARATUS FOR PROVIDING ACTIONABLE OBJECTS DURING PERIODS OF NETWORK UNAVAILABILITY | 1 |
Timothy A. Laverty | US | Seattle | 2016-05-12 / 20160132510 - TRANSLATING PROGRAMMING LANGUAGE PATTERNS INTO DATABASE SCHEMA PATTERNS | 2 |
Stephen W. Laverty | US | Somerville | 2013-06-06 / 20130144857 - METHODS AND APPARATUS FOR SEARCHING THE INTERNET | 4 |
Jason Michael Laverty | US | Westfield | 2015-10-01 / 20150280640 - PHOTOVOLTAIC-CLAD MASONRY UNIT | 1 |
Richard R Laverty | US | Philadelphia | 2015-09-17 / 20150259081 - INTEGRATED ARMOR FOR HYPERVELOCITY IMPACTS | 1 |
Stephen W. Laverty | US | Worcester | 2012-03-22 / 20120072211 - USING CODEC PARAMETERS FOR ENDPOINT DETECTION IN SPEECH RECOGNITION | 3 |
Ryan Michael Laverty | US | East Williston | 2014-03-13 / 20140069065 - CAN OPENING DEVICE | 1 |
Kevin Patrick Lavery | US | Sugar Land | 2013-02-28 / 20130049884 - METHODS AND CIRCUITS FOR ATTENUATING HIGH-FREQUENCY NOISE | 2 |
Richard J. Lavery | US | Huntington | 2016-03-17 / 20160077192 - ULTRASONIC LOCATIONING INTERLEAVED WITH ALTERNATE AUDIO FUNCTIONS | 14 |
Michael Lavery | GB | Stafford | 2011-12-22 / 20110309584 - SEALANT STRIP AND METHOD OF FORMING LAP JOINTS | 1 |
Daniel M Lavery | US | Cupertino | 2014-09-18 / 20140282437 - METHOD AND APPARATUS TO SCHEDULE STORE INSTRUCTIONS ACROSS ATOMIC REGIONS IN BINARY TRANSLATION | 2 |
Kristopher Andrew Lavery | US | Pleasanton | 2015-10-01 / 20150277099 - EMS DEVICE HAVING FLEXIBLE SUPPORT POSTS | 4 |
Kristopher A. Lavery | US | San Jose | 2014-03-27 / 20140085317 - TRANSPARENT MULTI-LAYER STRUCTURE WITH TRANSPARENT ELECTRICAL ROUTING | 4 |
Kevin P. Lavery | US | Sugarland | 2012-06-07 / 20120139578 - SOFT-ERROR RESISTANT LATCH | 1 |
Kevin Patrick Lavery | US | Sugarland | 2015-05-21 / 20150143181 - DUAL ENDIANESS AND OTHER CONFIGURATION SAFETY IN LOCK STEP DUAL-CORE SYSTEM, AND OTHER CIRCUITS, PROCESSES AND SYSTEMS | 4 |
Karen Lavery | US | Franklin | 2014-06-12 / 20140162273 - METHODS FOR DETECTING NEUTRALIZING ANTIBODIES FOR BONE MORPHOGENETIC PROTEINS | 2 |
Daniel M. Lavery | US | Santa Clara | 2010-11-04 / 20100281471 - METHODS AND APPARATUSES FOR COMPILER-CREATING HELPER THREADS FOR MULTI-THREADING | 2 |
James Lavery | US | Gurnee | 2013-05-23 / 20130126379 - TAMPER-EVIDENT PACKAGING | 1 |
Kevin P. Lavery | US | Sugar Land | 2012-02-02 / 20120025885 - MULTI-BIT INTERLACED LATCH | 3 |
John Lavery | US | Akron | 2015-12-10 / 20150354548 - THERMAL ENERGY HARVESTING SYSTEM | 1 |
Leah Lavery | US | San Jose | 2014-03-20 / 20140078690 - RECONFIGURABLE STRETCHABLE CONNECTOR SUBSTRATE | 1 |
Darryn O. Lavery | US | Seattle | 2008-08-21 / 20080201759 - VERSION-RESILIENCE BETWEEN A MANAGED ENVIRONMENT AND A SECURITY POLICY | 2 |
Andrew J. Lavery | US | Austin | 2015-10-15 / 20150294185 - MULTIPLE PARTIAL-IMAGE COMPOSITIONAL SEARCHING | 8 |
Donald Stanton Lavery | US | Chrisfield | 2016-04-21 / 20160109366 - PHOTOMETER AND METHOD FOR COMPENSATING FOR AMBIENT TEMPERATURE CHANGES IN A PHOTOMETER | 1 |
Richard J. Lavery | US | Huntington | 2016-03-17 / 20160077192 - ULTRASONIC LOCATIONING INTERLEAVED WITH ALTERNATE AUDIO FUNCTIONS | 14 |
Michael Lavery | US | Madison | 2012-03-15 / 20120061435 - Aerodynamic Bicycle Storage Pack Assembly | 1 |
Andrew J. Lavery | US | Austin | 2015-10-15 / 20150294185 - MULTIPLE PARTIAL-IMAGE COMPOSITIONAL SEARCHING | 8 |
Andrew Jason Lavery | US | Austin | 2013-01-24 / 20130024847 - SOFTWARE TEST AUTOMATION SYSTEMS AND METHODS | 8 |
Samuel Lavery | US | Orinda | 2015-10-01 / 20150273339 - SYSTEMS AND METHODS FOR PROVIDING EFFICIENT GAME ACCESS | 2 |
Darryn Owen Lavery | US | Seattle | 2011-08-11 / 20110196746 - SEARCH AUCTION INSIGHTS FOR ADVERTISERS | 1 |
Michael Lavery | GB | Staffordshire | 2011-12-22 / 20110308701 - SEALANT TAPE COMPRISING OFFSETTING PARTICLES | 1 |
Daniel Lavery | US | Princeton | 2015-08-27 / 20150237900 - COMPOUNDS, COMPOSITIONS, AND METHODS FOR REDUCING OR ELIMINATING BITTER TASTE | 3 |
Andrew Jason Lavery | US | Austin | 2013-01-24 / 20130024847 - SOFTWARE TEST AUTOMATION SYSTEMS AND METHODS | 8 |
Kristopher Andrew Lavery | US | San Jose | 2013-11-07 / 20130293482 - TRANSPARENT THROUGH-GLASS VIA | 3 |
Michael J. Lavery | US | Fredericksburg | 2013-10-24 / 20130282201 - Cooperative communication control between vehicles | 1 |
Edward W. Laves | US | Golden | 2011-03-10 / 20110060669 - Method and Apparatus for Wirelessly Transmitting High Volume Content to an Electronic Device | 1 |
Paul C. Lavesque | US | Yardley | 2008-11-06 / 20080274505 - Polynucleotide encoding a novel TRP channel family member, LTRPC3, and splice variants thereof | 1 |
Ravi K. Laveti | IN | Hyderabad | 2014-05-22 / 20140140837 - FLOW CONDITIONING FEATURE FOR SUCTION DIFFUSER | 1 |
Brian Lavey | US | New Providence | 2015-10-22 / 20150299224 - INHIBITORS OF IRAK4 ACTIVITY | 1 |
Brian J. Lavey | US | Basking Ridge | 2009-04-30 / 20090111803 - COMPOUNDS FOR THE TREATMENT OF INFLAMMATORY DISORDERS | 1 |
Brian J. Lavey | US | New Providence | 2015-10-22 / 20150299224 - INHIBITORS OF IRAK4 ACTIVITY | 14 |
Dana Michele Lavey | US | Castle Rock | 2013-12-05 / 20130320722 - Seat Divider Extension | 1 |
Robert J. Lavey | US | Siloam Springs | 2013-05-23 / 20130127110 - PRINTING DEVICE WITH MEDIA PATH FLUSHING | 1 |
Brian J. Lavey | US | New Province | 2012-01-19 / 20120015926 - COMPOUNDS FOR THE TREATMENT OF INFLAMMATORY DISORDERS | 1 |
Alexis Lavez | FR | Hadol | 2009-04-09 / 20090092481 - ACTUATING ROD END CONNECTOR | 1 |
Roberto Lavezzi | IT | Curno | 2015-10-22 / 20150298757 - ACTUATION DEVICE FOR BRAKES AND/OR CLUTCHES, IN PARTICULAR FOR MOTORCYCLES | 1 |
Roberto Lavezzi | IT | Brembate Di Sopra (bergamo) | 2008-08-28 / 20080202887 - Adjustment Device for Motorcycle Master Cylinders | 1 |
Roberto Lavezzi | IT | Bergamo | 2011-11-10 / 20110271789 - Actuating Device with Collapsible Lever | 1 |
Bryan Anthony Lavezzi | US | Hanna City | 2010-06-24 / 20100157502 - System for decoupling a power source from a load | 1 |
Manish S. Lavhale | IN | Amravati | 2015-09-10 / 20150250782 - Methods and Compositions for Hypotensive Resuscitation | 1 |
Manish Lavhale | IN | Vadodara | / - | 1 |
Erez Lavi | IL | Kiryat Motzkin | 2009-12-17 / 20090311038 - METHOD OF CONFIGURING A CONSTRUCTION | 1 |
Adi Lavi | IL | Zur Moshe | 2009-01-01 / 20090006987 - VISUAL DESIGN TOOLS FOR PORTAL CONTENT CREATION | 1 |
Yonatan Lavi | IL | Raanana | 2016-04-21 / 20160112486 - OPTIMIZED IMAGE DELIVERY OVER LIMITED BANDWIDTH COMMUNICATION CHANNELS | 2 |
Gabi Lavi | IL | Raanana | 2012-05-03 / 20120109114 - HAIR REMOVAL BY PHOTOTHERMOLYSIS | 2 |
Guy Lavi | IL | Kfar Vitkin | 2008-09-11 / 20080219527 - Cardiac Region Detection From Motion Analysis of Small Scale Reconstruction | 1 |
Gilad Lavi | IL | Rishon Lezion | 2016-04-28 / 20160114109 - SYSTEM AND METHOD FOR PREPARING AND DELIVERING A MEDICAMENT | 13 |
Ofer Lavi | IL | Tel Aviv | 2016-01-07 / 20160004978 - AUTOMATIC DETECTION OF ANOMALIES IN GRAPHS | 3 |
Yoram Yori Lavi | US | New York | 2012-12-27 / 20120331135 - SYSTEM AND METHOD FOR PERFORMANCE MANAGEMENT IN A MULTI-TIER COMPUTING ENVIRONMENT | 3 |
Peer Moshe Lavi | US | Encino | 2011-04-28 / 20110094166 - Fabricated sealed room | 2 |
Abraham Lavi | US | Bradenton | 2009-01-15 / 20090018541 - Multi-angle clamp | 1 |
Leroy Sina Lavi | US | Laguna Niguel | 2010-04-15 / 20100089803 - SYSTEM AND METHOD FOR SORTING SPECIMEN | 1 |
Abraham Lavi | US | Lakewood Ranch | 2013-09-19 / 20130245626 - Intramedullary Nail and Nail Combinations | 3 |
Payam Lavi | US | Oceanside | 2008-12-11 / 20080302066 - Food Service Set Assembly System and Method | 1 |
Dov Lavi | IL | Haifa | 2015-02-05 / 20150035974 - COMBINED IMAGER AND RANGE FINDER | 1 |
Moshe Lavi | IL | Nofit | 2011-12-01 / 20110293835 - APPARATUS, TOOL AND METHODS FOR DEPOSITING ANNULAR OR CIRCULAR WEDGE COATINGS | 3 |
Nadav Lavi | IL | Rishon Leziyyon | 2015-11-12 / 20150326507 - METHOD AND DEVICE FOR SYNCHRONIZATION IN WIRELESS NETWORKS | 4 |
Noam Lavi | IL | Yokneam | 2015-12-17 / 20150362581 - ROTATION BASED ALIGNMENT OF A GROUP OF WIRELESS TAGS | 2 |
Yonatan Lavi | IL | Roanana | 2011-07-21 / 20110175914 - OPTIMIZED IMAGE DELIVERY OVER LIMITED BANDWIDTH COMMUNICATION CHANNELS | 1 |
Eitan Lavi | US | El Paso | 2014-02-13 / 20140046574 - ENGINE CONTROL USING AN ASYNCHRONOUS DATA BUS | 1 |
Eran Lavi | IL | Tel Aviv | 2012-08-09 / 20120199139 - INTRA-ORAL SALIVA REMOVAL CONTINUOUS POSITIVE AIR PRESSURE DEVICE AND METHOD | 1 |
Nadav Lavi | IL | Ramat Hasharon | 2015-10-29 / 20150312474 - VEHICULAR SOCIAL MEDIA SYSTEM | 13 |
Ifat Lavi | IL | Moahav Mishmeret | 2015-11-26 / 20150335304 - DIAGNOSTICALLY USEFUL RESULTS IN REAL TIME | 1 |
Yuval Lavi | IL | Tel-Aviv | 2016-03-24 / 20160088015 - WEB PAGE AND WEB BROWSER PROTECTION AGAINST MALICIOUS INJECTIONS | 5 |
Ofer E. Lavi | IL | Tel-Aviv | 2016-02-25 / 20160055496 - CHURN PREDICTION BASED ON EXISTING EVENT DATA | 1 |
Oron Lavi | IL | Kfar Saba | 2015-07-09 / 20150195297 - GLOBAL AUTOMOTIVE SAFETY SYSTEM | 4 |
Abraham Lavi | US | Delray Beach | 2016-03-24 / 20160081725 - Intramedullary Nail | 1 |
Ben-Zion Lavi | IL | Rehovot | 2014-06-12 / 20140160757 - LIGHT ENGINE | 1 |
Eitan Lavi | IL | Tel-Aviv | 2015-09-17 / 20150262080 - AUTOMATIC PRE-PROCESSING OF MODERATION TASKS FOR MODERATOR-ASSISTED GENERATION OF VIDEO CLIPS | 2 |
Guy Lavi | IL | Moshav Mishmeret | 2015-12-03 / 20150342551 - CALCULATING A FRACTIONAL FLOW RESERVE | 5 |
Ifat Lavi | IL | Moshav Mishmeret | 2015-12-03 / 20150342551 - CALCULATING A FRACTIONAL FLOW RESERVE | 3 |
Ifat Lavi | IL | Mishmeret | 2014-08-07 / 20140218397 - METHOD AND APPARATUS FOR PROVIDING VIRTUAL DEVICE PLANNING | 1 |
Adee Lavi | IL | Kochav Yair | 2012-11-22 / 20120296642 - METHOD AND APPRATUS FOR TEMPORAL SPEECH SCORING | 1 |
Uri Lavi | IL | Natania | 2011-06-30 / 20110158533 - ROBUST AND EFFICIENT IMAGE IDENTIFICATION | 1 |
Gilad Lavi | IL | Rishon Letzion | 2016-04-21 / 20160106912 - DRUG DELIVERY DEVICE | 10 |
Gilad Lavi | IL | Rishon Lezion | 2016-04-28 / 20160114109 - SYSTEM AND METHOD FOR PREPARING AND DELIVERING A MEDICAMENT | 13 |
Nadav Lavi | IL | Rishon-Lezion | 2013-07-11 / 20130176932 - METHOD AND DEVICE FOR SYNCHRONIZATION IN WIRELESS NETWORKS | 8 |
Eran Lavi | IL | Givatayim | 2011-12-29 / 20110315141 - INTRA-ORAL CONTINUOUS POSITIVE AIRWAY PRESSURE (CPAP) INTERFACES | 1 |
Amir Lavi | IL | Rehovot | 2013-02-07 / 20130035109 - Devices, Methods, and Systems for Radio Map Generation | 1 |
Yori Lavi | IL | Raanana | 2015-06-25 / 20150180928 - OPTIMIZED IMAGE DELIVERY OVER LIMITED BANDWIDTH COMMUNICATION CHANNELS | 1 |
Asaf Lavi | US | Sde Varburg | 2011-11-03 / 20110271175 - System and Method for Dynamically Enabling Customized Web Content and Applications | 1 |
Gilad Lavi | IL | Rishion-Lezion | 2016-05-19 / 20160136051 - VIAL AND SYRINGE ADAPTORS AND SYSTEMS USING SAME | 1 |
Benny Lavi | IL | Moshav Gimzo, Dn Mercaz | 2014-09-18 / 20140280471 - SYSTEMS AND METHODS FOR TRANSPARENT COMMUNICATION WITH BANDWIDTH CONSERVATION AND HTTP CACHING | 1 |
Michal Lavi | IL | Nes Ziona | 2014-04-03 / 20140096146 - TRANSLATING TIME-STAMPED EVENTS TO PERFORMANCE INDICATORS | 1 |
Uri Lavi | IL | Netanya | 2016-01-07 / 20160004930 - ROBUST AND EFFICIENT IMAGE IDENTIFICATION | 5 |
Noam Lavi | IL | Yorkneam | 2011-02-24 / 20110044413 - Method circuit and system for adapting a receiver receive chain based on detected background noise | 1 |
Yoav Lavi | IL | Ra'Anana | 2011-02-10 / 20110032392 - Image Restoration With Enhanced Filtering | 6 |
Eitan Lavi | IL | Hadera | 2015-12-31 / 20150379712 - MEDICAL IMAGE PROCESSING | 7 |
Nadav Lavi | IL | Ramat Hasharon | 2015-10-29 / 20150312474 - VEHICULAR SOCIAL MEDIA SYSTEM | 13 |
Nadav Lavi | IL | Rishon-Lezion | 2013-07-11 / 20130176932 - METHOD AND DEVICE FOR SYNCHRONIZATION IN WIRELESS NETWORKS | 8 |
Lior Lavi | IL | Ramat-Gan | 2010-05-20 / 20100125797 - CLIENT INTEGRATION OF INFORMATION FROM A SUPPLEMENTAL SERVER INTO A PORTAL | 1 |
Guy Lavi | IL | Avichail | 2010-04-29 / 20100104160 - IMAGE VIEWING WINDOW | 3 |
Ifat Lavi | IL | Neve Monoson | 2010-04-01 / 20100081933 - TREATING WEAKENED VESSEL WALL SUCH AS VULNERABLE PLAQUE OR ANEURYSMS | 1 |
Yoni Lavi | IL | Raanana | 2014-10-30 / 20140325590 - METHOD OF ANALYZING SECURITY RULESET AND SYSTEM THEREOF | 5 |
Arnon Lavi | IL | Moshav Shilat | 2010-01-28 / 20100018369 - METHOD AND SYSTEM FOR THIN CUTTING | 1 |
Moshe Lavi | IL | Hertzelia | 2010-01-28 / 20100019836 - INTEGRATED CIRCUIT AND A METHOD FOR RECOVERING FROM A LOW-POWER PERIOD | 1 |
Guy Lavi | IL | Mishmeret | 2013-06-27 / 20130163724 - TELETHERAPY CONTROL SYSTEM AND METHOD | 2 |
Gilad Lavi | IL | Rishon Letzion | 2016-04-21 / 20160106912 - DRUG DELIVERY DEVICE | 10 |
Lear Sirous Lavi | US | Dana Point | 2013-01-31 / 20130027185 - RFID - Specimen Transport Puck Process Features and Porcess Method to Efficiently Wand, Rack, Transport, Track Specimens in the Laboratory | 2 |
Gilad Lavi | IL | Lezion | 2013-07-11 / 20130178854 - CIRCULAR BONE TUNNELING DEVICE | 1 |
Angeles Lavia Gonzalez | ES | Madrid | 2009-11-26 / 20090289642 - NON-DESTRUCTIVE METHOD FOR DETECTING ZONES WITH NON CONDUCTIVE MATERIALS IN A COMPOSITE PART | 1 |
Maria Angeles Lavia Gonzalez-Escalada | ES | Madrid | 2009-04-30 / 20090107848 - Procedure for anodising aluminium or aluminium alloys | 1 |
Françoise Lavialle | FR | Antony | 2010-07-15 / 20100178702 - EXTRACELLULAR VESICLES FROM NON-PATHOGENIC AMOEBAE USEFUL AS VEHICLE FOR TRANSFERRING A MOLECULE OF INTEREST TO AN EUKARYOTIC CELL | 1 |
Françoise Lavialle | FR | Antony | 2010-07-15 / 20100178702 - EXTRACELLULAR VESICLES FROM NON-PATHOGENIC AMOEBAE USEFUL AS VEHICLE FOR TRANSFERRING A MOLECULE OF INTEREST TO AN EUKARYOTIC CELL | 1 |
Françoise Lavialle | FR | Antony | 2010-07-15 / 20100178702 - EXTRACELLULAR VESICLES FROM NON-PATHOGENIC AMOEBAE USEFUL AS VEHICLE FOR TRANSFERRING A MOLECULE OF INTEREST TO AN EUKARYOTIC CELL | 1 |
Françoise Lavialle | FR | Antony | 2010-07-15 / 20100178702 - EXTRACELLULAR VESICLES FROM NON-PATHOGENIC AMOEBAE USEFUL AS VEHICLE FOR TRANSFERRING A MOLECULE OF INTEREST TO AN EUKARYOTIC CELL | 1 |
Tal Lavian | US | Sunnyvale | 2015-02-26 / 20150058490 - GRID PROXY ARCHITECTURE FOR NETWORK RESOURCES | 22 |
Marc R. Laviana | US | Kensington | 2009-04-23 / 20090106871 - Carex plant named ICE BALLET | 1 |
Vince Laviano | US | Alviso | 2015-04-16 / 20150106890 - Automatic Multimedia Upload For Publishing Data And Multimedia Content | 15 |
Anthony A. Laviano | US | Fort Myers | 2014-09-18 / 20140277214 - OSTEOTOMY OPENING JACK | 1 |
Vince Laviano | US | Alviso | 2015-04-16 / 20150106890 - Automatic Multimedia Upload For Publishing Data And Multimedia Content | 15 |
Noa Lavid | IL | Kibbutz Hazorea | 2011-12-01 / 20110293782 - FRUIT JUICE AND PUREE WITH A LOWERED AMOUNT OF AVAILABLE SUGARS | 1 |
Ehud Lavie | IL | Tel-Aviv | 2011-11-17 / 20110280126 - EXTRA CAPACITY IN PATH-PROTECTED COMMUNICATION NETWORKS | 1 |
Omri Lavie | IL | Haifa | 2012-07-26 / 20120191770 - SYSTEM, A METHOD AND A COMPUTER PROGRAM PRODUCT FOR AUTOMATED REMOTE CONTROL | 1 |
Talia Lavie | IL | Yehud | 2015-09-17 / 20150262128 - ASSIMILATING BUSINESS RULES | 1 |
Maxime Lavie | FR | Nailloux | 2010-09-02 / 20100218428 - DOOR PROTECTION DEVICE | 1 |
Golenberg Lavie | US | 2015-10-22 / 20150297062 - INTEGRATED ENDOSCOPE | 1 | |
Natan Lavie | IL | Maale Shomron | 2014-07-17 / 20140200730 - TIME CONTROLLED SWITCH | 1 |
Jean-Francois Lavie | FR | Belfort | 2010-12-30 / 20100329837 - SYSTEM AND METHOD FOR ALIGNING TURBINE COMPONENTS | 1 |
Samuel Lavie | ZA | Johannesburg | 2016-05-12 / 20160133066 - SYSTEM AND METHOD FOR SCHEDULING VEHICLE MAINTENANCE AND SERVICE | 4 |
Gil Lavie | IL | Ness Ziona | 2013-07-04 / 20130167940 - APPARATUS AND METHOD FOR PRESSURE REGULATION | 1 |
Avishay Lavie | IL | Tel Aviv | 2014-03-13 / 20140075338 - APPLICATIONS ON TOP OF A WEB SITE | 1 |
Zeev Lavie | US | Ventura | 2012-06-28 / 20120165885 - CAPACITOR-INTEGRATED FEEDTHROUGH ASSEMBLY WITH IMPROVED GROUNDING FOR AN IMPLANTABLE MEDICAL DEVICE | 3 |
Alain François Jean Lavie | FR | Moissy-Cramayel | 2013-07-18 / 20130180397 - HYDRAULIC DEVICE OF A CONTROL DEVICE, SUCH AS A BLADE PITCH-CHANGE DEVICE | 1 |
Alain François Jean Lavie | FR | Moissy-Cramayel | 2013-07-18 / 20130180397 - HYDRAULIC DEVICE OF A CONTROL DEVICE, SUCH AS A BLADE PITCH-CHANGE DEVICE | 1 |
Richard Lavie | CH | Etoy | 2013-08-15 / 20130209606 - SOFT COATED POWDER CENTRE-FILLED GUM | 1 |
Arnon Lavie | US | Chicago | 2014-09-04 / 20140248212 - NON-IMMUNOGENIC POSITRON EMISSION TOMOGRAPHY REPORTER GENE SYSTEMS | 5 |
Alain François Jean Lavie | FR | Yerres | 2013-08-08 / 20130199167 - HYDRAULIC DEVICE FOR CHANGING THE PITCH OF A PROPELLER | 1 |
Eitan Lavie | IL | Tel-Aviv | 2011-05-12 / 20110112790 - SYSTEM AND METHOD FOR AUTOMATIC HARDWARE AND SOFTWARE SEQUENCING OF COMPUTER-AIDED DESIGN (CAD) FUNCTIONALITY TESTING | 1 |
Ram Lavie | IL | Haifa | 2010-11-18 / 20100288702 - METHOD AND APPARATUS FOR LIQUID-LIQUID EXTRACTION | 1 |
Boaz Lavie | IL | Tel-Aviv | 2010-11-18 / 20100289217 - APPARATUS AND METHOD FOR SIMULTANEOUS TURN-BASED PLAY BOARD GAME | 1 |
Nachum Lavie | IL | Tel-Aviv | 2012-07-05 / 20120167962 - SYSTEM AND METHOD FOR GENERATING A BEAM OF PARTICLES | 1 |
Danny Lavie | IL | Ramat Hasharon | 2015-09-10 / 20150251470 - Method and Apparatus for Applying Designs On the Surface of a Beverage | 6 |
Alexander Lavie | IL | Kiryat Haim | 2010-06-17 / 20100152892 - Device for displaying articles for sale, particularly flowers | 1 |
Eytan Lavie | IL | Tel Aviv | 2010-01-07 / 20100003652 - MISSION TRAINING CENTER INSTRUCTOR OPERATOR STATION APPARATUS AND METHODS USEFUL IN CONJUNCTION THEREWITH | 1 |
Danny Lavie | IL | Ramat Harsharon | 2009-08-13 / 20090202686 - Method and Apparatus for Applying Designs On the Surface of a Beverage | 1 |
Alain Francois Jean Lavie | FR | Yerres | 2013-08-08 / 20130199167 - HYDRAULIC DEVICE FOR CHANGING THE PITCH OF A PROPELLER | 2 |
Isabelle Lavielle | FR | Balma | 2009-01-29 / 20090027232 - RADIO-FREQUENCY COMMUNICATION SYSTEM FOR AIRCRAFT | 1 |
Philippe Lavielle | FR | Maisons Laffitte | 2015-08-06 / 20150218492 - ENZYME FABRIC CARE TABLETS FOR CONSUMERS AND METHODS | 3 |
Philippe Lavielle | US | Burlingame | 2013-10-24 / 20130276778 - Methods and Systems for Processing Lignocellulosic Materials and Related Compsitions | 2 |
Marc Lavielle | FR | Villebon Sur Yvette | 2012-05-17 / 20120123753 - Method for analyzing longitudinal data, corresponding computer and system | 1 |
Gilbert Lavielle | FR | La Celle Saint Cloud | 2010-07-01 / 20100168150 - Camptothecin Analogue Compounds, a Process for Their Preparation and Pharmaceutical Compositions Containing Them. | 3 |
Jonathan M. Lavieri | US | Chicago | 2016-05-05 / 20160121156 - EXERCISE DEVICE | 1 |
Mariel Lavieri | US | Ann Arbor | 2013-05-09 / 20130116999 - Patient-Specific Modeling and Forecasting of Disease Progression | 1 |
Daniel Lavietes | US | Dallas | 2011-01-20 / 20110011021 - Fire Resistant Slipsheet | 2 |
Steve Lavietes | US | Santa Monica | 2014-09-18 / 20140279976 - METHOD AND SYSTEM FOR RECORDING INFORMATION ABOUT RENDERED ASSETS | 2 |
Anthony Lavietes | US | Pleasanton | 2015-11-26 / 20150338470 - WIRELESS BATTERY MANAGEMENT CONTROL AND MONITORING SYSTEM | 1 |
Matthieu Lavieuville | FR | Ammerschwihr | 2014-01-16 / 20140016891 - POSITIONING MEANS FOR CAMSHAFT ROLLER BEARING | 2 |
Nicholas Jon Lavigna | US | Mountain Top | 2008-09-18 / 20080228191 - Femoral elevator | 1 |
Daniele Lavigna | IT | Locate Di Triulzi | 2009-06-25 / 20090160866 - METHOD AND SYSTEM FOR VIDEO DECODING BY MEANS OF A GRAPHIC PIPELINE, COMPUTER PROGRAM PRODUCT THEREFOR | 1 |
Melody Lavigna | US | Tarzana | 2014-08-07 / 20140220293 - COVERS FOR HEATERS AND METHODS THEREOF | 1 |
David Lavigna | US | Orlando | 2013-06-20 / 20130155688 - INTERLOCKING LIGHTING FIXTURE | 1 |
Nicholas Jon Lavigna | US | Linwood | 2013-10-31 / 20130289566 - COMPOUND OFFSET HANDLE | 3 |
Patrick Lavigne | CA | Blainville | 2011-11-03 / 20110270132 - METHOD AND SYSTEM FOR KNEE JOINT EVALUATION AND DIAGNOSTIC AID IN NORMAL AND PATHOLOGIC STATE | 1 |
Charles Tremblay Lavigne | CA | Vancouver | 2012-11-29 / 20120304126 - THREE-DIMENSIONAL GESTURE CONTROLLED AVATAR CONFIGURATION INTERFACE | 1 |
Sebastien Lavigne | FR | Le Bouscat | 2015-07-02 / 20150188913 - METHOD AND APPARATUS FOR PROVIDING CLIENT-SIDE SCORE-BASED AUTHENTICATION | 1 |
Kyle Lavigne | US | Ashland | 2015-12-03 / 20150342890 - Dosator Apparatus for Filling a Capsule with Dry Powder | 1 |
Gaetano Lavigne | CA | Burlington | 2015-03-26 / 20150085599 - CONTINUOUS MAGNETIC MIXING SYSTEM WITH FLEXIBLE GEOMETRIC MIXING ZONE | 4 |
Mary E. Lavigne | US | Spokane | 2012-06-28 / 20120160250 - BREAST CREASE COMFORTER | 1 |
Gaetano Lavigne | CA | Ontario | 2012-08-16 / 20120208123 - CONTINUOUS EMULSIFICATION-AGGREGATION PROCESS FOR THE PRODUCTION OF PARTICLES | 1 |
Randy Lavigne | US | Seabrook | 2014-09-25 / 20140287469 - FILTRATION | 2 |
Jack Lavigne | US | Houston | 2014-10-30 / 20140320126 - Statistical Analysis of Combined Log Data | 2 |
Eric Lavigne | FR | Montpellier | 2015-12-10 / 20150358932 - DEVICE FOR SYNCHRONIZING THE BROADCASTING OF SOUND SIGNALS AND SOUND BROADCASTER | 5 |
Toby Lavigne | US | Portsmouth | 2016-05-12 / 20160132274 - PRINTING IN A DISTRIBUTED COMMUNICATIONS NETWORK | 3 |
Kevin Lavigne | US | Hamden | 2016-03-31 / 20160089472 - HYDROGEL IMPLANTS WITH VARYING DEGREES OF CROSSLINKING | 12 |
Normand R. Lavigne | US | Manchester | 2011-07-21 / 20110175267 - Vibration isolator | 1 |
Gaetano J. Lavigne | CA | Burlington | 2014-05-29 / 20140147163 - METHOD FOR BIAS MEMBER CHARGING A PHOTORECEPTOR | 5 |
Olivier Lavigne | CA | Rigaud | 2012-06-07 / 20120137545 - FOOTBED FOR A SKATE BOOT | 1 |
Jeffrey R. Lavigne | US | San Juan Capistrano | 2010-10-14 / 20100261199 - Assay for the detection of phosphorylated PTH | 3 |
Eric Lavigne | US | Gainsville | 2010-12-02 / 20100305873 - Method and Apparatus for Spectral Deconvolution of Detector Spectra | 1 |
John J. Lavigne | US | Columbia | 2015-07-09 / 20150192555 - Methods and Devices for Analytical Sensing of Biogenic Amines | 6 |
Sylvain Daniel Lavigne | FR | Chirens | 2014-12-11 / 20140363280 - METHOD OF REFURBISHING AN ENERGY CONVERSION FACILITY AND REFURBISHED ENERGY COVERSION FACILITY | 1 |
James Lavigne | CA | Ottawa | 2010-12-02 / 20100304438 - NOVEL BETA-GLUCOSIDASE ENZYMES | 1 |
Rob Lavigne | BE | Ekeren | 2014-01-16 / 20140017224 - NOVEL ENDOLYSIN | 2 |
James Lavigne | CA | Ontario | 2010-09-02 / 20100221778 - NOVEL LIGNIN-RESISTANT CELLULASE ENZYMES | 2 |
James Lavigne | CA | Nepean | 2010-04-15 / 20100093040 - MODIFIED BETA-GLUCOSIDASES WITH IMPROVED STABILITY | 1 |
Jean-François Lavigne | CA | La Prairie | 2012-08-16 / 20120208661 - Gearbox for Electric Motors | 1 |
Lynda Lavigne | US | Tarpon Springs | 2009-01-29 / 20090025735 - MEDICAL GARMENT | 1 |
Bruce Lavigne | US | Roseville | 2010-12-09 / 20100309908 - METHOD AND SYSTEM FOR COMMUNICATING WITH A NETWORK DEVICE | 1 |
Paul J. Lavigne | CA | Oakville | 2010-04-01 / 20100078517 - LANDING GEAR WITH LOCKING STEERING SYSTEM | 2 |
Sylvain Lavigne | FR | Eybens | 2014-02-20 / 20140050587 - POWER-CONVERSION INSTALLATION INCLUDING A HYDRAULIC MACHINE PROVIDED WITH A RUNNER | 2 |
Loic Lavigne | FR | Beatuiran | 2010-06-17 / 20100152925 - METHOD AND DEVICE FOR DETECTING OSCILLATORY FAILURES IN A POSITION SERVOCONTROL SUBSYSTEM OF AN AIRCRAFT CONTROL SURFACE | 1 |
David Lavigne | FR | Casteljaloux | 2009-09-03 / 20090217501 - Device for Removing Mastic, Particularly for the Repair of Joints in Structures of Aircraft Tanks and Container for Use Therewith | 1 |
Kevin Lavigne | US | Hamden | 2016-03-31 / 20160089472 - HYDROGEL IMPLANTS WITH VARYING DEGREES OF CROSSLINKING | 12 |
Pierre-Numa Lavigne | FR | Toulouse | 2009-01-15 / 20090018710 - DEVICE FOR CONTROLLING EQUIPMENT | 1 |
Loïc Lavigne | FR | Beautiran | 2013-12-05 / 20130325254 - METHOD AND DEVICE FOR DETECTING OSCILATORY FAILURES IN A POSITION SERVOCONTROL SUBSYSTEM OF AN AIRCRAFT CONTROL SURFACE | 1 |
Paul Lavigne | CA | Burlington | 2011-09-01 / 20110209955 - SHOCK STRUT WITH PRESSURE RELIEF | 1 |
David P. Lavigne | US | Holyoke | 2008-12-25 / 20080319586 - On-Site Power Plant Control Including Adaptive Response to Transient Load Requirements | 1 |
James A. Lavigne | CA | Nepean | 2015-11-19 / 20150329841 - CELLULOSE-DEGRADING ENZYME COMPOSITION COMPRISING GH16 | 3 |
Francois Lavigne | CA | Ville Mont-Royal | 2009-11-05 / 20090275903 - STENT FOR IRRIGATION AND DELIVERY OF MEDICATION | 2 |
James A. Lavigne | CA | Ottawa | 2009-07-23 / 20090186381 - CELLULASE VARIANTS WITH REDUCED INHIBITION BY GLUCOSE | 1 |
Bruce E. Lavigne | US | Roseville | 2015-05-21 / 20150139244 - Transmitting a Packet from a Distributed Trunk Switch | 25 |
Eric Lavigne | FR | Clapiers | 2009-05-07 / 20090116810 - Process and Device for Audio-Visual Program Editing | 1 |
Christophe Lavigne | US | Austin | 2016-04-14 / 20160100870 - Bone Implants | 1 |
Paul A. Lavigne | US | Hancock | 2011-01-20 / 20110015878 - ROTATIONAL TORQUE MEASUREMENT DEVICE | 1 |
Raymond Lavigne | US | Simpsonville | 2011-07-07 / 20110165840 - TRANSLATING TELEMETRY STATIONARY ANTENNA | 1 |
Jeffrey Lavigne | US | San Clemente | 2014-09-18 / 20140273013 - IMMUNOASSAYS AND METHODS OF DETECTING AND MEASURING INTACT FIBROBLAST GROWTH FACTOR 23, AND C-TERMINAL AND N-TERMINAL FRAGMENTS THEREOF | 1 |
Gaetano J. Lavigne | CA | Oakville | 2008-10-30 / 20080264796 - LATERAL WIRE APPARATUS AND METHOD FOR MONITORING OF ELECTROPHORETIC INK PARTICLE MOTION | 1 |
Stephan Lavigne | CA | Delson | 2012-05-17 / 20120122046 - METHOD AND APPARATUS FOR GASIFICATION OF ORGANIC WASTE | 1 |
Regis Lavigne | FR | Rennes | 2014-10-09 / 20140302522 - METHOD FOR PREDICTING THE PRESENCE OF REPRODUCTIVE CELLS IN TESTIS | 1 |
Rob Lavigne | BE | Merksem | 2015-04-30 / 20150118731 - ANTIMICROBIAL AGENTS | 5 |
Art La Vigne | US | Pinellas Park | 2014-11-20 / 20140338243 - AMBIDEXTROUS SLIDE STOP | 1 |
Anat Lavi-Itzkovitz | IL | Ramat-Gan | / - | 1 |
Erin Lavik | US | Cleveland Heights | 2016-04-14 / 20160101054 - SUSTAINED DELIVERY OF DRUGS FROM BIODEGRADABLE POLYMERIC MICROPARTICLES | 7 |
Erin B. Lavik | US | Cleveland Heights | 2011-10-13 / 20110250284 - Nanoparticles for Use as Synthetic Platelets and Therapeutic Agent Delivery Vehicles | 1 |
Nils A. Lavik | US | Aliso Viejo | 2012-08-23 / 20120211648 - ON-BOARD NON-UNIFORMITY CORRECTION CALIBRATION METHODS FOR MICROBOLOMETER FOCAL PLANE ARRAYS | 1 |
Matthew Eric Lavik | US | Maple Grove | 2014-10-02 / 20140292362 - DUAL STAGE VACUUM CHAMBER WITH FULL CIRCUIT BOARD SUPPORT | 2 |
Rodolfo Lavilla Grífols | ES | Castelldefels | 2013-07-25 / 20130190367 - FLUORINATED THIAZOLES FOR USE IN TREATING CANCER | 1 |
Rodolfo Lavilla Grífols | ES | Castelldefels | 2013-07-25 / 20130190367 - FLUORINATED THIAZOLES FOR USE IN TREATING CANCER | 1 |
María Lavilla Martin | ES | Erandio | 2014-04-03 / 20140093612 - METHOD FOR OBTAINING A FERMENTED DAIRY PRODUCT | 1 |
Frederic Laville | CA | Montreal | 2016-02-04 / 20160037278 - Method And Apparatus For Objective Assessment Of In-Ear Device Acoustical Performance | 4 |
Martine Laville | FR | Charly | 2010-08-05 / 20100196413 - Use of Yeast Flakes for Treating and/or Preventing Hyperinsulinemia | 1 |
Vincent Laville | FR | Marseille | 2016-03-24 / 20160087422 - Fault and Short-Circuit Protected Output Driver | 1 |
Henri Laville | FR | Lagny Sur Marne | 2010-11-25 / 20100294549 - METHOD FOR MAKING A COMPONENT HAVING AN ELECTRONIC FUNCTION | 1 |
Sebastien Laville | FR | Grenoble | / - | 1 |
Claude Laville | FR | Paris | 2012-02-09 / 20120035731 - INTERVERTEBRAL DISC PROSTHESIS | 1 |
Arnaud Laville | CH | Neuchatel | 2012-08-23 / 20120210800 - STRESS SENSOR FOR MEASURING MECHANICAL STRESSES IN A SEMICONDUCTOR CHIP AND STRESS COMPENSATED HALL SENSOR | 2 |
Nicolas Lavillonniere | FR | Charenton-Le-Pont | 2012-01-05 / 20120002162 - METHOD FOR CUTTING A PATCH TO BE APPLIED ONTO A CURVED SUBSTRATE | 1 |
Eric Lavillonniere | FR | Noyal Sur Vilaine | 2010-03-04 / 20100054739 - METHOD FOR COORDINATING AN UPLINK TDMA ACCESS SHARED BY SEVERAL SUB-NETWORKS OF A PASSIVE OPTICAL NETWORK (PON) | 1 |
Nicolas Lavillonniere | FR | Saint Maur Des Fosses | 2010-11-25 / 20100296178 - MINIATURIZED OPTICAL HEAD WITH HIGH SPATIAL RESOLUTION AND HIGH SENSITIVITY, ESPECIALLY FOR FIBRED CONFOCAL FLUORESCENCE IMAGING | 1 |
Nicolas Lavillonniere | FR | Saint Maur Les Fosses | 2011-06-09 / 20110133101 - MODULAR IMAGING SYSTEM, MODULES FOR THIS SYSTEM AND METHOD IMPLEMENTED USING THIS SYSTEM | 2 |
Eric Lavillonniere | FR | Rennes | 2012-03-08 / 20120058793 - FIRST BASE STATION MANAGING A NEIGHBORING CONDITION AND CELL AREA OPERATION OF A CELL AREA, SERVER OF A WIRELESS CELLULAR NETWORK, AND SYSTEM FOR MANAGING A NEIGHBORING CONDITION AND CELL AREA OPERATION OF A CELL AREA | 2 |
Maurice Lavimodiere | CA | Barrie | 2012-03-15 / 20120061392 - CLOSURE, CONTAINING APPARATUS, AND METHOD OF USING SAME | 2 |
Lisa Lavin | US | Burnsville | 2016-02-25 / 20160055318 - Web Enabled Audiovisual Medication Dispensing | 1 |
Udi Lavin | IL | Ra'Anana | 2014-02-13 / 20140047011 - VIRTUAL WINDOW SCREEN CAPTURE | 2 |
Matt Lavin | US | Raleigh | 2012-06-28 / 20120167070 - CODE MODIFICATION OF RULE-BASED IMPLEMENTATIONS | 2 |
Timothy N. Lavin | US | Presque Isle | 2013-03-28 / 20130077768 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR CONTACT CENTER MANAGEMENT | 1 |
Bill Lavin | US | Rancho Cucamonga | 2013-06-06 / 20130139300 - Reversible Belt Buckle | 1 |
John Lavin | US | Lake Worth | 2014-01-09 / 20140012646 - SYSTEM AND METHOD FOR PROVIDING A DISCOUNT | 4 |
Philip T. Lavin | US | Framingham | 2014-10-09 / 20140301619 - System and Method for Diagnostic Vector Classification Support | 1 |
Christopher Lawrence Lavin | US | Seattle | 2016-02-11 / 20160042045 - RESOLVING CONFLICTS WITHIN SAVED STATE DATA | 1 |
Manuel Sierra Lavin | US | Belleville | 2013-06-27 / 20130160204 - Single Patient Disposable Lift Strap Device And Method To Use The Device | 1 |
Timothy Nelson Lavin | US | Presque Isle | 2014-12-25 / 20140376707 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR CONTACT CENTER MANAGEMENT | 1 |
Mark A. Lavin | US | Katonah | 2012-12-06 / 20120311515 - Method For Performing A Parallel Static Timing Analysis Using Thread-Specific Sub-Graphs | 14 |
John Lavin | US | Barrington | 2011-11-10 / 20110276379 - SYSTEM AND METHOD FOR PROVIDING A DISCOUNT | 1 |
Michael James Lavin | US | Peoria | 2015-10-15 / 20150289664 - DEXTERITY CUSHION | 1 |
Martin Lavin | AU | St. Lucia | 2013-10-17 / 20130273584 - SERUM PREPARATION | 1 |
Barry Lavin | AU | Churchlands | 2013-01-24 / 20130020420 - Sorting Mined Material | 1 |
Barry Lavin | AU | New South Wales | 2010-08-19 / 20100206778 - Sorting Mined Material | 1 |
Douglas Benjamin Lavin | US | New York | 2014-01-30 / 20140033027 - E-Book Application with Multi-Document Display | 1 |
Lisa M. Lavin | US | Prior Lake | 2012-12-20 / 20120323360 - WEB ENABLED AUDIOVISUAL MEDICATION DISPENSING | 1 |
Judith Maria Lavin | US | Albuquerque | 2014-09-18 / 20140259633 - PRINTED CRYSTALLINE MICROELECTRONIC DEVICES | 1 |
David K. Lavin | US | Cary | 2014-11-20 / 20140341063 - Automated RFID Reader Detection | 1 |
Gregg Lavin | US | Thousand Oaks | 2013-01-17 / 20130018701 - CAPTURING AND PROCESSING DATA RESPONSIVE TO A TASK ASSOCIATED WITH CONSUMER RESEARCH, SURVEY, OR POLL | 4 |
Danya Lavin | US | Providence | 2013-10-24 / 20130280319 - Compositions, methods and kits for therapeutic treatment with wet spun microstructures | 1 |
Jeffrey M. Lavin | US | Longmont | 2014-02-06 / 20140040329 - Persistent Folder Associations for Personal Internet Communicator | 1 |
Mark Lavin | US | Fishers | 2013-12-26 / 20130344359 - MODULAR ENERGY STORAGE SYSTEM | 1 |
Matthew D. Lavin | US | Raleigh | 2014-04-10 / 20140101655 - Enforcing Machine Deployment Zoning Rules in an Automatic Provisioning Environment | 1 |
Ronald O. Lavin | US | Gilbert | 2015-04-23 / 20150109177 - MULTI-BAND ANTENNA | 2 |
Jeffrey R. Lavin | US | Bloomfield | 2014-08-21 / 20140230246 - THERMALLY FREE HANGER WITH LENGTH ADJUSTMENT FEATURE | 3 |
Shane Kenneth Lavin | US | Tallahassee | 2013-12-26 / 20130344331 - YARN FILAMENT AND METHOD FOR MAKING SAME | 1 |
Brent Lavin | US | Wauwatosa | 2012-08-09 / 20120203104 - PORTABLE IMAGING SYSTEM WITH REMOTE ACCESSIBILITY | 1 |
Manuel Lavin | US | Hackettstown | 2015-08-13 / 20150229148 - Blind-Mate Power Charging Station for Portable Electronic Devices | 1 |
Ronald O. Lavin | US | Gibert | 2011-04-28 / 20110095951 - Conformal High Frequency Antenna | 1 |
Michael J. Lavin | US | Des Plaines | 2011-08-25 / 20110207699 - Anti-Viral Compounds | 3 |
John Gerard Lavin | US | 2008-12-04 / 20080299864 - PROCESS FOR IMPROVING THE EMISSION OF ELECTRON FIELD EMITTERS | 1 | |
David Kenneth Lavin | US | Cary | 2010-09-23 / 20100237994 - Automated RFID Reader Detection | 2 |
Sean Lavin | US | Feeding Hills | 2012-05-17 / 20120123664 - ELECTRONIC DIGITAL GOVERNOR AND METHOD OF ASSEMBLY | 2 |
Thomas Lavin | US | Watchung | 2010-02-25 / 20100047332 - Treatments for burns using thyroid hormone compound in a human | 2 |
Patricia A. Lavin | US | Belle Harbor | 2012-08-23 / 20120210501 - Sun protective neckwear garment | 1 |
Brent Jason Lavin | US | Wauwatosa | 2012-06-21 / 20120157843 - METHOD AND SYSTEM TO SELECT SYSTEM SETTINGS AND PARAMETERS IN PERFORMING AN ULTRASOUND IMAGING PROCEDURE | 3 |
Thomas N. Lavin | US | Watchung | 2010-01-14 / 20100010092 - USE OF MODAFINIL TO TREAT RESTLESS LEG SYNDROME | 1 |
Jeffrey R. Lavin | US | East Hartford | 2012-08-16 / 20120207584 - SEAL LAND WITH AIR INJECTION FOR CAVITY PURGING | 2 |
John Gerard Lavin | US | Swarthmore | 2009-04-23 / 20090104834 - PROCESS FOR IMPROVING THE EMISSION OF ELECTRON FIELD EMITTERS | 1 |
Matthew David Lavin | US | Raleigh | 2009-03-05 / 20090064137 - Method and Apparatus for Determining a Service Cluster Topology Based on Static Analysis | 1 |
Gayle Lavin | US | Huntsville | 2009-01-15 / 20090013473 - Baby comforter | 1 |
Mark Alan Lavin | US | Katonah | 2008-12-04 / 20080301624 - SYSTEM AND METHOD FOR EMPLOYING PATTERNING PROCESS STATISTICS FOR GROUND RULES WAIVERS AND OPTIMIZATION | 1 |
Eric Lavin | US | Burlington | 2008-11-13 / 20080278281 - Safety edge system with override of fault condition | 1 |
Mark Lavin | US | Katonah | 2008-11-13 / 20080282211 - METHODOLOGY TO IMPROVE TURNAROUND FOR INTEGRATED CIRCUIT DESIGN | 1 |
John T. Lavin | US | Ridgewood | 2008-10-16 / 20080251995 - ROTARY DIE CUT STACKING SYSTEM HAVING OPTICAL BEAM GENERATOR TO FACILITATE THE POSITIONING OF LAYBOY ARMS | 1 |
Kathleen Lavin | US | Norwood | 2008-09-25 / 20080235064 - METHOD AND APPARATUS FOR PERFORMING ASSESSMENTS | 1 |
Giuseppina Lavinaro | GB | Trivieres | 2012-01-19 / 20120016063 - HYDROSILYLATION CURED ORGANOSILOXANES HAVING DILUENT THEREIN | 1 |
Guiseppina Lavinaro | BE | Trivieres | 2011-01-06 / 20110003081 - Organosiloxane Compositions | 3 |
Giuseppina Lavinaro | BE | Triveres | / - | 1 |
Guiseppina Lavinaro | BE | La Louviere | 2008-11-20 / 20080284106 - Organosiloxane Compositions | 1 |
Giuseppina Lavinaro | BE | Trivieres | 2008-12-18 / 20080312367 - Organosiloxane Compositions | 2 |
Javier Ignacio Lavin Carrasco | CL | Coquimbo, Iv Region | 2015-12-17 / 20150359216 - IMPREGNATABLE MATRIX OF PLANT, ANIMAL OR SYNTHETIC ORIGIN OR MIXTURES OF SAME, CONTAINING A UNIFORMLY DISTRIBUTED ANTIMICROBIAL COMPOUND, METHOD FOR IMPREGNATING SAID MATRIX WITH A COMPOUND, AND USE THEREOF IN THE PRODUCTION OF ANTIMICROBIAL ELEMENTS | 1 |
Marc Lewis Lavine | US | Sunnyvale | 2015-07-09 / 20150195134 - SECURE STACKING SETUP | 1 |
Steven D. Lavine | US | New York | 2012-09-06 / 20120226711 - DATA-INTERRELATED DYNAMICALLY-UPDATED SCREEN DEVICES | 1 |
Zeev Lavine | US | Ventura | 2011-04-28 / 20110094768 - IMPLANTABLE MEDICAL DEVICE HAVING FEEDTHRU WITH AN INTEGRATED INTERCONNECT/FILTER SUBSTRATE | 1 |
James Lavine | US | Corte Madera | 2016-01-28 / 20160028770 - Wireless Network Service Interfaces | 38 |
James Lavine | US | Mill Valley | 2013-11-14 / 20130305322 - System and Method for Providing User Notifications | 15 |
James Lavine | US | Corte Madera | 2016-01-28 / 20160028770 - Wireless Network Service Interfaces | 38 |
Adam Lavine | US | Livermore | 2014-09-25 / 20140289255 - APPLICATION OF COMMUNITY-DEFINED DESCRIPTORS TO MOBILE CONTENT | 3 |
Jerrold Lavine | US | Farmington Hill | 2012-06-14 / 20120146360 - Deformable Cross-Car Beam for Side Impact Protection | 2 |
Adrienne G. Lavine | US | Los Angeles | 2015-03-05 / 20150060008 - HIGH-DENSITY, HIGH-TEMPERATURE THERMAL ENERGY STORAGE AND RETRIEVAL | 1 |
James F. Lavine | US | Mill Valley | 2010-07-08 / 20100173609 - Method and Apparatus for Secure Immediate Wireless Access in a Telecommunications Network | 3 |
James Lavine | US | Mill Valley | 2013-11-14 / 20130305322 - System and Method for Providing User Notifications | 15 |
Mark Gerald Lavine | US | Austin | 2015-12-10 / 20150355275 - LOGIC ANALYZER | 1 |
Marc Lavine | US | Sunnyvale | 2013-11-28 / 20130318617 - MANAGING NETWORK SECURITY | 5 |
James P. Lavine | US | Rochester | 2009-02-05 / 20090035888 - TWO EPITAXIAL LAYERS TO REDUCE CROSSTALK IN AN IMAGE SENSOR | 1 |
Steven David Lavine | US | New York | 2015-03-12 / 20150074097 - USER INTERFACE FOR SEARCH METHOD AND SYSTEM | 5 |
Edward F. Lavin, Jr. | US | Houston | 2012-12-20 / 20120318781 - ELECTRONIC PERSONAL THERMAL CONTROL APPARATUS AND SYSTEM | 1 |
Begona Lavín Plaza | ES | Madrid | 2014-05-29 / 20140148396 - COMPOUNDS FOR TREATING CARDIAC DAMAGE AFTER ISCHAEMIA/REPERFUSION | 1 |
Nathaniel Lavins | US | 2015-11-19 / 20150328497 - VIRTUALLY-INTERFACED ROBOTIC ANKLE & BALANCE TRAINER | 1 | |
John Laviola | US | 2016-01-28 / 20160022364 - TOMOSYNTHESIS-GUIDED BIOPSY IN PRONE | 1 | |
John Laviola | US | Orange | 2016-01-28 / 20160022364 - TOMOSYNTHESIS-GUIDED BIOPSY IN PRONE | 4 |
John Laviola | US | Range | 2013-09-05 / 20130231585 - SYSTEM FOR IMPROVED TISSUE-HANDLING AND IN LINE ANALYSIS OF THE TISSUE | 1 |
John Laviola | US | Bedford | 2014-03-13 / 20140073913 - BREAST BIOPSY AND NEEDLE LOCALIZATION USING TOMOSYNTHESIS SYSTEMS | 1 |
Michel Laviolette | US | Cedar Park | 2011-11-03 / 20110271327 - Authorized Application Services Via an XML Message Protocol | 3 |
Kerry D. Laviolette | US | Liverpool | 2015-08-13 / 20150225049 - APPARATUS AND METHOD FOR NEUTRALIZING UNDERWATER MINES | 2 |
Robert R. Laviolette | US | Pembroke Park | 2012-06-21 / 20120155989 - SCREW COVER AND SEAL | 1 |
Jean-Philippe Laviolette | CA | Montreal | 2012-07-19 / 20120182546 - METHOD AND DEVICE FOR SIMULTANEOUS MEASUREMENTS OF A SAMPLE IN A MULTIPHASE SYSTEM | 1 |
Michel Laviolette | US | Austin | 2014-09-18 / 20140266818 - UNICODE CHARACTER CONVERSION | 1 |
Jessica Laviolette | US | Ortonville | 2010-02-18 / 20100041118 - PROCESS FOR THE CONTINUOUS PRODUCTION OF ETHANOL | 1 |
Thomas Brian Laviolette | US | Ortonville | 2010-06-17 / 20100146808 - VACUUM DRYING METHOD | 1 |
Jessica Lynn Laviolette | US | Ortonville | 2010-06-17 / 20100146808 - VACUUM DRYING METHOD | 2 |
Vittorio Laviosa | IT | Mele-Genova | 2010-09-09 / 20100227284 - FLAT-FLAME VAULT BURNER WITH LOW POLLUTING EMISSIONS | 1 |
Thierry Laviron | FR | Peynier | 2008-12-04 / 20080296606 - Electronic Module and Chip Card With Indicator Light | 1 |
Thierry Laviron | FR | Meudon | 2015-05-14 / 20150129661 - SMART CARD AND METHOD OF PRODUCTION | 1 |
Vincent Laviron | FR | Labege | 2010-05-27 / 20100131929 - EFFICIENT INVARIANT INFERENCE FOR PROGRAM VERIFICATION | 1 |
Luke D. Lavis | US | Madison | 2009-12-03 / 20090299061 - FLUORESCENCE ASSAYS WITH IMPROVED SENSITIVITY | 1 |
Juan Miguel Lavista Ferres | US | Kirkland | 2010-02-18 / 20100042592 - SYSTEM AND METHODS FOR FACILITATING USER- REQUESTED CONTENT SERVICES AND RELATED TECHNOLOGIES | 1 |
Marialuisa Lavitrano | IT | Milano | 2015-06-04 / 20150150899 - Isoform of Bruton's Tyrosine Kinase (BTK) Protein | 7 |
Damiano Lavizzari | IT | Cesano Maderno | 2014-02-13 / 20140043853 - LED LAMP FOR LIGHTING | 2 |
Nadav Lavochkin | IL | Hadera | 2011-12-15 / 20110306958 - Closed Loop Cryosurgical Pressure And Flow Regulated System | 1 |
Darja Lavõgina | EE | Tartu | 2010-09-16 / 20100233743 - BISUBSTRATE FLUORESCENT PROBE BINDING TO PROTEIN KINASES | 1 |
Darja Lavõgina | EE | Tartu | 2010-09-16 / 20100233743 - BISUBSTRATE FLUORESCENT PROBE BINDING TO PROTEIN KINASES | 1 |
Marla Lavoice | US | 2009-02-26 / 20090055259 - Lost key rewards system and method | 1 | |
Frederic Lavoie | CA | Levis | 2009-01-08 / 20090007537 - Device and method for harvesting woody crops | 1 |
Andreanne Lavoie | CA | Montreal | 2013-01-31 / 20130029559 - MAGNETIC AND ELECTRONIC TOY CONSTRUCTION SYSTEMS AND ELEMENTS | 4 |
Eric Lavoie | CA | Montreal | 2011-07-14 / 20110167975 - CUTTING HEAD WITH CHIP COLLECTION FOR CUTTING A TUBULAR OBJECT | 2 |
Caroline Lavoie | CA | Waterloo | 2009-03-26 / 20090082751 - Device for transferring fluid from a cartridge to a container | 1 |
David Lavoie | CA | Charlesbourg | 2009-07-02 / 20090166392 - ACTUATOR HOUSING HAVING A RELEASABLE ACTUATOR CARTRIDGE FOR USE ON HARDWOOD FLOORING PNEUMATIC NAILERS | 1 |
Heather Lavoie | US | Tahoe City | 2012-08-09 / 20120201941 - Lids for positioning, holding and retaining tea bags and the like in disposable and nondisposable cups | 1 |
Richard J. Lavoie | US | Waltham | 2014-04-17 / 20140108228 - Trading Order Validation System and Method and High-Performance Trading Data Interface | 7 |
Vincent Patrick Lavoie | US | Canton | 2016-04-28 / 20160116044 - TORQUE CONVERTER HAVING VARIABLE PITCH STATOR AND BYPASS CLUTCH | 2 |
Olivier Lavoie | CA | Saint-Gabriel-De-Valcartier | 2014-12-11 / 20140361764 - ADAPTIVE ELECTROMAGNETIC PROBE FOR TWISTED TUBE HEAT EXCHANGER | 1 |
Andre G. Lavoie | US | San Francisco | 2016-03-31 / 20160092822 - METHODS AND SYSTEMS FOR APPLYING A CONTINUOUS IMPROVEMENT PROCESS TO TALENT | 1 |
M. Carl Lavoie | CA | Senneterre | 2012-05-10 / 20120111797 - RENEWABLE OIL ABSORBENT AND METHOD THEREOF | 1 |
James R. Lavoie | US | Voluntown | 2012-05-03 / 20120105227 - DISTRIBUTED SENSOR NETWORK USING EXISTING INFRASTRUCTURE | 3 |
Christian Lavoie | US | Yorktown Heights | 2012-11-29 / 20120302005 - SELF ALIGNED CARBIDE SOURCE/DRAIN FET | 6 |
Pierre-Olivier Lavoie | CA | Quebec | 2015-08-13 / 20150225462 - PICORNAVIRUS-LIKE PARTICLE PRODUCTION IN PLANTS | 11 |
Steven Lavoie | US | Lagrange | 2015-12-10 / 20150356503 - SYSTEM AND METHOD FOR LOGISTICS OPTIMIZATION USING LANE ORDER PATTERN FLEXING | 7 |
Adrien Lavoie | US | Tualatin | 2014-07-10 / 20140193983 - APPARATUSES AND METHODS FOR DEPOSITING SiC/SiCN FILMS VIA CROSS-METATHESIS REACTIONS WITH ORGANOMETALLIC CO-REACTANTS | 4 |
Jason Dana Lavoie | US | Westford | 2010-12-16 / 20100318679 - METHOD AND SYSTEM FOR CONTENT-BASED ROUTING OF NETWORK TRAFFIC | 1 |
Vincent Lavoie | CA | Montreal | 2015-04-02 / 20150095403 - BUSINESS OBJECT ATTACHMENTS AND EXPIRING URLS | 18 |
Matt Lavoie | US | Raleigh | 2015-07-09 / 20150194136 - METHOD AND SYSTEM FOR KEYFRAME DETECTION WHEN EXECUTING AN APPLICATION IN A CLOUD BASED SYSTEM PROVIDING VIRTUALIZED GRAPHICS PROCESSING TO REMOTE SERVERS | 2 |
Denis Lavoie | US | Seattle | 2010-10-21 / 20100268669 - Advisor Referral | 1 |
Lauren B. Lavoie | US | Seattle | 2010-09-30 / 20100250567 - SUB-MAILBOX FOLDER HIERARCHY TO REPRESENT A SEPARATE PHYSICAL MAILBOX TO A USER | 3 |
Edmond J. Lavoie | US | New Brunswick | 2015-11-12 / 20150322022 - THERAPEUTIC CATECHOLS | 20 |
Rico C. Lavoie | US | Hamden | 2010-08-12 / 20100204472 - Indane acetic acid derivatives and their use as pharmaceutical agents, intermediates, and method of preparation | 1 |
James Richard Lavoie | US | Voluntown | 2013-06-06 / 20130143646 - System, Method, and Article of Manufacture for Bonus Game Play From an Off-Site Location | 2 |
Eric Lavoie | US | Medway | 2010-03-11 / 20100062384 - Oil burning system | 1 |
Erick Michael Lavoie | US | Dearbom | 2009-12-24 / 20090319125 - Self Powered Steering Wheel Angle Sensor | 1 |
Matthew J. Lavoie | US | Raleigh | 2015-04-30 / 20150120674 - VIRTUAL PROGRAM INSTALLATION AND STATE RESTORATION | 1 |
Andre Lavoie | US | Boston | 2014-05-29 / 20140149318 - METHOD AND SYSTEM FOR PROVIDING GUIDANCE DATA | 2 |
Rico Lavoie | US | Hamden | 2009-12-03 / 20090298854 - Indole acetic acid derivatives and their use as pharmaceutical agents | 1 |
Hugo Lavoie | CA | Montreal | 2014-12-25 / 20140378324 - RAF DIMERS AND USES THEREOF | 2 |
Rico C. Lavoie | US | Cheshire | 2009-11-26 / 20090292014 - BENZOFURAN DERIVATIVES USEFUL FOR TREATING HYPER-PROLIFERATIVE DISORDERS | 2 |
Erick Michael Lavoie | US | Dearborn | 2016-04-14 / 20160101811 - TRAILER SENSOR MODULE AND ASSOCIATED METHOD OF WIRELESS TRAILER IDENTIFICATION AND MOTION ESTIMATION | 55 |
Gino Georges Lavoie | US | Kingsport | 2009-10-15 / 20090259069 - Optimized Liquid-Phase Oxidation | 1 |
Pierre-Olivier Lavoie | CA | Sainte Foy | 2011-12-22 / 20110312095 - METHOD AND CONSTRUCTS FOR INCREASING RECOMBINANT PROTEIN PRODUCTION IN PLANTS DEHYDRATION STRESS | 1 |
Edmond J. Lavoie | US | Princeton Junction | 2011-06-09 / 20110136812 - SOLUBILIZED TOPOISOMERASE POISONS | 3 |
Vince P. Lavoie | US | Canton | 2009-06-04 / 20090143196 - Avoiding Coasting Downshift Torque Reversal in an Automatic Transmission | 1 |
Larry E. Lavoie | US | Klamath Falls | 2009-05-21 / 20090129961 - METAL INJECTION MOLDING METHODS AND FEEDSTOCKS | 1 |
Michel Lavoie | CA | La Baie | 2011-07-07 / 20110162196 - PROCESS FOR MAKING HIGH ELECTRICAL CONDUCTIVITY ALUMINIUM PLATES | 1 |
Thomas B. Lavoie | US | Califon | 2009-04-09 / 20090092581 - Interferons of rhesus and cynomolgus origin and uses thereof | 1 |
Adrein R. Lavoie | US | Beaverton | 2008-10-02 / 20080241575 - SELECTIVE ALUMINUM DOPING OF COPPER INTERCONNECTS AND STRUCTURES FORMED THEREBY | 1 |
Shaine J. Lavoie | US | Dana Point | 2008-09-18 / 20080222849 - Leashed Portable Personal Digital Appliance | 1 |
Adrien Lavoie | US | Newberg | 2016-04-28 / 20160118246 - GAPFILL OF VARIABLE ASPECT RATIO FEATURES WITH A COMPOSITE PEALD AND PECVD METHOD | 26 |
Lauren Lavoie | US | Seattle | 2008-09-11 / 20080222622 - Isolating, managing and communicating with user interface elements | 1 |
Frédéric Lavoie | CA | Chesterville | 2012-07-19 / 20120180430 - WRAPPING MACHINE AND INLINE WRAPPER COMPRISING THE SAME | 2 |
Christian Lavoie | US | Pleasentville | 2012-11-15 / 20120285518 - Solar cell with interdigitated back contacts formed from high and low work-function-tuned silicides of the same metal | 2 |
Jason D. Lavoie | US | Littleton | 2016-04-21 / 20160112391 - Collection and Storage of a Personalized, Searchable, Unstructured Corpora | 13 |
Philippe Lavoie | CA | Mercier | 2015-02-26 / 20150057111 - SYSTEM, DEVICE AND METHOD FOR QUANTIFYING MOTION | 1 |
Felix-Antoine Lavoie | CA | Quebec | 2014-12-04 / 20140356937 - Patterned Capillary Device and Process for Fabricating Thereof | 1 |
Dennis Lavoie | US | Sarasota | 2008-09-04 / 20080209624 - COLLAPSIBLE PORTABLE STRUCTURE | 1 |
Annique Lavoie | CA | Bromont | 2015-07-23 / 20150206851 - THERMAL INTERFACE MATERIAL ON PACKAGE | 1 |
Jean-Pierre Lavoie | CA | Wickham | 2012-11-08 / 20120279116 - Auto-Combustible Torch | 1 |
Lisa Lavoie | US | Cambridge | 2014-07-24 / 20140207464 - Systems and Techniques for Producing Spoken Voice Prompts | 3 |
Hervé Lavoie | CA | Mont-Royal | 2013-03-28 / 20130077929 - FIBER OPTIC HINGE | 1 |
Alain Lavoie | CA | Sainte-Foy | 2013-07-25 / 20130190269 - POLYSACCHARIDES COMPOSITIONS COMPRISING FUCANS AND GALACTANS AND THEIR USE TO REDUCE EXTRAVASATION AND INFLAMMATION | 3 |
Dominic Lavoie | CA | Saint-Laurent | 2009-09-24 / 20090238168 - COMMUNICATION NODE AND METHOD FOR HANDLING SIP COMMUNICATION | 1 |
Christian Lavoie | US | Pleasantville | 2016-04-28 / 20160118298 - OXIDE MEDIATED EPITAXIAL NICKEL DISILICIDE ALLOY CONTACT FORMATION | 47 |
Christian Lavoie | US | Pleasantville | 2016-04-28 / 20160118298 - OXIDE MEDIATED EPITAXIAL NICKEL DISILICIDE ALLOY CONTACT FORMATION | 47 |
Edmond J. Lavoie | US | New Brunswick | 2015-11-12 / 20150322022 - THERAPEUTIC CATECHOLS | 20 |
Paul Lavoie | CA | St. Albert | 2010-02-25 / 20100045020 - MURAL MOSAIC BOOK | 1 |
Renaud Lavoie | CA | Laval | 2016-03-24 / 20160085697 - STANDARDIZED HOT-PLUGGABLE TRANSCEIVING UNIT AND METHOD FOR CONTROLLING THE UNIT THROUGH A WEB SERVER FUNCTION | 16 |
Vincent Lavoie | CA | Quebec | 2010-06-17 / 20100146806 - SYSTEM AND METHOD FOR CONTINUOUS DRYING OF WOOD PIECES | 1 |
Jonathan Lavoie | CA | Pierrefonds | 2010-07-01 / 20100165078 - IMAGE COMPRESSION USING CHECKERBOARD MOSAIC FOR LUMINANCE AND CHROMINANCE COLOR SPACE IMAGES | 1 |
Jean-Michel Lavoie | CA | Canton De Hatley | 2012-03-22 / 20120071698 - PRODUCTION OF ETHANOL FROM METHANOL | 4 |
Pierre Lavoie | CA | Saint-Etienne-De-Lauzon | 2010-12-16 / 20100313480 - WINDOW WITH ELECTRICAL CONNECTION TO OPERABLE SASH | 1 |
Peter Lavoie | US | Shelton | 2015-09-03 / 20150246771 - RECEPTACLE ASSEMBLY AND A REVERSE VENDING MACHINE COMPRISING SAID RECEPTACLE ASSEMBLY | 1 |
Marc-André Lavoie | CA | Saguenay | 2011-02-24 / 20110045932 - DUAL DIFFERENTIAL SEMI-ACTIVE ACTUATOR FIT FOR INTERACTION TASKS AND FAST MOTION | 1 |
Donald Lavoie | CA | Montreal | 2014-05-22 / 20140141333 - PROCESS FOR PREPARING ELECTROACTIVE INSERTION COMPOUNDS AND ELECTRODE MATERIALS OBTAINED THEREFROM | 5 |
Fabien Lavoie | CA | Shawinigan | 2015-04-30 / 20150117996 - CONTAINER SYSTEM WITH LIFT INTERFACE DEVICE AND VEHICLE | 1 |
Lewis Lavoie | CA | St. Albert | 2010-02-25 / 20100045020 - MURAL MOSAIC BOOK | 1 |
Réjean Lavoie | CA | Baie St-Paul | 2011-04-28 / 20110095511 - TANDEM SUSPENSION FOR A VEHICLE | 2 |
Frederic Lavoie | CA | Montreal | 2011-05-05 / 20110103654 - BONE IMAGERY SEGMENTATION METHOD AND APPARATUS | 1 |
Barry Lavoie | US | Lowell | 2014-08-21 / 20140231578 - STABILIZED UAV PLATFORM WITH FUSED IR AND VISIBLE IMAGERY | 5 |
Eric T. Lavoie | US | Medway | 2013-01-31 / 20130025512 - FUEL OXYGENATION APPARATUS AND METHOD | 2 |
Jean Lavoie | CA | Sherbrooke | 2009-11-19 / 20090287624 - SPATIO-TEMPORAL PATTERN RECOGNITION USING A SPIKING NEURAL NETWORK AND PROCESSING THEREOF ON A PORTABLE AND/OR DISTRIBUTED COMPUTER | 1 |
Claude Lavoie | CA | Sherwood Park | 2012-12-20 / 20120321421 - APPARATUS AND METHOD FOR CONVEYING BULK MATERIALS | 2 |
Jonathan Lavoie | CA | Montreal | 2015-12-03 / 20150346031 - FABRY-PEROT INTERFEROMETER BASED SATELLITE DETECTION OF ATMOSPHERIC TRACE GASES | 1 |
Pierre-Olivier Lavoie | CA | Quebec | 2015-08-13 / 20150225462 - PICORNAVIRUS-LIKE PARTICLE PRODUCTION IN PLANTS | 11 |
Serge Lavoie | CA | Chicoutimi | 2011-01-13 / 20110008465 - SESQUITERPENE FORMULATIONS, KITS AND METHODS OF USE THEREOF | 1 |
David Lavoie | CA | Quebec | 2015-07-16 / 20150197000 - NAILER FOR HARDWOOD FLOORING | 4 |
Rico Lavoie | CA | Canadiac | 2015-07-16 / 20150197499 - Hepatitis C Virus Inhibitors | 1 |
Erick Michael Lavoie | US | Dearborn | 2016-04-14 / 20160101811 - TRAILER SENSOR MODULE AND ASSOCIATED METHOD OF WIRELESS TRAILER IDENTIFICATION AND MOTION ESTIMATION | 55 |
Renaud Lavoie | CA | Laval | 2016-03-24 / 20160085697 - STANDARDIZED HOT-PLUGGABLE TRANSCEIVING UNIT AND METHOD FOR CONTROLLING THE UNIT THROUGH A WEB SERVER FUNCTION | 16 |
Hervé Lavoie | CA | Mont-Royal | 2013-03-28 / 20130077929 - FIBER OPTIC HINGE | 1 |
Adrien R. Lavoie | US | Beaverton | 2012-11-15 / 20120286372 - Reliability of high-K gate dielectric layers | 21 |
Bernard Lavoie | CA | Magog | 2012-10-04 / 20120248645 - PRODUCTION OF EXTRUDED FOAM | 1 |
Jean-Michel Lavoie | CA | Sherbrooke | 2012-08-09 / 20120203029 - Production of acetates from acetic acid and alcohols | 1 |
Adrien Lavoie | US | Portland | 2016-02-04 / 20160032453 - SYSTEMS AND METHODS FOR VAPOR DELIVERY | 14 |
Rico Lavoie | CA | Candiac | 2015-11-12 / 20150322048 - Hepatitis C Virus Inhibitors | 21 |
Annique Lavoie | CA | Granby | 2016-02-11 / 20160043016 - THERMAL INTERFACE MATERIAL ON PACKAGE | 7 |
Alain Lavoie | CA | Drummondville | 2015-12-17 / 20150360713 - ADJUSTABLE HANDLEBAR RISER ASSEMBLY | 1 |
Adrien R. Lavoie | US | St. Helens | 2010-01-28 / 20100022083 - CARBON NANOTUBE INTERCONNECT STRUCTURES | 10 |
Adrien Lavoie | US | Portland | 2016-02-04 / 20160032453 - SYSTEMS AND METHODS FOR VAPOR DELIVERY | 14 |
Fabien Lavoie | CA | Grand-Mere | 2015-11-12 / 20150325388 - LOCKING SYSTEM FOR POWER LINE SECTIONING UNIT | 2 |
Troy Gene Lavoie | US | Troutdale | 2011-10-13 / 20110250032 - Transport and storage of wheelsets | 1 |
Adrien R. Lavoie | US | Portland | 2012-03-29 / 20120074571 - METHODS AND ARCHITECTURES FOR BOTTOMLESS INTERCONNECT VIAS | 1 |
Christian Lavoie | US | Ossining | 2015-11-05 / 20150318371 - SELF-ALIGNED LINER FORMED ON METAL SEMICONDUCTOR ALLOY CONTACTS | 46 |
Jason D. Lavoie | US | Mahopac | 2011-08-25 / 20110208823 - METHOD AND APPARATUS FOR IMPROVING DATA TRANSFERS IN PEER-TO-PEER NETWORKS | 8 |
Erick Lavoie | US | Dearborn | 2011-08-04 / 20110190982 - POWER ON DEMAND STEERING WHEEL ANGLE SENSOR | 1 |
Marc-André Lavoie | CA | Saguenay | 2011-02-24 / 20110045932 - DUAL DIFFERENTIAL SEMI-ACTIVE ACTUATOR FIT FOR INTERACTION TASKS AND FAST MOTION | 1 |
Réjean Lavoie | CA | Baie St-Paul | 2012-05-10 / 20120112437 - SUSPENSION FOR A VEHICLE | 2 |
Frederic Lavoie | CA | Chesterville | 2016-02-04 / 20160029563 - WRAPPING DEVICE AND METHOD OF OPERATION THEREOF | 1 |
David Michael Lavoie | US | Peterborough | 2016-01-07 / 20160000616 - Self-Cohesive Tape | 1 |
Jason D Lavoie | US | Westford | 2011-08-18 / 20110202678 - Delegated Resource Use in a Content Based Routing Environment | 1 |
Christian Lavoie | US | Ossingin | 2014-11-27 / 20140349459 - Integrated Circuit Having Raised Source Drains Devices with Reduced Silicide Contact Resistance and Methods to Fabricate Same | 1 |
Edmond J. Lavoie | US | Princeton Jct. | 2013-05-09 / 20130116278 - ANTIMICROBIAL AGENTS | 1 |
Gregory Paul Lavoie | US | Barrington | 2015-08-13 / 20150227358 - UTILITY METER INTELLIGENT FIRMWARE UPDATE SYSTEM AND METHOD | 5 |
Adrien Lavoie | US | Newberg | 2016-04-28 / 20160118246 - GAPFILL OF VARIABLE ASPECT RATIO FEATURES WITH A COMPOSITE PEALD AND PECVD METHOD | 26 |
Jean-Michel Lavoie | CA | Quebec | 2013-08-22 / 20130217925 - Production of Ethanol From Methanol | 1 |
Frédéric Lavoie | CA | Ste-Martine | 2014-11-20 / 20140341454 - METHOD AND SYSTEM FOR THE THREE-DIMENSIONAL RECONSTRUCTION OF STRUCTURES | 2 |
Marie Lise Lavoie | CA | Grand Barachois | 2013-01-10 / 20130010952 - METHOD OF PROVIDING A PORTABLE TRUE RANDOM NUMBER GENERATOR BASED ON THE MICROSTRUCTURE AND NOISE FOUND IN DIGITAL IMAGES | 1 |
Michel Lavoie | CA | Quebec City | 2016-04-14 / 20160100728 - DUST COLLECTOR | 1 |
Christian Lavoie | US | Hopewell Junction | 2011-03-17 / 20110062525 - METHOD AND STRUCTURE FOR DIFFERENTIAL SILICIDE AND RECESSED OR RAISED SOURCE/DRAIN TO IMPROVE FIELD EFFECT TRANSISTOR | 1 |
Vincent Lavoie | CA | Montreal | 2015-04-02 / 20150095403 - BUSINESS OBJECT ATTACHMENTS AND EXPIRING URLS | 18 |
Jeff Lavoie | CA | Vancouver | 2016-03-31 / 20160092338 - INSTALLATION HEALTH DASHBOARD | 2 |
Andre G. Lavoie | US | Boston | 2011-06-16 / 20110145164 - SYSTEM AND METHOD FOR FACILITATING THE CREATION, MANAGEMENT, AND VALUATION OF SECURITIES RESEARCH | 1 |
Steve Lavoie | CA | Pintendre | 2013-01-24 / 20130020323 - TAMPER EVIDENT SYSTEM AND METHOD | 1 |
Robert Lavoie | CA | Saint-Jerome | 2013-01-31 / 20130025031 - SPORT HELMET | 1 |
Serge Lavoie | CA | Jonquière | 2015-06-11 / 20150158084 - METAL TRANSFER TROUGH | 1 |
Jason D. Lavoie | US | Littleton | 2016-04-21 / 20160112391 - Collection and Storage of a Personalized, Searchable, Unstructured Corpora | 13 |
Jason D. Lavoie | US | Mahopac | 2011-08-25 / 20110208823 - METHOD AND APPARATUS FOR IMPROVING DATA TRANSFERS IN PEER-TO-PEER NETWORKS | 8 |
Steven Lavoie | US | Chicago | 2014-10-02 / 20140297553 - Transport Vehicle Capacity Maximization Logistics System and Method of Same | 5 |
Paul Lavoie | US | Howell | 2011-02-03 / 20110029201 - VEHICLE AUXILIARY HYDRAULIC SYSTEM | 1 |
George Lavoie | US | Ann Arbor | 2011-01-20 / 20110011660 - HYBRID POWERTRAIN SYSTEM USING FREE PISTON LINEAR ALTERNATOR ENGINES | 1 |
Adrien La Voie | US | Portland | 2013-02-14 / 20130040447 - CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION | 1 |
Matthew Christopher La Voie | US | Hillsboro | 2012-05-03 / 20120106953 - Dual Laser-Power-Level Control and Calibration System for Burst-Mode and Continuous-Mode Transmitter | 1 |
Jason D. La Voie | US | Mahopac | 2008-10-23 / 20080263031 - METHOD AND APPARATUS FOR CREATING SEARCHES IN PEER-TO-PEER NETWORKS | 1 |
Raymond L. Lavoie, Jr. | US | Millsboro | 2013-02-21 / 20130045598 - Method for chemical mechanical polishing tungsten | 1 |
Raymond L. Lavoie, Jr. | US | Hockessin | 2016-02-25 / 20160052103 - POLYURETHANE POLISHING PAD | 2 |
Peter S. Lavoilette | US | Wauwatosa | 2012-10-25 / 20120271151 - Method for Determining Locations of Implanted Electrodes with Medical Images | 1 |
Remy Lavoine | FR | Lussan | 2009-10-15 / 20090256271 - Powder Dispenser, Notably for Pelletizer and Method for Making Nuclear Fuel Pellets | 2 |
Jacky Lavoise | FR | Paris | 2014-10-30 / 20140321753 - SEARCH FOR A TARGET IN A MULTISPECTRAL IMAGE | 1 |
Thorleif Lavold | SE | Stockholm | 2012-09-13 / 20120231486 - METHOD AND APPARATUS TO PERFORM HYDROGEN-DEUTERIUM EXCHANGE | 2 |
Rico Lavole | CA | Candiac | 2014-01-16 / 20140017195 - Hepatitis C Virus Inhibitors | 1 |
Marie Lavollay | FR | Bourg La Reine | 2014-11-20 / 20140342364 - METHODS FOR TREATMENT OF BACTERIAL INFECTIONS | 3 |
Gary Dean Lavon | US | Liberty Twp. | 2013-10-03 / 20130255864 - Apparatuses and Methods for Making Absorbent Articles | 14 |
Gary Dean Lavon | US | Liberty Twp. | 2013-10-03 / 20130255864 - Apparatuses and Methods for Making Absorbent Articles | 14 |
Aharon Lavon | IL | Lod | 2008-10-09 / 20080247315 - Flooding of Data Packets in a Switching Telecommunications Device | 1 |
Gary Dean Lavon | US | Liberty Township | 2016-05-19 / 20160136004 - CONVERTING LINES AND METHODS FOR FABRICATING BOTH TAPED AND PANT DIAPERS COMPRISING SUBSTANTIALLY IDENTICAL CHASSIS | 81 |
Iris Lavon | IL | Kfar Saba | 2010-12-16 / 20100317722 - NUCLEIC ACID SEQUENCES COMPRISING NF-kB BINDING SITE WITHIN O(6)-METHYLGUANINE-DNA-METHYLTRANSFERASE (MGMT) PROMOTER REGION AND USES THEREOF FOR THE TREATMENT OF CANCER AND IMMUNE-RELATED DISORDERS | 1 |
Ronie Lavon | US | Derry | 2010-06-17 / 20100153029 - SYSTEM AND METHOD FOR OPTIMIZING SWEEP DELAY AND ALIASING FOR TIME DOMAIN REFLECTOMETRIC MEASUREMENT OF LIQUID HEIGHT WITHIN A TANK | 2 |
Ronie Lavon | US | Windham | 2011-11-17 / 20110282599 - METHOD FOR ACCURATELY MEASURING FLUID IN A VESSEL | 1 |
Gary Dean Lavon | US | Cincinnati | 2013-12-05 / 20130324956 - ABSORBENT ARTICLES HAVING TEXTURE ZONES FORMING BACKGROUND PATTERNS AND MACRO PATTERNS | 5 |
Yoav Lavon | IL | Nes Ziona | 2011-06-09 / 20110134946 - LENGTHENING THE PATH OF A LASER BEAM IN A MONOLOTHIC SOLID STATE LASER APPARATUS | 2 |
Amiran Lavon | IL | Bat Yam | 2010-05-06 / 20100111577 - RELEASE LAYER | 2 |
Gary Dean La Von | US | Liberty Twp. | 2011-05-26 / 20110125124 - Absorbent Articles and Method for Manufacturing Same | 1 |
Jonny Lavorato | IT | Cinisello Balsamo (mi) | 2010-10-21 / 20100269121 - EXCHANGEABLE APPLICATION COMPONENTS | 1 |
Marino Lavorgna | IT | Puglianello (bn) | 2011-05-12 / 20110112222 - FIBER REINFORCED POLYMERS, EPOXY-BASED POLYMERIC COMPOSITIONS AND USE THEREOF | 1 |
Marino Lavorgna | IT | Puglianello | 2009-12-31 / 20090326085 - FOAMED POLYMER-INORGANIC BINDER HYBRID MATERIAL HAVING CONTROLLED DENSITY AND MORPHOLOGY, METHOD FOR ITS PREPARATION AND USES THEREOF | 1 |
Peter G. La Vos | NL | Venlo | 2016-05-19 / 20160137441 - TRANSPORT MECHANISM AND METHOD FOR TRANSPORTING A PRINT MEDIUM IN A PRINTING SYSTEM | 2 |
Peter G. La Vos | NL | Baarlo | 2014-12-18 / 20140368574 - INKJET MARKING MODULE AND METHOD FOR CONDITIONING INKJET MARKING MODULE | 1 |
Debra Lavoy | US | San Jose | 2015-03-26 / 20150089379 - ADDING EVENTS TO A CALENDAR FROM ANOTHER APPLICATION | 4 |
Paul John Lavrakas | US | Stamford | 2009-07-23 / 20090187414 - METHODS AND APPARATUS TO RECRUIT PERSONNEL | 1 |
Tina C. Lavranos | AU | Thebarton | 2015-05-14 / 20150133401 - COMBINATION THERAPY INVOLVING A VASCULAR DISRUPTING AGENT AND AN AGENT WHICH TARGETS HYPOXIA | 1 |
Tina Lavranos | AU | Colonel Light Gardens | 2014-09-04 / 20140248375 - Combination Therapy for Treating Proliferative Diseases | 2 |
Alice Lavranos | AU | Victoria | 2008-09-11 / 20080220972 - Reduced Foam Dispersions and Formulations Therefor | 1 |
Drago Lavrencic | AU | Ryde | 2012-08-02 / 20120192744 - REMOTE INITIATOR BREACHING SYSTEM | 1 |
Joze Lavrencic | SI | Kobarid | 2010-07-22 / 20100181783 - ELECTROMAGNETIC BLOCKING DEVICE FOR THE DOOR OF AN ELECTRIC HOUSEHOLD APPLICANCE, IN PARTICULAR THE DOOR OF A WASHING MACHINE | 1 |
Alexander V. Lavrenko | GB | Derby | 2012-12-20 / 20120321470 - MOUNTING SYSTEM | 2 |
Sergey Nikolaevich Lavrenov | RU | Moscow | 2008-10-23 / 20080262019 - Optical Isomers of (+) and (-)-Trans-2,3,4,4A,5,9B-Hexahydro-2,8-Dimethyl-1H-Pyrido[4,3-B] Indole | 1 |
Michael Lavrentiev | US | San Jose | 2015-12-03 / 20150347317 - EVENT LOCK STORAGE DEVICE | 1 |
Michael Lavrentiev | IL | Haifa | 2009-02-19 / 20090046196 - USER-AIDED AUTO-FOCUS | 1 |
Mikhail Lavrentiev | RU | Novosibirsk | 2015-04-30 / 20150120812 - METHOD FOR WEB SITE PUBLISHING USING SHARED HOSTING | 1 |
Mikhail M. Lavrentiev | RU | Novosibirsk | 2016-02-11 / 20160041866 - PROCESSING RUN-TIME ERROR MESSAGES AND IMPLEMENTING SECURITY POLICIES IN WEB HOSTING | 1 |
Michael Lavrentiev | IL | Nesher | 2009-02-19 / 20090046171 - NON-LINEAR COLOR CORRECTION | 1 |
Oleg D. Lavrentovich | US | Kent | 2016-04-14 / 20160103351 - FAST ELECTROOPTIC SWITCHING DEVICES EMPLOYING POLYMER TEMPLATE SHAPED BY BLUE PHASE LIQUID CRYSTAL | 9 |
Oleg Lavrentovich | US | Kent | 2011-04-14 / 20110085229 - Methods and Apparatus for Controlling Dispersions of Nanoparticles | 2 |
Oleg D. Lavrentovich | US | Kent | 2016-04-14 / 20160103351 - FAST ELECTROOPTIC SWITCHING DEVICES EMPLOYING POLYMER TEMPLATE SHAPED BY BLUE PHASE LIQUID CRYSTAL | 9 |
Elena Daniela Lavric | FR | Avon | 2013-03-07 / 20130055896 - MICROREACTOR DEVICE HAVING AN ESSENTIALLY VERTICAL OR INCLINED UPPER PORTION COMPRISING MEANS FOR COLLECTION AND REMOVAL OF GAS FORMED IN SITU DURING A LIQUID-MEDIUM REACTION AND METHOD | 5 |
Anton Lavric | SL | Skofljica | 2010-07-22 / 20100183714 - GASTRORESISTANT PHARMACEUTICAL DOSAGE FORM COMPRISING N-(2-(2- PHTHALIMIDOETHOXY)-ACETYL)-L-ALANYL-D-GLUTAMIC ACID (LK-423) | 1 |
Dan S. Lavric | US | Beaverton | 2011-06-23 / 20110147851 - Method For Depositing Gate Metal For CMOS Devices | 1 |
Nickolay V. Lavrick | US | Knoxville | 2016-03-10 / 20160067387 - Amorphous Silicon Oxide, Amorphous Silicon Oxynitride, and Amorphous Silicon Nitride Thin Films and Uses Thereof | 1 |
Karel Lavrijsen | BE | Oud-Turnhout | 2012-07-19 / 20120184537 - [1,4]-BENZODIAZEPINES AS VASOPRESSIN V2 RECEPTOR ANTAGONISTS | 1 |
Nickolay Lavrik | US | Knoxville | 2012-08-16 / 20120206609 - NANOMECHANICAL ELECTRIC AND ELECTROMAGNETIC FIELD SENSOR | 1 |
Nickolay V. Lavrik | US | Knoxville | 2013-07-11 / 20130174968 - HIGH QUALITY LARGE SCALE SINGLE AND MULTILAYER GRAPHENE PRODUCTION BY CHEMICAL VAPOR DEPOSITION | 3 |
Viacheslav Lavrinenko | UA | Kiev | 2014-01-16 / 20140015376 - PIEZOELECTRIC LINEAR MOTOR | 1 |
Vyacheslav Lavrinenko | UA | Kiev | 2009-08-27 / 20090212661 - PIEZOELECTRIC GENERATOR OF MECHANICAL VIBRATIONS, AND PIEZOELECTRIC MOTORS BASED ON THE GENERATOR | 1 |
Viacheslav V. Lavrinenko | UA | Kiev | 2014-03-20 / 20140077658 - PIEZOELECTRIC MOTOR WITH EFFICIENT TRANSFER OF ENERGY | 1 |
Andrei B. Lavrov | US | Reston | 2014-05-08 / 20140129277 - FIELD MANAGEMENT AND MOBILE INSPECTION | 1 |
Igor Lavrov | US | Rancho Palos Verdes | 2014-10-23 / 20140316503 - PARYLENE-BASED MICROELECTRODE ARRAY IMPLANT FOR SPINAL CORD STIMULATION | 2 |
Mstislav Igorevich Lavrov | RU | Moscow | 2015-10-01 / 20150274743 - TRICYCLIC DERIVATIVES OF N,N'-SUBSTITUTED 3,7-DIAZABICYCLO [3.3.1]NONANES AND DRUGS BASED THEREON | 2 |
Andrei Lavrov | US | Chevy Chase | 2015-07-09 / 20150193735 - Project Management System Providing Digital Form-Based Inspections in the Field | 2 |
Andrei Lavrov | US | Reston | 2010-04-01 / 20100082842 - COMPUTER PROGRAM PRODUCT, SYSTEM AND METHOD FOR FIELD MANAGEMENT AND MOBILE INSPECTION | 1 |
Egor Lavrov | US | Miami | 2011-11-17 / 20110282747 - System and method for advertising | 2 |
Nick J. Lavrov | US | Aliso Viejo | 2011-07-07 / 20110167245 - Task list generation, parallelism templates, and memory management for multi-core systems | 1 |
Zoya Lavrova | US | Brooklyn | 2012-05-31 / 20120132555 - Method for lubrication of dental floss immediately before using thereof and package kits therefor | 4 |
Olga A. Lavrova | US | Albuquerque | 2014-12-18 / 20140366927 - MULTI-SOURCE OPTIMAL RECONFIGURABLE ENERGY HARVESTER | 1 |
Natalia Lavrovskaya | US | Sunnyvale | 2016-05-19 / 20160141363 - METHOD OF IMPROVING LATERAL BJT CHARACTERISTICS IN BCD TECHNOLOGY | 5 |
Yan Lavrovsky | US | San Diego | 2011-07-21 / 20110178078 - SUBSTITUTED 3-ARYLSULFONYL-PYRAZOLO[1,5-A]PYRIMIDINES, SEROTONIN 5-HT6 RECEPTOR ANTAGONISTS AND METHODS FOR THE PRODUCTION AND USE THEREOF | 2 |
Yan Vadimovich Lavrovsky | US | San Diego | 2011-03-03 / 20110053915 - HETEROCYCLIC INHIBITORS OF AN Hh-SIGNAL CASCADE, MEDICINAL COMPOSITIONS BASED THEREON AND METHODS FOR TREATING DISEASES CAUSED BY THE ABERRANT ACTIVITY OF AN Hh-SIGNAL SYSTEM | 2 |
Yan Lavrovsky | US | Hull | 2010-02-25 / 20100048467 - Cystine-Knot Fold Cytokine | 1 |
Søren Lavrsen | DK | Silkeborg | 2016-03-17 / 20160074424 - Compositions for Use in Restoring Muscle Glycogen and/or Muscle Mass | 1 |
Eric Lavrut | US | Houston | 2011-11-17 / 20110277990 - ANCHORING SYSTEMS FOR DRILLING TOOLS | 3 |
Eric Lavrut | JP | Yokohama | 2010-12-02 / 20100303570 - ADJUSTABLE DRILL BIT | 8 |
Eric Lavrut | FR | Clamart Cedex | 2008-12-25 / 20080314639 - Dual Tractor Drilling System | 1 |
Eric Lavrut | JP | Kanagawa-Ken | 2008-12-25 / 20080314643 - Drilling System and Methods of Drilling Lateral Boreholes | 1 |
Ehud Lavski | IL | Herzelia | 2009-11-26 / 20090289900 - GAME WORLD MANIPULATION | 1 |
Ehud Lavski | IL | Herzlia | 2009-12-03 / 20090293705 - MOBILE MUSICAL GAMING WITH INTERACTIVE VECTOR HYBRID MUSIC | 1 |
Ehud Lavsky | IL | Herzlia | 2009-01-22 / 20090021576 - Panoramic image production | 1 |
Ramana Lavu | US | Ashburn | 2015-12-24 / 20150372822 - DNSSEC SIGNING SERVER | 2 |
Nagendra Lavu | US | Tucker | 2016-04-07 / 20160098731 - DYNAMIC DETERMINATION OF SERVICE ALLOCATION AND FULFILLMENT | 1 |
Lava Lavu | US | North Billerica | 2013-09-26 / 20130254410 - SYSTEM FOR MANAGING SESSIONS AND CONNECTIONS IN A NETWORK | 1 |
Ramana Murthy Lavu | US | Ashburn | 2013-07-04 / 20130173497 - METHODS AND SYSTEMS FOR CREATING NEW DOMAINS | 2 |
Ratnakar Lavu | US | Naperville | 2015-01-29 / 20150032608 - SYSTEM AND METHOD FOR MANAGEMENT OF CREDIT SUBSCRIPTIONS | 3 |
Siva Lavu | US | Worcester | 2008-10-09 / 20080249103 - Sirtuin polymorphisms and methods of use thereof | 1 |
Lava Lavu | US | Bedford | 2015-12-10 / 20150358204 - Method Of Reducing Traffic Loss When Bringing Up A Switch Within A Multi Chassis Switch Cluster Without Using Dedicated Intra Cluster Links | 2 |
Kishore Lavu | US | Santa Clara | 2010-12-30 / 20100330795 - Krypton Sputtering of Low Resistivity Tungsten | 2 |
Eran Lavy | IL | Mobile Post Shikmim | 2014-11-06 / 20140328895 - FILM-FORMING COMPOSITION FOR A PH-DEPENDANT SUSTAINED RELEASE OF THE ACTIVE AGENT | 1 |
Hanan Lavy | IL | Tel-Aviv | 2012-12-13 / 20120317217 - METHODS AND SYSTEMS FOR MANAGING VIRTUAL IDENTITIES | 2 |
Meron Lavy | GB | London | 2009-06-11 / 20090145992 - Sanitary door handle | 1 |
Lev Lavy | IL | Misgav Dov | 2015-08-06 / 20150216442 - MULTILAYER COAXIAL PROBE FOR IMPEDANCE SPATIAL CONTRAST MEASUREMENT | 1 |
Lev Lavy | IL | Emek Sorek | 2015-08-06 / 20150216442 - MULTILAYER COAXIAL PROBE FOR IMPEDANCE SPATIAL CONTRAST MEASUREMENT | 1 |
Dikla Lavy | IL | Beer Sheva | 2010-08-05 / 20100197915 - LAPATINIB INTERMEDIATES | 1 |
Hanan Lavy | IL | Ganei-Tiqva | 2011-02-03 / 20110029618 - METHODS AND SYSTEMS FOR MANAGING VIRTUAL IDENTITIES IN THE INTERNET | 1 |
Jacques Lavy | FR | Serpaize | 2011-11-17 / 20110277453 - METHOD FOR CONTROLLING FRESH AIR INJECTION INTO THE EXHAUST OF AN INTERNAL-COMBUSTION ENGINE, NOTABLY OF A MOTOR VEHICLE | 1 |
Eran Lavy | IL | Modiln | 2014-01-16 / 20140018323 - SUSTAINED-RELEASE INJECTABLE FORMULATION | 1 |
Severine Lavy | DE | Heidelberg | 2013-10-17 / 20130274526 - PROCESS FOR PREPARING POLYETHER POLYOLS | 1 |
Kam Man Law | AU | Sydney | 2015-12-31 / 20150374944 - RESPIRATORY APPARATUS | 2 |
Ming-Fan Law | US | San Diego | 2011-01-13 / 20110009858 - RADIO FREQUENCY-BASED CATHETER SYSTEM WITH IMPROVED DEFLECTION AND STEERING MECHANISMS | 2 |
Margaret T. Law | US | San Francisco | 2012-07-26 / 20120188252 - METHOD AND SYSTEM FOR PRESENTING A VISUAL REPRESENTATION OF THE PORTION OF THE SETS OF DATA THAT A QUERY IS EXPECTED TO RETURN | 1 |
Joseph Law | US | Greer | 2013-07-25 / 20130187382 - METHOD AND APPARATUS FOR CONTROL OF REDUNDANT DEVICES IN A WIND TURBINE | 1 |
Sui Chun Law | HK | Shanti | 2012-04-19 / 20120095684 - METHOD AND DEVICE FOR ENVIRONMENTAL MONITORING | 1 |
Robert James Law | US | Livermore | 2014-12-11 / 20140360606 - Passive Injection of a Chemical Solution into a Process Stream | 1 |
Gary K. Law | US | Georgetown | 2016-04-07 / 20160098037 - DATA PIPELINE FOR PROCESS CONTROL SYSTEM ANAYTICS | 10 |
Ga-Lai Law | US | Berekeley | 2012-07-26 / 20120190012 - COMPOSITIONS AND METHODS FOR DNA SEQUENCING | 1 |
Hung Hi Law | HK | Hong Kong | 2014-04-10 / 20140097796 - Universal Charger | 9 |
Chung-Hsing Law | CN | Shanghai | 2013-08-08 / 20130199632 - BALANCING VALVE IN FAUCET | 1 |
Yu Fai Law | US | Daly City | 2015-10-08 / 20150283312 - APPARATUS AND METHOD FOR MODIFYING PRESSURE-FLOW CHARACTERISTICS OF A PUMP | 5 |
Edward Law | US | Ladera Ranch | 2016-02-18 / 20160049348 - SEMICONDUCTOR BORDER PROTECTION SEALANT | 17 |
William Sauway Law | US | New York | 2011-11-03 / 20110269332 - BREAKAWAY MECHANISM FOR CHARGING CABLES OF ELECTRIC VEHICLE CHARGING STATIONS | 1 |
Colin Robert Law | AU | New South Wales | 2009-12-03 / 20090297145 - Trustworthy optomechanical switch | 1 |
Man-Kay Law | CN | Macau | 2014-08-21 / 20140232465 - FREQUENCY COMPENSATION TECHNIQUES FOR LOW-POWER AND SMALL-AREA MULTISTAGE AMPLIFIERS | 1 |
Kamman Law | AU | Newington | 2014-08-21 / 20140236044 - SAMPLING ASSEMBLY | 1 |
Daniel Ning Geng Law | CN | Beijing | 2012-01-12 / 20120010120 - DELIVERY PARTICLE | 3 |
Harmon F. Law | US | Irvine | 2009-02-05 / 20090034540 - SYSTEM AND METHOD FOR STREAMING VIDEO ON DEMAND (VOD) STREAMS OVER A LOCAL NETWORK | 3 |
Stacey Law | US | Redmond | 2015-06-25 / 20150174496 - TELEVISION VIEWING ON GAMING CONSOLES | 16 |
Shiang F. Law | CA | Toronto | 2009-12-24 / 20090314442 - MANUFACTURING PROCESS FOR HYBRID ORGANIC AND INORGANIC FIBRE-FILLED COMPOSITE MATERIALS | 2 |
Ching Law | CN | Hong Kong | 2015-10-01 / 20150278885 - Placement Attribute Targeting | 3 |
Derek Law | GB | Manchester | 2015-08-27 / 20150238500 - PYRROLE ANTIFUNGAL AGENTS | 2 |
Hock Law | US | Los Angeles | 2013-09-26 / 20130251003 - SYSTEMS AND METHODS FOR INDOOR POSITIONING | 1 |
Hai Yang Law | SG | Singapore | 2015-04-23 / 20150111238 - METHOD FOR DETECTING DISEASE BIOMARKERS | 1 |
Alan Law | GB | Newbury | 2014-03-13 / 20140073342 - COORDINATION AND QoS SCHEDULING | 2 |
Paul William Law | GB | Warwickshire | 2014-03-27 / 20140087106 - BIODEGRADABLE MATERIAL | 2 |
Kwok-Kei Law | CN | Hong Kong | 2011-11-24 / 20110283612 - APPARATUS AND SYSTEM FOR PLANT CULTIVATION | 1 |
Ringo Law | US | San Leandro | 2010-06-10 / 20100145861 - PAYMENT TRANSACTION PROCESSING FOR MOBILE COMPUTING DEVICES | 1 |
Vincent Law | CA | Richmond Hill | 2011-09-08 / 20110215869 - PARTIAL CASCODE IN COMBINATION WITH FULL CASCODE OPERATIONAL TRANSCONDUCTANCE AMPLIFIER | 1 |
Caleb Hoi Kei Law | US | Palo Alto | 2011-11-17 / 20110282565 - SURFACE HOLD ADVISOR USING CRITICAL SECTIONS | 1 |
Cheuk To Law | US | San Francisco | 2016-03-31 / 20160094962 - SYSTEM AND METHOD FOR TRANSMITTING AND RECEIVING MEDIA MESSAGES | 8 |
Patrick Law | US | Milpitas | 2014-03-20 / 20140078158 - SYSTEM AND METHOD FOR CONFIGURING A DISPLAY PIPELINE | 5 |
Anna Hing-Yee Law | CN | Hong Kong | 2014-01-16 / 20140017275 - CORIOLUS VERSICOLOR EXTRACTS, METHODS OF PREPARATION AND USES THEREOF | 2 |
Veronica Y. Law | US | Seattle | 2015-02-19 / 20150050916 - ABOVE-LOCK CAMERA ACCESS | 10 |
Lawrence L. Law | US | Saratoga | 2015-08-20 / 20150234858 - PROVIDING RECORD-LEVEL ALTERNATE-INDEX UPGRADE LOCKING | 2 |
Lawrence L. T. Law | US | Saratoga | 2014-09-18 / 20140279859 - INDEX RECORD-LEVEL LOCKING FOR FILE SYSTEMS USING A B+TREE STRUCTURE | 1 |
Robert Law | US | Seattle | 2014-09-18 / 20140279176 - PROVISION OF REAL-ESTATE MARKET INFORMATION | 1 |
Greg Law | US | Smithfield | 2014-10-09 / 20140302967 - DEVICES AND METHODS FOR DETERMINING THE WEIGHT OF A TREADMILL USER | 3 |
Ching Law | HK | Shatin N.t. | 2013-05-30 / 20130138506 - ESTIMATING USER DEMOGRAPHICS | 1 |
Wing Hei Law | HK | Wanchai | 2014-04-03 / 20140095973 - MECHANISM FOR PARTIAL DOCUMENT RESTORE | 1 |
Douglas A. Law | US | Chapel Hill | 2013-07-11 / 20130179990 - Confidential information access via social networking web site | 9 |
Lai Cheng Law | MY | Bandar Sg Long | 2013-12-26 / 20130341378 - SYSTEM AND METHOD FOR INSPECTING FREE AIR BALL | 1 |
Benjamin Pain-Fong Law | US | Fremont | 2013-02-14 / 20130037932 - Flange for Semiconductor Die | 2 |
Joseph R. Law | US | Greer | 2011-06-23 / 20110146292 - METHOD FOR STARTING A TURBOMACHINE | 2 |
Matthew D. Law | US | Golden | 2011-06-23 / 20110146766 - SOLAR CELLS BASED ON QUANTUM DOT OR COLLOIDAL NANOCRYSTAL FILMS | 1 |
Cameron H. Law | US | Kalispell | 2015-06-11 / 20150159293 - CLOSED LOOP ELECTROLYTE ANALYZER | 1 |
Che-Leung Law | US | Shoreline | 2015-12-31 / 20150376276 - ANTI-NTB-A ANTIBODIES AND RELATED COMPOSITIONS AND METHODS | 13 |
Oscar M.k. Law | TW | Hsin-Chu | 2013-11-28 / 20130316530 - Three-Dimensional Semiconductor Architecture | 7 |
Patrick Y. Law | US | Cupertino | 2016-03-03 / 20160066266 - COLD TEMPERATURE POWER THROTTLING AT A MOBILE COMPUTING DEVICE | 3 |
Chi Keung Law | CN | Hong Kong | 2016-02-04 / 20160032980 - RETAINER FOR A ROLLING BEARING | 2 |
Preston Law | US | Calabasas | 2013-01-31 / 20130031232 - System and Method For Sharing Electronic Information | 1 |
Calvin Cheuen Kam Law | US | Chino | 2014-07-10 / 20140192545 - Aerodynamic Spoiler For Pickup Truck With LED Lights | 1 |
Yuen Mou Law | CN | Hong Kong | 2012-11-22 / 20120292806 - METHOD OF MAKING THREE-DIMENSIONAL ETHYLENE-VINYL ACETATE PRODUCTS | 1 |
Oscar M. K. Law | TW | Hsin-Chu | 2015-12-10 / 20150357240 - Three-Dimensional Semiconductor Architecture | 8 |
Robert Law | GB | Bristol | 2015-04-30 / 20150114775 - LOCKABLE LIDDED CONTAINERS | 3 |
Justin M. Law | US | Maple Valley | 2012-06-07 / 20120143673 - GENERATING KEYBOARD-BASED REQUESTS FOR CONTENT | 2 |
Douglas Law | GB | Plymouth | 2011-06-16 / 20110139464 - Surface Gas Evaluation During Controlled Pressure Drilling | 1 |
Margaret Law | US | San Francisco | 2014-08-21 / 20140237414 - TAB NAVIGATION AND PAGE VIEW PERSONALIZATION | 6 |
Bing Lam Law | HK | Kwai Chung | 2011-11-10 / 20110272619 - PREPARATION FOR APPLICATION ONTO A CELLULOSIC FABRIC OR TEXTILE MATERIAL AND TEXTILE ARTICLES COMPRISING SAME | 1 |
Mark Nicholas Law | US | Gilroy | 2009-01-15 / 20090019182 - BEHAVIORAL PREDICTIONS BASED ON NETWORK ACTIVITY LOCATIONS | 1 |
William Sauway Law | US | San Francisco | 2016-02-25 / 20160051067 - SYSTEMS AND METHODS FOR PROVIDING ARTICULATING POINT-OF-SALE APPARATUSES OR DEVICES | 4 |
Sui Chun Law | US | 2012-07-19 / 20120180655 - AIR TREATMENT APPARATUS AND METHOD | 1 | |
Jennifer S. Law | GB | Glasgow | 2014-07-24 / 20140205665 - BIORESORBABLE MICROPARTICLES | 1 |
Ho Kee Law | US | San Jose | 2013-10-10 / 20130265243 - ADAPTIVE POWER ADJUSTMENT FOR A TOUCHSCREEN | 2 |
Edmund Law | US | Santa Clara | 2011-12-22 / 20110310569 - Method and System For Innovative Substrate/Package Design For A High Performance Integrated Circuit Chipset | 2 |
Chi Man Law | CN | Hong Kong | 2015-10-08 / 20150284251 - Carbon Product | 1 |
Debbie Law | US | Los Gatos | 2014-02-06 / 20140037540 - COMPOSITIONS AGAINST CANCER ANTIGEN LIV-1 AND USES THEREOF | 1 |
Susan Law | AU | Concord West | 2012-02-16 / 20120037808 - Fibre Optic Dosimeter | 3 |
Henry Law | US | Orange | 2015-04-23 / 20150108186 - ELECTRONIC DEVICE CAMERA CASE AND METHOD OF PROVIDING THE SAME | 7 |
Edward Law | US | Ladera Ranch | 2016-02-18 / 20160049348 - SEMICONDUCTOR BORDER PROTECTION SEALANT | 17 |
Pui Chung Simon Law | HK | Hong Kong | 2014-05-15 / 20140131882 - THROUGH-SILICON VIA STRUCTURE WITH PATTERNED SURFACE, PATTERNED SIDEWALL AND LOCAL ISOLATION | 5 |
Che-Leung Law | US | Bothell | 2013-08-15 / 20130209496 - Synergistic Effects Between Auristatin-Based Antibody Drug Conjugates And Inhibitors Of The PI3K-AKT mTOR Pathway | 2 |
Che-Leung Law | US | Shoreline | 2015-12-31 / 20150376276 - ANTI-NTB-A ANTIBODIES AND RELATED COMPOSITIONS AND METHODS | 13 |
Matthew Law | US | Irvine | 2016-04-14 / 20160101988 - METHOD TO SYNTHESIZE COLLOIDAL IRON PYRITE (FeS2) NANOCRYSTALS AND FABRICATE IRON PYRITE THIN FILM SOLAR CELLS | 4 |
Stephen Law | US | Los Altos | 2014-08-07 / 20140219063 - MICROMACHINED ULTRASONIC TRANSDUCER DEVICES WITH METAL-SEMICONDUCTOR CONTACT FOR REDUCED CAPACITIVE CROSS-TALK | 1 |
Honman Law | US | Aliso Viejo | 2010-01-28 / 20100020887 - Multiple time-base clock for processing multiple satellite signals | 1 |
Debbie Law | US | 2012-12-27 / 20120328513 - COMPOSITIONS AGAINST CANCER ANTIGEN LIV-1 AND USES THEREOF | 1 | |
David John Law | US | Seattle | 2015-12-24 / 20150366309 - ONE PIECE CO-FORMED EXTERIOR HARD SHELL CASE WITH AN ELASTOMERIC LINER FOR MOBILE ELECTRONIC DEVICES | 8 |
William Law | US | San Mateo | 2014-11-13 / 20140337958 - SECURITY FRAMEWORK FOR HTTP STREAMING ARCHITECTURE | 1 |
Lap Kong Law | US | Dublin | 2013-10-03 / 20130258859 - METHODS AND APPARATUS FOR SPECTRAL SCANNING WITHIN A NETWORK | 1 |
Hwei Liat Law | MY | Penang | 2015-06-25 / 20150177315 - DYNAMICALLY DETERMINING MEASUREMENT UNCERTAINTY (MU) OF MEASUREMENT DEVICES | 1 |
Edward Law | US | Tracy | 2014-07-31 / 20140210083 - THERMALLY AND ELECTRICALLY ENHANCED BALL GRID ARRAY PACKAGE | 3 |
Wai Kit Law | CN | Hong Kong | 2016-04-28 / 20160113937 - DISCOVERY OF FDA-APPROVED DRUGS AS INHIBITORS OF FATTY ACID BINDING PROTEIN 4 USING MOLECULAR DOCKING SCREENING | 1 |
Mansun Law | US | San Diego | 2011-12-22 / 20110311550 - AGENTS FOR HCV TREATMENT | 2 |
Gary Keith Law | US | Georgetown | 2015-05-07 / 20150127876 - APPARATUS AND METHODS TO COMMUNICATIVELY COUPLE FIELD DEVICES TO CONTROLLERS IN A PROCESS CONTROL SYSTEM | 13 |
Calvin Chuen Kam Law | US | Chino | 2014-08-07 / 20140218899 - LED Light Strip With Detachable LED FlashLights Assembly | 4 |
Wai-Ming Law | HK | Yuen Long | 2012-01-05 / 20120002405 - ILLUMINATING BOOK LIGHT WITH ATTACHABLE HEADS | 2 |
David J. Law | GB | Edinburgh | 2012-12-13 / 20120314564 - CASCADE SYSTEM FOR NETWORK UNITS | 1 |
Perry N. Law | US | Centerville | 2014-08-21 / 20140233026 - SHROUDED SENSOR CLIP ASSEMBLY AND BLOOD CHAMBER FOR AN OPTICAL BLOOD MONITORING SYSTEM | 8 |
Chiu Tai Law | US | Glendale | 2014-05-15 / 20140132256 - CURRENT SENSORS USING MAGNETOSTRICTIVE MATERIAL | 1 |
William Law | US | Corte Madera | 2014-07-10 / 20140195653 - Connected-media end user experience using an overlay network | 1 |
Jason Law | US | Bluffdale | 2016-04-14 / 20160105776 - SYSTEMS AND METHODS FOR ACCESS-CONTROLLED INTERACTIONS | 3 |
Tien Shyang Law | MY | Melaka | 2014-07-10 / 20140192500 - Method of Electrophoretic Depositing (EPD) a Film on an Exposed Conductive Surface and an Electric Component Thereof | 1 |
Brian Law | GB | Leicester | 2011-06-02 / 20110127290 - Dispensing Devices and Methods | 1 |
Zachary Law | US | Gwynedd Valley | 2015-11-05 / 20150316349 - SIGHT ADAPTER FOR USE WITH AK SERIES RIFLES | 4 |
Greg Law | GB | Cambridge | 2015-07-16 / 20150201007 - RECEPTION ACCORDING TO A DATA TRANSFER PROTOCOL OF DATA DIRECTED TO ANY OF A PLURALITY OF DESTINATION ENTITIES | 9 |
Wai Kong (max) Law | HK | Yuk Po Court | 2011-03-03 / 20110052035 - Vessel Extraction Method For Rotational Angiographic X-ray Sequences | 1 |
Harvey Hui-Xiong Law | AU | Balwyn North | 2011-02-10 / 20110031064 - NON-COMBUSTIBLE SOUND-ABSORBING FACING | 1 |
Simon Law | CA | Mississauga | 2015-05-14 / 20150134540 - SYSTEMS AND METHODS FOR FACILITATING A TRANSACTION USING A VIRTUAL CARD ON A MOBILE DEVICE | 7 |
Edwin Law | US | Saratoga | 2009-10-15 / 20090259527 - Multi-Stage Supply Chain Management System With Dynamic Order Placement | 1 |
Sin Leung Law | CA | Richmond Hill | 2008-12-04 / 20080300962 - Lead distribution and tracking with integrated corporate data usage and reporting capabilities | 2 |
Sharon Dawn Law | ZA | Linden | 2009-03-26 / 20090083079 - System and method of processing a health insurance claim | 1 |
Che Seong Law | MY | Penang | 2009-02-05 / 20090033334 - Contact configuration for undertaking tests on circuit board | 1 |
Victor John Law | IE | County Westmeath | 2010-02-11 / 20100033194 - PLASMA SYSTEM AND MEASUREMENT METHOD | 1 |
Barnaby Law | DE | Weyhe | 2010-06-10 / 20100139847 - METHOD FOR PRODUCING A STRUCTURAL COMPONENT | 3 |
Kam Kuen Addy Law | HK | Kowloon | 2012-05-24 / 20120125144 - Jack Handle Systems | 1 |
Yaozhang Dean Randall Law | SG | Singapore | 2013-05-30 / 20130134534 - Magnetoresistive Device | 1 |
Sie Yong Law | SG | Singapore | 2012-01-12 / 20120008771 - Method of Accessing a Data Storage Device | 2 |
Ah Hock Law | SG | Singapore | 2009-06-04 / 20090142951 - Handheld Data-Processing Device and an Accessory Therefor | 4 |
Adrian Wing-Keung Law | SG | Singapore | 2010-09-30 / 20100242575 - PERMEATE FLOW DISTRIBUTION MEASUREMENT IN A MEMBRANE FILTRATION SYSTEM | 1 |
Matt Law | US | Irvine | 2014-04-10 / 20140096814 - METHOD OF INCREASING THE BAND GAP OF IRON PYRITE BY ALLOYING WITH OXYGEN | 2 |
Boon Kiat Law | SG | Singapore | 2015-10-01 / 20150279328 - Multi-Mode Display Sharing | 6 |
Michael J. Law | US | Herndon | 2012-09-13 / 20120232962 - E-BUSINESS VALUE WEB | 2 |
Wing Cheung Law | CN | Kwai Chung | 2008-12-11 / 20080304072 - OPTICAL SENSING DEVICES WITH SPR SENSORS BASED ON DIFFERENTIAL PHASE INTERROGATION AND MEASURING METHOD USING THE SAME | 1 |
Patrick Law | US | Los Angeles | 2011-05-12 / 20110112963 - METHOD AND SYSTEM FOR AUTOMATICALLY HARMONIZING ACCESS TO A SOFTWARE APPLICATION PROGRAM VIA DIFFERENT ACCESS DEVICES | 1 |
Hung-Fai Stephen Law | US | Los Altos | 2015-10-22 / 20150298174 - PIEZOELECTRIC TRANSDUCERS USING MICRO-DOME ARRAYS | 17 |
Robert A. Law | US | Ypsilanti | 2015-08-06 / 20150217159 - LOCKING DEVICE FOR RECUMBENT STEPPER | 1 |
Perry N. Law | US | Centerville | 2014-08-21 / 20140233026 - SHROUDED SENSOR CLIP ASSEMBLY AND BLOOD CHAMBER FOR AN OPTICAL BLOOD MONITORING SYSTEM | 8 |
Karron Louis Law | US | Cheney | 2016-02-04 / 20160033554 - A CORELESS CURRENT PROBE AND A METHOD OF MEASURING CURRENT | 4 |
Siu-Leung Law | CN | Kowloon | 2008-11-20 / 20080282798 - Measuring device | 1 |
Haydn Law | AU | Wembley | 2008-10-02 / 20080241302 - Reticulation System for Composite Component Production | 1 |
Robert A. Law | US | Lacey | 2014-02-13 / 20140046471 - ROBOTIC SCANNING AND PROCESSING SYSTEMS AND METHOD | 1 |
Edwin Law | CN | Hong Kong | 2014-10-30 / 20140323672 - PERFLOUORO-t-BUTOXY ALLYL AND PROPARGYL ETHERS | 1 |
King Yiu Law | HK | Hong Kong | 2011-08-11 / 20110193523 - Charger with Analyzer Arrangement | 1 |
Chad E. Law | US | Milan | 2016-04-14 / 20160104907 - Hydrogen Generator | 10 |
Ho Kee Law | US | Mountain View | 2009-02-12 / 20090043896 - Methods and apparatuses for synchronizing and managing content over multiple devices | 1 |
Brian Chuan Chai Law | US | San Francisco | 2010-01-21 / 20100015303 - FOOD PREPARATION DEVICE AND METHOD | 1 |
John Adam Law | CA | Halifax | 2013-12-26 / 20130345518 - AIRWAY INTUBATION DEVICE | 2 |
Sondra F. Law | US | San Francisco | 2010-03-04 / 20100051746 - SYSTEM AND METHOD FOR INTEGRATING HANDICAPPED ACCESSIBLE SEATS INTO AIRCRAFT INTERIOR CONFIGURATIONS | 1 |
Kam S. Law | US | San Jose | 2013-11-07 / 20130294678 - AUTO-SEQUENCING MULTI-DIRECTIONAL INLINE PROCESSING METHOD | 5 |
John L. Law | CA | Edmonton | 2016-03-10 / 20160067332 - E1E2 HCV VACCINES AND METHODS OF USE | 1 |
Oscar M. K. Law | TW | Hsinchu | 2012-02-02 / 20120025388 - THREE-DIMENSIONAL INTEGRATED CIRCUIT STRUCTURE HAVING IMPROVED POWER AND THERMAL MANAGEMENT | 2 |
Wa-Ming Law | HK | Yuen Long | 2011-10-13 / 20110249429 - METHODS AND APPARATUS FOR ATTACHING A VIEWING AID TO A VISUAL CONTENT SOURCE VIA INTERCHANGEABLE FASTENERS | 1 |
Kenneth Law | US | Castro Valley | 2010-03-11 / 20100060662 - VISUAL IDENTIFIERS FOR VIRTUAL WORLD AVATARS | 1 |
David Law | US | Seattle | 2012-12-20 / 20120317703 - Duty Belt Buckle | 18 |
Alvin Law | CA | Vancouver | 2010-12-09 / 20100308982 - FLOOR-BASED HAPTIC COMMUNICATION SYSTEM | 1 |
Andrew Stephen Law | US | Spokane | 2015-05-14 / 20150128579 - METHOD AND SYSTEM FOR STORING ENERGY | 1 |
Oscar M. K. Law | CA | Markham | 2010-02-25 / 20100045364 - ADAPTIVE VOLTAGE BIAS METHODOLOGY | 1 |
Jim Chi-Yin Law | CA | Mississauga | 2010-01-14 / 20100010932 - SECURE WIRELESS DEPOSIT SYSTEM AND METHOD | 1 |
Peter James Law | CA | Port Coquitlam | 2010-02-18 / 20100041519 - WHEEL ASSEMBLY FOR TRAMPOLINES | 1 |
Derek Law | GB | Bolton | 2011-07-28 / 20110183969 - ANTIFUNGAL COMBINATION THERAPY | 1 |
Hon Shing Eddie Law | HK | Hong Kong | 2009-03-26 / 20090078743 - WIRE BONDING SYSTEM UTILIZING MULTIPLE POSITIONING TABLES | 1 |
Chad E. Law | US | Milan | 2016-04-14 / 20160104907 - Hydrogen Generator | 10 |
Elaine Law | CA | Burnaby | 2016-01-28 / 20160026997 - Mobile Communication Device with Proximity Based Communication Circuitry | 1 |
Brian K. Law | US | Gainesville | 2014-10-30 / 20140323450 - COMBINATION COMPOSITIONS AND METHODS OF TREATMENT | 1 |
Barnaby Law | DE | Hamburg | 2016-02-04 / 20160030781 - Supply System And Method For Providing Electric Energy, Oxygen Depleted Air And Water As Well And Aircraft Having Such A Supply System | 1 |
Kin Lun Law | HK | Hong Kong | 2009-09-24 / 20090241135 - METHOD FOR CREATING A NATIVE APPLICATION FOR MOBILE COMMUNICATIONS DEVICE IN REAL-TIME | 1 |
Man Kay Law | CN | Hong Kong | 2011-12-01 / 20110291807 - Low voltage low power CMOS temperature sensor circuit | 2 |
John D. Law | US | Dundee | 2014-10-30 / 20140319134 - Filler Neck for an Automotive Fluid Container | 1 |
Eric Law | US | East Brunswick | 2016-04-21 / 20160106784 - ANGIOGENESIS USING PLACENTAL STEM CELLS | 10 |
Daniel C. Law | US | Arcadia | 2015-07-16 / 20150200321 - DIRECTLY BONDED, LATTICE-MISMATCHED SEMICONDUCTOR DEVICE | 11 |
David John Law | US | Seattle | 2015-12-24 / 20150366309 - ONE PIECE CO-FORMED EXTERIOR HARD SHELL CASE WITH AN ELASTOMERIC LINER FOR MOBILE ELECTRONIC DEVICES | 8 |
Stephen Law | GB | West Midlands | 2011-09-29 / 20110238025 - Cellulose Ethylsulfonate-Based Absorbent Material | 1 |
Hung Hi Law | HK | Hong Kong | 2014-04-10 / 20140097796 - Universal Charger | 9 |
Eric Law | US | 2015-08-13 / 20150225697 - NATURAL KILLER CELLS AND USES THEREOF | 1 | |
Richard Law | US | Stamford | 2015-08-13 / 20150223957 - Endoscopic Tools for the Removal of Balloon-Like Intragastric Devices | 1 |
Howard Law | US | Brisbane | 2015-08-13 / 20150227609 - AUTOMATIC GROUP FORMATION AND GROUP DETECTION THROUGH MEDIA RECOGNITION | 1 |
Joseph Robert Law | US | Greenville | 2015-08-13 / 20150226129 - Method for Detecting Hazardous Gas Concentrations within a Gas Turbine Enclosure | 1 |
Nicholas Andrew Law | US | Brooklyn | 2015-08-13 / 20150227511 - METHODS FOR GENERATING PHRASES IN FOREIGN LANGUAGES, COMPUTER READABLE STORAGE MEDIA, APPARATUSES, AND SYSTEMS UTILIZING SAME | 1 |
Sie Pook Law | US | San Jose | 2015-12-03 / 20150347025 - HOST-CONTROLLED GARBAGE COLLECTION | 4 |
Linda Mary Law | CH | Geneva | 2010-12-02 / 20100305076 - METHODS OF TREATMENT FOR ULCERATIVE COLITIS | 2 |
Yin Chern Law | ES | Manresa (barcelona) | 2010-07-22 / 20100180381 - Pillow for the prevention of cranial deformities in newborns | 1 |
Chad E. Law | US | Huron | 2010-05-20 / 20100124688 - Regulator Valve for a Fluid Consuming Battery | 2 |
Ruby H.p. Law | AU | Caulfield | 2013-05-30 / 20130137859 - METHODS OF CRYSTALLISING PERFORIN | 1 |
Hing Yee Law | CN | Hong Kong | 2013-06-06 / 20130143961 - ANTI-VIRAL CARBAMIMIDOTHIOIC ACID ESTERS | 2 |
Philip Law | US | Sunnyvale | 2011-10-27 / 20110264557 - SHARING INFORMATION ON A NETWORK-BASED SOCIAL PLATFORM | 8 |
Paul William Law | GB | Balsall Common | 2012-09-20 / 20120238673 - BIO-RESINS | 1 |
Annabeth Law | US | Columbus | 2011-08-04 / 20110190434 - UREA-FORMALDEHYDE RESIN REINFORCED GYPSUM COMPOSITES AND BUILDING MATERIALS MADE THEREFROM | 5 |
Yuen Mou Law | US | 2012-06-07 / 20120137539 - Slipper Body and Slipper with an Ornament | 1 | |
Kwok Yung Anthony Law | HK | Shatin | 2012-02-02 / 20120025408 - Natural mist humidifier | 2 |
David Hin-Sum Law | CA | Edmonton | 2015-08-13 / 20150227649 - WIDE FREQUENCY RANGE MODELING OF ELECTROMAGNETIC HEATING FOR HEAVY OIL RECOVERY | 1 |
Patrick Law | US | Cupertino | 2012-06-14 / 20120147974 - Television Functionality on a Chip | 4 |
David H. Law | US | San Jose | 2012-08-16 / 20120210247 - INTELLIGENT SOCIAL COLLABORATION UNIFIED MEDIA | 1 |
Richard Law | US | Newbury Park | 2014-06-26 / 20140180327 - Endoscopic Tools for the Removal of Balloon-Like Intragastric Devices | 2 |
Malcolm R. Law | GB | London | 2013-08-15 / 20130210778 - FORMULATION FOR THE PREVENTION OF CARDIOVASCULAR DISEASE | 1 |
Chee Soon Law | MY | Melaka | 2015-10-15 / 20150294926 - Module Comprising a Semiconductor Chip | 5 |
Peter K. Law | CA | Richmond Hill | 2015-02-19 / 20150050300 - DISEASE PREVENTION AND ALLEVIATION BY HUMAN MYOBLAST TRANSPLANTATION | 1 |
Hung-Fai Stephen Law | US | Los Altos | 2015-10-22 / 20150298174 - PIEZOELECTRIC TRANSDUCERS USING MICRO-DOME ARRAYS | 17 |
Jimmy Law | CA | Mississauga | 2013-04-04 / 20130085936 - SECURE BILLING SYSTEM AND METHOD FOR A MOBILE DEVICE | 1 |
Kwok Yung Anthony Law | HK | Hong Kong | 2015-01-22 / 20150020389 - OPEN CUTTER FOR A FOIL TYPE SHAVER AND METHOD FOR FABRICATING THE OPEN CUTTER | 1 |
Edmund Law | CN | Hong Kong | 2012-09-27 / 20120241955 - CHIP SCALE PACKAGE ASSEMBLY IN RECONSTITUTION PANEL PROCESS FORMAT | 1 |
Sui Chun Law | HK | Hong Kong | 2012-12-13 / 20120312169 - AIR PURIFICATION DEVICE AND METHOD | 7 |
Linda Mary Law | US | Cincinnati | 2010-01-21 / 20100015111 - Methods And Kits For The Treatment Inhibition, And Maintenance Of Gastrointestinal Disorders | 1 |
Richard Jin Law | US | Newbury Park | 2014-04-03 / 20140093932 - DEVICES, SYSTEMS, AND METHODS FOR THE FABRICATION OF TISSUE UTILIZING UV CROSS-LINKING | 3 |
Robert D. Law | US | Independence | 2009-10-22 / 20090265571 - SYSTEM AND METHOD FOR EMPLOYING AN ON-MACHINE POWER SUPPLY WITH MONITORING AND CONTROL CAPABILITY | 2 |
Yuen Kwan Law | CN | Macau | 2016-04-28 / 20160113922 - Group of Alkaloids, the Novel Autophagic Enhancers for Treatment of Cancers and Neurodegenerative Conditions Thereof | 9 |
Elaine Law | US | Redmond | 2014-06-05 / 20140152693 - CONTROLLING DISPLAY OF COMMAND SPACE USING MULTI-STATE ELEMENT | 1 |
Veronica Y. Law | US | Kirkland | 2014-06-19 / 20140172742 - CRM OFFICE INTEGRATION | 1 |
Kok Leong Law | SG | Singapore | 2012-11-01 / 20120274702 - ANGLED SCRAPER | 2 |
Pui Chung Law | HK | Hong Kong | 2014-01-23 / 20140021596 - WAFER-LEVEL DEVICE PACKAGING | 2 |
David J. Law | US | Livonia | 2010-12-23 / 20100323112 - METHOD FOR IMPROVING SAG RESISTANCE | 1 |
Cecil G. Law | US | Peculiar | / - | 1 |
Robert A. Law | US | Ridgefield | 2010-12-02 / 20100306332 - INFORMATION DELIVERY SYSTEM FOR PROVIDING SENDERS WITH A RECIPIENT'SMESSAGING PREFERENCES | 1 |
Daniel A. Law | US | Washington | 2014-10-09 / 20140302806 - UNIDIRECTIONAL SAMPLING MIXER | 7 |
Edith Law | US | Pittsburgh | 2012-05-31 / 20120135809 - ARRANGEMENT FOR BUILDING AND OPERATING HUMAN-COMPUTATION AND OTHER GAMES | 2 |
Wai Tak Law | US | Moorestown | 2010-02-25 / 20100047848 - Colorimetric determination of somatic cell count in milk | 1 |
Siu Shun Law | HK | Shatin | 2012-08-09 / 20120203461 - METHOD AND DEVICE FOR ENVIRONMENTAL MONITORING | 1 |
Will Law | US | Corte Madera | 2011-07-14 / 20110173345 - Method and system for HTTP-based stream delivery | 1 |
Ricky Law | US | 2011-04-28 / 20110097964 - Portable power-free motion figure | 1 | |
Gerald Law | US | San Jose | 2015-02-12 / 20150046300 - GLOBAL BACK-END TAXONOMY FOR COMMERCE ENVIRONMENTS | 1 |
Kock-Yee Law | US | Penfield | 2015-11-05 / 20150315395 - PROCESS FOR THERMALLY STABLE OLEOPHOBIC LOW ADHESION COATING FOR INKJET PRINTHEAD FRONT FACE | 57 |
Mark Law | US | Rochester | 2011-12-15 / 20110306517 - REDUCING IRF4, DUSP22, OR FLJ43663 POLYPEPTIDE EXPRESSION | 2 |
Yin Chern Law | ES | Manresa | 2014-12-04 / 20140352069 - PILLOW FOR THE TREATMENT AND/OR PREVENTION OF CRANIAL DEFORMITIES IN BABIES AND INFANTS | 1 |
Scott M. Law | US | Madison | 2010-11-11 / 20100285488 - T-STRUCTURE INVASIVE CLEAVAGE ASSAYS, CONSISTENT NUCLEIC ACID DISPENSING, AND LOW LEVEL TARGET NUCLEIC ACID DETECTION | 2 |
Mansun Law | US | La Jolla | 2008-12-04 / 20080299131 - Anti-Viral Compositions | 1 |
Ruby Hong Ping Law | AU | Caulfield | 2010-08-26 / 20100216112 - Crystal structures of both isoforms of human glutamic acid decarboxylase | 1 |
William R. Law | US | Wayne | 2010-10-14 / 20100261666 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF MYOCARDIAL DYSFUNCTION ASSOCIATED WITH SIRS OR SEPSIS | 1 |
Robin K. F. Law | CN | Hong Kong | 2011-12-29 / 20110315081 - SUSCEPTOR FOR PLASMA PROCESSING CHAMBER | 2 |
Perry M. Law | US | Centerville | 2013-12-26 / 20130345529 - SHROUDED SENSOR CLIP ASSEMBLY AND BLOOD CHAMBER FOR AN OPTICAL BLOOD MONITORING SYSTEM | 1 |
Tak Wai Rick Law | HK | Hong Kong | 2009-03-05 / 20090056761 - APPARATUS FOR MAINTAINING A CLEAN BONDING ENVIROMENT | 1 |
Oscar M. K. Law | TW | Hsin-Chu | 2015-12-10 / 20150357240 - Three-Dimensional Semiconductor Architecture | 8 |
Michael J. Law | US | Gainesville | 2014-01-16 / 20140019180 - E-BUSINESS VALUE WEB | 1 |
Michael E. Law | US | Sparta | 2010-10-07 / 20100252472 - Wound Care Kit | 1 |
Tana Law | US | Tigard | 2010-08-12 / 20100205091 - AUTOMATED PAYMENT TRANSACTION SYSTEM | 1 |
David Law | GB | East Lothian | 2013-03-07 / 20130061324 - SIGNATURE CHECKING USING DETERMINISTIC FINITE STATE MACHINES | 2 |
Bi Rong Law | MY | Pontian | 2010-12-02 / 20100301150 - FISHING REEL HANDLE ASSEMBLY | 1 |
Roger W. Law | US | Tualatin | 2010-08-05 / 20100196538 - Methods and apparatus for producing partially hydrolysed proteinaceous products | 1 |
Mark Law | US | Bethlehem | 2013-10-17 / 20130275174 - MOBILE AND/OR CLOUD BASED TOOL FOR ENABLING ACCURATE INFORMATION OF NEW AND RETROFIT PROJECTS | 1 |
Jason Law | US | Cambridge | 2016-04-14 / 20160102087 - COMPOUNDS AND METHODS FOR THE TREATMENT OF ISOCITRATE DEHYDROGENASE RELATED DISEASES | 2 |
David J. Law | US | Brooksville | 2010-07-15 / 20100176186 - Alternative Substrate Envelope Sealing And Closure System | 1 |
Jack D. Law | US | Pocatello | 2013-05-09 / 20130116112 - COMPOSITE MEDIA FOR FLUID STREAM PROCESSING, A METHOD OF FORMING THE COMPOSITE MEDIA, AND A RELATED METHOD OF PROCESSING A FLUID STREAM | 5 |
Eric Law | US | East Brunswick | 2016-04-21 / 20160106784 - ANGIOGENESIS USING PLACENTAL STEM CELLS | 10 |
Hong Law | US | San Diego | 2013-09-26 / 20130247694 - SAMPLE COLLECTION AND TRANSPORT DEVICES, METHODS, AND KITS | 1 |
Ho Kee Law | US | Santa Clara | 2013-06-06 / 20130144940 - METHODS AND APPARATUSES FOR SYNCHRONIZING AND MANAGING CONTENT OVER MULTIPLE DEVICES | 1 |
Gary J. Law | US | Auburn Hills | 2013-03-21 / 20130068502 - DRY DOOR GROMMET | 1 |
Shao Beng Law | SG | Singapore | 2012-10-11 / 20120255586 - APPARATUS AND METHODS FOR CLEANING AND DRYING OF WAFERS | 1 |
Jack D. Law | US | 2010-05-13 / 20100116749 - EXTRACTANT COMPOSITIONS FOR CO EXTRACTING CESIUM AND STRONTIUM, A METHOD OF SEPARATING CESIUM AND STRONTIUM FROM AN AQUEOUS FEED, CALIXARENE COMPOUNDS, AND AN ALCOHOL MODIFIER | 1 | |
Gary K. Law | US | Georgetown | 2016-04-07 / 20160098037 - DATA PIPELINE FOR PROCESS CONTROL SYSTEM ANAYTICS | 10 |
Arnold Law | US | Garland | 2011-11-17 / 20110278068 - REGENERATIVE DRIVE MECHANISM FOR HYDRAULIC FEED CYLINDERS IN HYDROSTATIC OR HYDRAULIC CIRCUITS | 2 |
Joseph Robert Law | US | Greer | 2013-12-05 / 20130318993 - UTILIZATION OF FUEL GAS FOR PURGING A DORMANT FUEL GAS CIRCUIT | 6 |
Philip Law | US | San Jose | 2015-09-17 / 20150262315 - VIEWING SHOPPING INFORMATION ON A NETWORK BASED SOCIAL PLATFORM | 9 |
Kristen J. Law | US | Phoenix | 2015-08-27 / 20150243173 - COLLABORATIVE AVIATION INFORMATION COLLECTION AND DISTRIBUTION SYSTEM | 1 |
Sarah E. Law | US | Denver | 2010-02-25 / 20100045506 - Method And System For Locating Signal Jammers | 1 |
Simon Mong-Lun Law | TW | Hsinchu City | 2015-07-30 / 20150215615 - IMAGE CAPTURING DEVICE AND METHOD FOR CALIBRATING IMAGE DEFECTION THEREOF | 2 |
Ching Law | US | Los Angeles | 2015-03-26 / 20150088896 - CATEGORIZING OBJECTS, SUCH AS DOCUMENTS AND/OR CLUSTERS, WITH RESPECT TO A TAXONOMY AND DATA STRUCTURES DERIVED FROM SUCH CATEGORIZATION | 4 |
Raymond Law | CN | Hong Kong | 2010-12-23 / 20100324459 - CARDIAC SHOCK WAVE MEDICAL INSTRUMENT | 1 |
Yuen Kwan Law | CN | Macau | 2016-04-28 / 20160113922 - Group of Alkaloids, the Novel Autophagic Enhancers for Treatment of Cancers and Neurodegenerative Conditions Thereof | 9 |
Brian Keith Law | US | Gainesville | 2012-06-07 / 20120141491 - METHODS AND COMPOSITIONS FOR THE TREATMENT OF CANCERS AND PATHOGENIC INFECTIONS | 2 |
Matthew G. Law | US | Wichita | 2015-12-03 / 20150345760 - Elastic Band Mount for Lighting Device | 1 |
Garson Law | CA | Edmonton | 2015-06-04 / 20150150239 - CRYOPRESERVATION OF ARTICULAR CARTILAGE | 2 |
Philip Law | US | Sunnyvale | 2011-10-27 / 20110264557 - SHARING INFORMATION ON A NETWORK-BASED SOCIAL PLATFORM | 8 |
David Law | US | Los Angeles | 2014-03-06 / 20140066851 - MEDICAL DEVICE WITH MEMBRANE KEYPAD SEALING ELEMENT, AND RELATED MANUFACTURING METHOD | 1 |
Scott Law | US | Seattle | 2014-09-11 / 20140256630 - VEGF-SPECIFIC CAPTURE AGENTS, COMPOSITIONS, AND METHODS OF USING AND MAKING | 1 |
Simon W. Law | US | Lexington | 2010-02-04 / 20100028327 - NOVEL LOW DENSITY LIPOPROTEIN BINDING PROTEINS AND THEIR USE IN DIAGNOSING AND TREATING ATHEROSCLEROSIS | 1 |
Donald L. Law | US | Post Falls | 2009-12-31 / 20090325252 - Process of treating cellulosic biomass material to produce ethanol | 1 |
Devalina Law | US | Libertyville | 2009-12-10 / 20090306114 - Polymorphic and Other Crystalline Forms of Cis-FTC | 2 |
Kelly Law | HK | Shung Shui | 2012-10-25 / 20120266511 - Display Device, Kit and Assembly | 1 |
Cheuk To Law | US | San Francisco | 2016-03-31 / 20160094962 - SYSTEM AND METHOD FOR TRANSMITTING AND RECEIVING MEDIA MESSAGES | 8 |
Mark Law | US | Woodinville | 2016-04-28 / 20160117741 - SYSTEM AND METHOD FOR ORGANIZING AND PRESENTING MULTIPLE ADVERTISERS IN ONLINE ADVERTISEMENTS | 2 |
Douglas A. Law | US | Chapel Hill | 2013-07-11 / 20130179990 - Confidential information access via social networking web site | 9 |
Michael S. Law | US | Morrisville | 2014-10-02 / 20140297895 - UNIVERSAL SERIAL BUS (USB) KEY FUNCTIONING AS MULTIPLE USB KEYS SO AS TO EFFICIENTLY CONFIGURE DIFFERENT TYPES OF HARDWARE | 6 |
Michael Law | US | Morrisville | 2010-01-07 / 20100005504 - METHOD OF AUTOMATING AND PERSONALIZING SYSTEMS TO SATISFY SECURITYREQUIREMENTS IN AN END-TO-END SERVICE LANDSCAPE | 1 |
Douglas Alan Law | US | Chapel Hill | 2012-06-14 / 20120146772 - CONTROLLING RADIO FREQUENCY IDENTIFICATION TAG SIGNAL RANGE | 2 |
Brian Robert Law | GB | Leicester | 2015-03-19 / 20150078801 - APPLICATORS | 11 |
Bee Khuan Jaslyn Law | SG | Singapore | 2015-11-05 / 20150316777 - METHOD OF FORMING A FILM WITH A LENTICULAR LENS ARRAY | 6 |
Jethro C. Law | US | Austin | 2009-04-30 / 20090108875 - Structure for a Limited Switch Dynamic Logic Cell Based Register | 6 |
Kennith Ray Law | US | Fresno | 2013-02-14 / 20130037413 - CLAM SHELL TWO-PIN WAFER HOLDER FOR METAL PLATING | 2 |
Robert K. Law | US | Richmond | 2010-02-25 / 20100044611 - SYSTEM, METHOD AND APPARATUS FOR SPLIT GATE VALVE WITH MECHANICALLY ISOLATED SEAL SURFACES | 1 |
William Robert Law | GB | Cheshire | 2011-04-07 / 20110080285 - DISTRESS BEACON AND DISTRESS ALARM SYSTEM | 1 |
Bi Rong Law | MY | Bkt Mertajam | 2011-09-29 / 20110233316 - MASTER GEAR ASSEMBLY | 1 |
Jonathan Andrew Law | GB | London | 2010-02-11 / 20100032954 - WIND TURBINE | 1 |
David John Law | GB | Beverley | 2012-06-28 / 20120165570 - PROCESS FOR PRODUCING ACETIC ACID AND DIMETHYL ETHER USING A ZEOLITE CATALYST | 2 |
Alan Law | GB | Hampshire | 2010-11-25 / 20100297994 - CONFIGURATION OF BASE STATION REPEATER | 5 |
Alan Law | GB | Berkshire | 2010-08-26 / 20100214956 - Controlling the use of access points in a telecommunications networks | 1 |
Bibiana Law | US | Tucson | 2015-01-29 / 20150030624 - Campylobacter Immunogenic Compositions and Uses Thereof | 2 |
Greg W. Law | US | Smithfield | 2015-04-30 / 20150119202 - EXERCISE DEVICE WITH BRAKING SYSTEM | 5 |
Brady A. Law | US | San Francisco | 2016-03-24 / 20160084668 - VOICE INSTRUCTIONS DURING NAVIGATION | 7 |
Graham Law | GB | Chesterfield | 2010-08-12 / 20100199589 - CONNECTOR | 1 |
Ching Law | US | Cambridge | 2009-03-12 / 20090070706 - Placement Attribute Targeting | 1 |
Say-Jong Law | US | Westwood | 2009-01-29 / 20090029349 - Novel Applications of Acridinium Compounds and Derivatives in Homogeneous Assays | 2 |
Yuen Mou Law | HK | Tsuen Wan | 2011-07-14 / 20110167680 - Footwear Outsole with Fabric and a Method of Manufacturing Thereof | 2 |
Marilyn Law | US | Glen Ridge | 2009-01-01 / 20090006163 - Method and System for Allocating Member Compensation | 1 |
Richard Law | GB | Oxon | 2015-01-22 / 20150025057 - Triazine-oxadiazoles | 2 |
Donnie B. Law | US | Plantation | 2008-10-23 / 20080259938 - SESSION ANNOUNCEMENT SYSTEM AND METHOD | 1 |
Kung Ying Law | SG | Singapore | 2016-03-03 / 20160059368 - METHOD AND APPARATUS FOR FORMING A HARD DISK DRIVE BASE PLATE WITH AN EXTENDED HEIGHT | 2 |
Brian Robert Law | GB | Leicester | 2015-03-19 / 20150078801 - APPLICATORS | 11 |
Oscar Law | CA | Markham | 2009-06-25 / 20090160531 - MULTI-THRESHOLD VOLTAGE-BIASED CIRCUITS | 1 |
Stephen Law | GB | Nuneaton | 2016-04-28 / 20160114074 - ABSORBENT MATERIAL | 2 |
David Law | US | Livonia | 2008-09-04 / 20080210266 - Purge solution | 1 |
Ellick C. Law | US | Woodstock | 2008-09-04 / 20080216089 - CHECKPOINT/RESUME/RESTART SAFE METHODS IN A DATA PROCESSING SYSTEM TO ESTABLISH, TO RESTORE AND TO RELEASE SHARED MEMORY REGIONS | 1 |
Wing Law | US | Cupertino | 2015-11-26 / 20150335888 - TRANSDERMAL NEUROSTIMULATOR ADAPTED TO REDUCE CAPACITIVE BUILD-UP | 6 |
Malcolm Law | GB | West Sussex | 2015-10-01 / 20150279378 - LOSSLESS EMBEDDED ADDITIONAL DATA | 5 |
Kamman Law | AU | Strathfield | 2014-11-06 / 20140330217 - RETRACTABLE SYRINGE NEEDLE | 1 |
Kwok Wai William Law | CA | Scarborough | 2013-05-23 / 20130128054 - System and Method for Controlling Fixtures Based on Tracking Data | 1 |
Brian R. Law | GB | Leicester | 2010-04-15 / 20100089945 - AIRLESS DISPENSING PUMP | 3 |
Choung-Sing Law | HK | Hong Kong | 2013-02-28 / 20130053425 - Method for Lowering Intraocular Pressure Using Gap Junction Blockers | 1 |
Barbara Law | US | Fayetteville | 2014-05-08 / 20140128676 - Non-Rebreathing Mask With Closeable Aperture and Auxilliary Flow Tube | 1 |
James Merrill Law | US | Fultonville | / - | 1 |
Daniel C. Law | US | Arcadia | 2015-07-16 / 20150200321 - DIRECTLY BONDED, LATTICE-MISMATCHED SEMICONDUCTOR DEVICE | 11 |
Gary Keith Law | US | Georgetown | 2015-05-07 / 20150127876 - APPARATUS AND METHODS TO COMMUNICATIVELY COUPLE FIELD DEVICES TO CONTROLLERS IN A PROCESS CONTROL SYSTEM | 13 |
Robert Kevin Law | US | Houston | 2015-05-28 / 20150144823 - DUAL SEAL FIRE SAFE STEM PACKING ORIENTATION | 1 |
Kamman Law | NZ | Auckland | 2016-02-11 / 20160038706 - BREATHING ASSISTANCE APPARATUS | 7 |
Gim Hoong Erica Law | GB | Cambridge | 2010-03-18 / 20100068739 - PH Tolerant Luciferase | 1 |
Ching Law | US | Mountain View | 2011-01-20 / 20110015992 - ESTIMATING STATISTICS FOR ONLINE ADVERTISING CAMPAIGNS | 1 |
Richard Jin Law | US | Stamford | 2016-03-17 / 20160074558 - DEVICES, SYSTEMS, AND METHODS FOR THE FABRICATION OF TISSUE | 2 |
Hock Law | US | San Diego | 2014-03-20 / 20140079051 - SYSTEMS AND METHODS FOR NETWORKED WEARABLE MEDICAL SENSORS | 3 |
Amanda J. Law | US | Washington | 2011-12-01 / 20110294803 - PHOSPHATIDYLINOSITOL-3-KINASE P110 DELTA-TARGETED DRUGS IN THE TREATMENT OF CNS DISORDERS | 1 |
Ricky Law | US | Monterey Park | 2011-01-20 / 20110014848 - Motion character figure | 1 |
Stephen John Law | GB | Nuneaton | 2010-01-21 / 20100015208 - ANTIBACTERIAL WOUND DRESSING | 1 |
Debbie Law | US | San Francisco | 2011-02-17 / 20110038864 - Antibodies Against Cancer Antigen TMEFF2 and Uses Thereof | 6 |
Veronica Law | US | Seattle | 2009-05-21 / 20090132938 - SKINNING SUPPORT FOR PARTNER CONTENT | 1 |
Peter Law | US | Seattle | 2012-11-08 / 20120283409 - COMPUTATIONALLY DESIGNED INHIBITORS OF AMYLOIDOSIS | 2 |
Kock-Yee Law | US | Penified | 2012-11-01 / 20120274706 - PATTERNED METALLIZATION ON POLYIMIDE APERTURE PLATE FOR LASER-ABLATED NOZZEL | 1 |
David J. Law | US | Seattle | 2009-10-08 / 20090250362 - Protective Transport Bag | 2 |
Ellick Chi-Lick Law | US | Woodstock | 2011-09-22 / 20110231845 - I/O AGENT ASSIGNMENT FOR JOBS USING AN MPI LIBRARY | 1 |
Gary Law | US | Georgetown | 2015-11-26 / 20150338836 - METHODS AND APPARATUS TO CONFIGURE PROCESS CONTROL SYSTEMS BASED ON GENERIC PROCESS SYSTEM LIBRARIES | 7 |
Elaine Y. Law | US | Redmond | 2015-12-24 / 20150370771 - ACCESSING AN OUT-SPACE USER INTERFACE FOR A DOCUMENT EDITOR PROGRAM | 5 |
Calvin Chuen Kam Law | US | Diamond Bar | 2013-06-20 / 20130155713 - Flexible Light Bar With Epoxy | 1 |
David W. Law | US | Seattle | 2010-04-08 / 20100088376 - Obtaining content and adding same to document | 2 |
Veronica Y. Law | US | Seattle | 2015-02-19 / 20150050916 - ABOVE-LOCK CAMERA ACCESS | 10 |
Clyde Law | US | Seattle | 2014-11-27 / 20140351225 - ALTERNATE DATA STREAM CACHE FOR FILE CLASSIFICATION | 5 |
Ringo Law | US | Dublin | 2015-01-29 / 20150032829 - IDENTIFYING RELEVANT CONTENT IN EMAIL | 1 |
Brian Law | GB | Leicestershire | 2009-09-03 / 20090218008 - DISPENSERS E.G. FOR COSMETICS | 1 |
Garrett Law | US | Los Angeles | 2015-05-28 / 20150150033 - SYSTEM AND METHOD FOR BUILDING AND TRACKING AUDIENCE SEGMENTS | 1 |
Tyson Law | US | Los Angeles | 2015-05-28 / 20150150033 - SYSTEM AND METHOD FOR BUILDING AND TRACKING AUDIENCE SEGMENTS | 1 |
Wai Kwok Law | US | Point Roberts | 2008-09-18 / 20080225928 - Pseudorandom Noise Code Acquisition in Direct Sequence Spread Spectrum Systems | 1 |
Sui Chun Law | HK | Shatin | 2014-10-23 / 20140311339 - AIR PURIFICATION SYSTEM | 1 |
William Q. Law | US | Beaverton | 2015-07-30 / 20150212185 - METHOD FOR PROBE EQUALIZATION | 1 |
Pui Kwan Law | GB | Maidenhead | 2009-07-09 / 20090175264 - User interface | 1 |
Ka Ming Law | HK | Kowloon | 2014-10-09 / 20140303535 - Posture Correction Girdle and the Method of Correcting Spinal Deformity | 1 |
Teen Onn Law | AU | Launceston | 2014-10-02 / 20140290301 - PERSONAL COOLING UNIT USING PHASE CHANGE MATERIAL | 1 |
David Law | US | Chatsworth | 2012-04-19 / 20120092264 - MEDICAL DEVICE WITH MEMBRANE KEYPAD SEALING ELEMENT, AND RELATED MANUFACTURING METHOD | 1 |
Andrew Ryan Law | US | Cincinnati | 2014-10-09 / 20140303743 - INNER ACETABULAR LINER FOR A DUAL MOBILITY FEMORAL HEAD CONSTRUCT | 1 |
David John Law | GB | East Yorkshire | 2015-12-31 / 20150375217 - DEHYDRATION-HYDROLYSIS PROCESSES AND CATALYSTS THEREFOR | 15 |
Philip Law | US | San Jose | 2015-09-17 / 20150262315 - VIEWING SHOPPING INFORMATION ON A NETWORK BASED SOCIAL PLATFORM | 9 |
Jonathan Mark Law | GB | Hull | 2014-10-30 / 20140319173 - Cream Dispenser | 2 |
Gregory Edward Warwick Law | GB | Cambridge | 2012-04-19 / 20120096441 - SYSTEM AND METHOD FOR DEBUGGING OF COMPUTER PROGRAMS | 2 |
Chad Law | US | Milan | 2014-12-25 / 20140377677 - Fuel Unit For Hydrogen Generator | 1 |
Stacey Wing Yin Law | US | Redmond | 2012-06-21 / 20120159327 - REAL-TIME INTERACTION WITH ENTERTAINMENT CONTENT | 1 |
Greg Law | GB | Cambridge | 2015-07-16 / 20150201007 - RECEPTION ACCORDING TO A DATA TRANSFER PROTOCOL OF DATA DIRECTED TO ANY OF A PLURALITY OF DESTINATION ENTITIES | 9 |
Brandon Law | US | Altadena | 2014-10-09 / 20140300069 - Configurable Cart | 1 |
Janice Law | GB | Surrey | 2014-07-03 / 20140188555 - IDENTIFYING AND MANAGING STRATEGIC PARTNER RELATIONSHIPS | 1 |
Neil Law | GB | Dundee | 2014-11-20 / 20140339301 - Media Management | 3 |
Kincho H. Law | US | Cupertino | 2013-02-14 / 20130038217 - Tiered Sensing and Resource Allocation System for Energy Use Optimization in Commercial Buildings | 1 |
Jen Thai Law | AU | Cabramatta | 2013-02-14 / 20130037467 - Fluid Flow Distribution Device | 1 |
Lai Cheng Law | MY | Cheras | 2014-12-25 / 20140374467 - CAPILLARY BONDING TOOL AND METHOD OF FORMING WIRE BONDS | 3 |
Henry Hing Law | CA | Scarborough | 2015-09-10 / 20150256668 - MANAGING FEATURES ASSOCIATED WITH A USER EQUIPMENT BASED ON A LOCATION OF THE USER EQUIPMENT WITHIN A VEHICLE | 4 |
Malcolm Law | GB | Steyning | 2016-01-07 / 20160005411 - VERSATILE MUSIC DISTRIBUTION | 2 |
Kam M. Law | US | San Diego | 2009-08-06 / 20090198669 - CONFIGURATION-BASED SEARCH | 1 |
Stanley Law | CN | Shenzhen | 2015-04-23 / 20150113252 - THREAD CONTROL AND CALLING METHOD OF MULTI-THREAD VIRTUAL PIPELINE (MVP) PROCESSOR, AND PROCESSOR THEREOF | 1 |
Victor John Law | IE | Moate | 2011-03-10 / 20110056272 - SYSTEM FOR ANALYSING PLASMA | 1 |
Edward Law | US | Ladera Rance | 2013-11-28 / 20130314120 - WAFER LEVEL PACKAGE RESISTANCE MONITOR SCHEME | 1 |
Choi Look Law | SG | Singapore | 2011-10-27 / 20110260551 - CIRCUIT AND METHOD FOR GENERATING A PULSE SIGNAL | 1 |
Ruediger Lawaczek | DE | Berlin | 2009-09-24 / 20090240142 - SYSTEMS AND METHOD OF DELIVERING FLUIDS TO A PATIENT OF VARYING CONCENTRATION | 1 |
Gafar Lawal | US | Woodinville | 2011-10-13 / 20110252148 - Methods, Systems, and Software for Providing Service Integration Framework | 1 |
Oliver Lawal | US | Fort Mill | 2011-08-25 / 20110204254 - ULTRAVIOLET TREATMENT CHAMBERS WITH FORCED-ORIENTATION LAMP AND SOCKET ASSEMBLY | 1 |
Gafar Lawal | US | Plainfield | 2010-02-04 / 20100030899 - Methods, Systems, and Software for Providing Service Integration Framework | 1 |
Adeniyi Lawal | US | Livingston | 2011-03-31 / 20110077440 - Process for Producing Alkyl Substituted Indanes | 1 |
Gafar F. Lawal | US | Woodinville | 2011-10-20 / 20110258635 - Data Services Framework Visibility Component | 2 |
Oliver Lawal | US | Walton | 2014-11-27 / 20140346364 - FLUID DIAGNOSTIC DEVICES AND METHODS OF USING THE SAME | 2 |
Andreas Lawall | DE | Berlin | 2016-02-18 / 20160045969 - METHOD FOR PRODUCING A COMPONENT OF A VACUUM INTERRUPTER | 5 |
Cornelia Lawall | AT | Wien | 2011-04-28 / 20110096535 - ESCALATOR OR MOVING WALKWAY WITH LED ILLUMINATION | 1 |
Jennifer P. Lawall | US | Waterford | 2015-12-31 / 20150377267 - ELASTICALLY AVERAGED ALIGNMENT SYSTEMS AND METHODS | 61 |
John Lawall | US | Bethesda | 2009-06-11 / 20090147267 - Laser doppler vibrometer employing active frequency feedback | 1 |
Hans P. Lawall | US | Waterford | 2011-11-24 / 20110285120 - DEPLOYABLE PROTECTION SYSTEM FOR VEHICLES WITH OVERHEAD GLASS | 4 |
Shilpa Lawande | US | Littleton | 2012-09-27 / 20120246147 - MODULAR QUERY OPTIMIZER | 5 |
Joseph S. Lawandus | US | Bainbridge Island | 2014-11-20 / 20140344695 - INVITATION TO PARTICIPATE BASED ON USER GENERATED CONTENT | 1 |
Nabil M. Lawandy | US | Saunderstown | 2016-04-21 / 20160107204 - SYSTEM FOR CLEANING PHOTO CATALYTIC BANKNOTES | 16 |
Nabil Lawandy | US | Saunderstown | 2016-03-31 / 20160090696 - SYSTEMS AND METHODS FOR REVERSING BANKNOTE LIMPNESS | 11 |
Nabil Lawandy | US | Saunderstown | 2016-03-31 / 20160090696 - SYSTEMS AND METHODS FOR REVERSING BANKNOTE LIMPNESS | 11 |
Kenneth A. Lawas | US | Kensington | 2009-08-06 / 20090195311 - INDEPENDENT DOMINANT POLE COMPENSATION OF TWO LOOPS USING ONE COMPENSATION ELEMENT | 2 |
Michael Lawder | US | Austin | 2014-02-20 / 20140052645 - MULTI-CHANNEL CUSTOMER SUPPORT AND SERVICE | 1 |
Matthew Lawder | US | St. Louis | 2014-05-15 / 20140136169 - SYSTEMS AND METHODS FOR IMPROVING BATTERY PERFORMANCE | 1 |
Jonathan K. Lawder | GB | London | 2015-03-19 / 20150082164 - GUI CONFIGURATION | 1 |
Harold Lawenthal | IL | Tel-Aviv | 2009-05-14 / 20090122710 - EVENT CORRELATION USING NETWORK DATA FLOW SIMULATION OVER UNMANAGED NETWORK SEGMENTS | 1 |
David Lawes | GB | Hampshire | 2012-05-31 / 20120134236 - Subsea Measurement System And Method Of Determining A Subsea Location-Related Parameter | 1 |
Simon Lawes | GB | Dursley | 2015-04-02 / 20150093207 - Deep hole drill tool | 2 |
Kate Lawes | US | Austin | 2014-04-10 / 20140100569 - BIPOLAR FORCEPS HAVING MONOPOLAR EXTENSION | 4 |
Roland Lawes | PA | Santiago | 2015-12-10 / 20150353169 - FLOATING CANAL SYSTEM FOR SEAS WITH TENDENCY TO FREEZE | 3 |
Keith Trevor Lawes | GB | Poole | 2009-02-26 / 20090050089 - Rotary Valve Internal Combustion Engine | 1 |
Keith Trevor Lawes | GB | Dorset | 2008-09-18 / 20080224418 - Rotary Valve Internal Combustion Engine and Seal Arrangement | 1 |
Kate R. Lawes | US | Austin | 2012-06-14 / 20120150170 - Method and System for Controlling Output of RF Medical Generator | 3 |
Roland Lawes | PA | Cerro Largo De Ocu | 2008-08-21 / 20080196444 - Pumpless absorption refrigerator using a jet | 1 |
Keith Lawes | GB | Wimborne | 2015-07-23 / 20150204235 - ROTARY VALVE INTERNAL COMBUSTION ENGINE | 3 |
Simon Lawes | GB | Cornwall | 2014-06-19 / 20140169900 - Vibration damper for hole machining apparatus | 1 |
James Lawes | GB | Gloucestershire | 2014-06-19 / 20140169900 - Vibration damper for hole machining apparatus | 1 |
Keith Lawes | GB | Lymington | 2014-11-06 / 20140327160 - CARBURETOR | 1 |
Roland Lawes | PA | Santigo | 2012-12-13 / 20120312016 - Geothermal Energy Method and Apparatus | 2 |
Bruce Lawford | AU | Mt. Gravatt East | 2009-03-19 / 20090075827 - DIAGNOSTIC METHODS AND AGENTS | 1 |
Gary John Lawford | NZ | Wellsford | 2014-12-25 / 20140374332 - STORM WATER FILTER AND COMPONENTS THEREOF AND METHODS OF INSTALLATION AND USE | 1 |
Bruce Robert Lawford | AU | Queensland | 2009-11-26 / 20090291432 - Genetic profiles associated with the 957C>T polymorphism in the DRD2 gene | 1 |
Ivan C. Lawford | GB | Gillingham | 2012-01-05 / 20120003110 - PUMP FOR DOSING FLUIDS | 1 |
Charles C. Lawhead | US | Boulder | 2012-03-15 / 20120061369 - MULTIPLE HEATER CONTROL SYSTEM WITH EXPANDABLE MODULAR FUNCTIONALITY | 1 |
Jeffrey D. Lawhead | US | Olathe | 2009-03-05 / 20090056777 - Lighted Cane | 1 |
Leif E. Lawhite | US | North Thetford | 2010-12-30 / 20100328912 - Method for Mechanical Packaging of Electronics | 1 |
Niels Lawhite | US | Somerville | 2012-11-22 / 20120294121 - Transducer for Phased Array Acoustic Systems | 10 |
Niels Lawhite | US | Somerville | 2012-11-22 / 20120294121 - Transducer for Phased Array Acoustic Systems | 10 |
Dustin E. Lawhon | US | Lilesville | 2016-01-28 / 20160024831 - DOOR CLOSER | 1 |
Robert L. Lawhon | US | Andover | 2014-07-24 / 20140202510 - TENT ELECTRICAL SYSTEM | 6 |
Gerald Lawhon | US | Alexander | 2015-12-17 / 20150360598 - TRUCK BED EXTENDER DEVICE | 1 |
Dustin Lawhon | US | Lilesville | 2016-04-07 / 20160097230 - APPARATUS AND METHOD FOR ROTATING TUBE ADJUSTMENT AND VISUALLY INDICATING SPRING FORCE IN A DOOR OPERATOR OR CLOSER | 2 |
Tracy Lawhon | US | Encinitas | 2013-06-13 / 20130150378 - SOLID STATE FORMS OF MACROCYCLIC KINASE INHIBITORS | 7 |
Brian Griffin Lawhorn | US | King Of Prussia | 2012-12-27 / 20120329784 - COMPOUNDS AND METHODS | 2 |
Thomas Lawhorn | US | San Antonio | 2014-06-12 / 20140163487 - COMBINED SOLUTION PUMP AND STORAGE SYSTEM FOR USE WITH A REDUCED-PRESSURE TREATMENT SYSTEM | 1 |
Mark Lawhorn | US | London | 2015-04-09 / 20150097052 - SPRINKLER SYSTEM WITH BLOWOUT FEATURE | 1 |
Richard C. Lawhorn | US | Knoxville | 2009-09-24 / 20090238924 - Method for Manufacturing a Decorative Pizza | 1 |
Thomas P. Lawhorn | US | San Antonio | 2011-07-14 / 20110172614 - SYSTEM AND METHOD FOR DISTINGUISHING LEAKS FROM A DISENGAGED CANISTER CONDITION IN A REDUCED PRESSURE TREATMENT SYSTEM | 2 |
Tom Lawhorn | US | San Antonio | 2015-01-15 / 20150018786 - WOUND-CONNECTION PADS FOR FLUID INSTILLATION AND NEGATIVE PRESSURE WOUND THERAPY, AND SYSTEMS AND METHODS | 1 |
Thomas Paul Lawhorn | US | San Antonio | 2015-03-12 / 20150073363 - REDUCED PRESSURE TREATMENT SYSTEM HAVING BLOCKAGE CLEARING AND DUAL-ZONE PRESSURE PROTECTION CAPABILITIES | 6 |
Brian Lawhorn | US | King Of Prussia | 2010-12-16 / 20100317697 - Renin Inhibitors | 5 |
Steve L. Lawhorne | US | Charlottesville | 2015-04-02 / 20150093224 - TENNIS BALL COLLECTION DEVICE | 2 |
France Law-How-Hung | US | Mason | 2016-03-17 / 20160080463 - Methods and Systems for Dynamically Generating and Reusing Dynamic Web Content | 2 |
Hanna Lawicka | PL | Poznan | 2013-10-31 / 20130284364 - Adhesives For Construction Materials Such As Tiles | 1 |
Hoa La Wilhelm | US | Arlington | 2016-03-03 / 20160058321 - SYSTEM AND METHOD FOR IDENTIFYING A LANDMARK | 7 |
Laurie Lawin | US | New Brighton | 2016-02-04 / 20160032238 - CONICAL DEVICES FOR THREE-DIMENSIONAL AGGREGATE(S) OF EUKARYOTIC CELLS | 3 |
Laurie R. Lawin | US | St. Paul | 2011-03-03 / 20110054417 - BIOACTIVE AGENT RELEASE COATING AND CONTROLLED HUMIDITY METHOD | 2 |
Laurie R. Lawin | US | New Brighton | 2014-07-24 / 20140205849 - BRUSH POLYMER COATING BY IN SITU POLYMERIZATION FROM PHOTOREACTIVE SURFACE | 9 |
Mirko Lawin | DE | Meiningen | 2016-03-24 / 20160087749 - Method and Communication Control Device for Establishing a Communication Channel in a Communication Network | 6 |
Laurie R. Lawin | US | New Brighton | 2014-07-24 / 20140205849 - BRUSH POLYMER COATING BY IN SITU POLYMERIZATION FROM PHOTOREACTIVE SURFACE | 9 |
T. Max Lawing | US | Vero Beach | 2013-02-14 / 20130036643 - REVOLVER WITH AMMUNITION CLIP | 1 |
Nicholas B. Lawing | US | Swarthmore | 2015-12-03 / 20150347544 - Online Platform for Design, Creation, Maintenance, and Information Sharing of a Garden | 2 |
Danny Lawing | US | Greenville | 2009-11-05 / 20090272098 - Primary Manifold Dual Gas Turbine Fuel System | 1 |
Andrew Scott Lawing | US | Newark | 2016-02-04 / 20160030923 - Porous Media Compositions and Methods for Producing the Same | 1 |
Michael T. Lawing | US | Yorktown | 2008-11-20 / 20080283623 - WATER EVAPORATION SYSTEM USING NOZZLES ATTACHED TO A SUSPENDED CABLE | 1 |
Shai Lawit | US | Urbandale | 2015-12-17 / 20150361442 - USE OF DIMERIZATION DOMAIN COMPONENT STACKS TO MODULATE PLANT ARCHITECTURE | 2 |
Shai J. Lawit | US | Urbandale | 2015-09-03 / 20150247157 - SOMATIC OVULE SPECIFIC PROMOTER AND METHODS OF USE | 13 |
Karolina Lawitz | SE | Lund | 2012-07-12 / 20120178726 - NOVEL COMPOUNDS | 8 |
Karolina Lawitz | SE | Lund | 2012-07-12 / 20120178726 - NOVEL COMPOUNDS | 8 |
Karolina Lawitz | SE | Limhamn | 2014-02-13 / 20140044746 - MONOMERIC AND MULTIMERIC IMMUNOGENIC PEPTIDES | 1 |
Brian Lawler | US | San Francisco | 2015-01-15 / 20150019668 - METHODS AND APPARATUS FOR TARGETING COMMUNICATIONS USING SOCIAL NETWORK METRICS | 10 |
Duncan Lawler | US | Bothell | 2014-04-17 / 20140104197 - MULTI-MODAL USER EXPRESSIONS AND USER INTENSITY AS INTERACTIONS WITH AN APPLICATION | 1 |
Paul E. Lawler | US | Alpharetta | 2013-09-05 / 20130231980 - EDUCATION ORGANIZATION ANALYSIS AND IMPROVEMENT SYSTEM | 2 |
Richard John Lawler | US | Dubuque | 2014-04-10 / 20140096870 - METHOD, APPARATUS, AND SYSTEM FOR CONTROLLING A TIMBER-WORKING DEVICE | 1 |
John Fitzgerald Lawler | US | Dallas | 2015-12-10 / 20150354454 - APPARATUS AND SYSTEM FOR COMPRESSOR CLEARANCE CONTROL | 2 |
Robert Lawler | US | Philadelphia | 2012-09-27 / 20120243137 - GRADED RESISTANCE SOLID STATE CURRENT CONTROL CIRCUIT | 1 |
Michael Jon Lawler | US | Haymarket | 2015-07-16 / 20150199381 - SYSTEM FOR ANALYSIS AND GEOSPATIAL VISUALIZATION | 1 |
Stephen Lawler | US | Redmomd | 2014-09-11 / 20140254921 - PROCEDURAL AUTHORING | 1 |
Geoff Lawler | US | Baltimore | 2011-10-20 / 20110258315 - NETWORK ANALYSIS SYSTEM AND METHOD UTILIZING COLLECTED METADATA | 1 |
John Lawler | US | Bellevue | 2013-09-12 / 20130238496 - SYSTEM AND METHOD FOR DOMAIN LEASING, ACQUISITION AND DEVELOPMENT INCORPORATING A VIRTUAL CURRENCY PLATFORM | 1 |
Thomas Lawler | US | Saginaw | 2014-09-18 / 20140261541 - SMART PHONE CARRYING CASE | 1 |
John W. Lawler | US | Swampscott | 2014-09-18 / 20140271641 - THROMBOSPONDIN-1 POLYPEPTIDES AND METHODS OF USING SAME | 1 |
John Lawler | US | North Potomac | 2012-11-08 / 20120279238 - METHOD FOR CONTROLLING FROST ON A HEAT TRANSFER DEVICE | 1 |
Jeffrey M. Lawler | US | Newark | 2012-12-06 / 20120307419 - Polytetrafluoroethylene Film Capacitor | 1 |
William B. Lawler | US | Annapolis | 2015-06-25 / 20150177383 - SYSTEM FOR LASER DETECTION WITH ENHANCED FIELD OF VIEW | 1 |
James E. Lawler | US | Madison | 2014-11-13 / 20140333197 - Compositions of Mercury Isotopes for Lighting | 1 |
Tim Lawler | US | South Rockwood | 2014-11-13 / 20140332332 - ORIFICE DISC FOR REGULATING FLOW IN DAMPER | 1 |
Ian Lawler | US | Menlo Park | 2008-11-20 / 20080288548 - Desensitizing data in cloning | 1 |
Andrea Lawler | US | Los Angeles | 2014-09-18 / 20140267771 - GAZE TRACKING AND RECOGNITION WITH IMAGE LOCATION | 1 |
Andrew J. Lawler | US | Macungie | 2015-10-08 / 20150286941 - SELECTING A WINDOW TREATMENT FABRIC | 4 |
John Lawler | US | San Francisco | 2013-07-04 / 20130167798 - Methods and Systems for Managing a Clearance Gap in a Piston Engine | 11 |
Brian Lawler | US | San Francisco | 2015-01-15 / 20150019668 - METHODS AND APPARATUS FOR TARGETING COMMUNICATIONS USING SOCIAL NETWORK METRICS | 10 |
Jane M. Lawler | US | Lexington | 2016-03-03 / 20160062757 - VIRTUAL APPLIANCE DEPLOYMENT | 5 |
Mark R. Lawler | AU | Largs | 2014-03-27 / 20140083774 - DRILLING TOOL CHANGER APPARATUS | 1 |
Christopher Lawler | US | Wellesley | 2010-08-26 / 20100218231 - DETERMINISTICALLY SKEWING TRANSMISSION OF CONTENT STREAMS | 8 |
Michael John Lawler | IE | County Kildare | 2012-05-10 / 20120110742 - SUPPORT DEVICE FOR SUPPORTING A PART OF THE BODY OF A SUBJECT ON A SUPPORT PLATFORM, AND A KIT OF PARTS AND A METHOD FOR SUPPORTIING A SUBJECT IN A SUPINE STATE ON A SUPPORT PLATFORM | 1 |
Brian Patrick Lawler | US | San Francisco | 2014-04-17 / 20140108142 - ADVERTISEMENT CAMPAIGN SYSTEM USING SOCIALLY COLLABORATIVE FILTERING | 3 |
Bruce D. Lawler | US | Kirkwood | 2011-12-01 / 20110294494 - PREDICTIVE WAKEUP FOR PUSH-TO-TALK-OVER-CELLULAR (POC) CALL SETUP OPTIMIZATIONS | 6 |
David E. Lawler | US | Bloomington | 2008-08-21 / 20080200909 - Laser fiber holder | 1 |
Andrew Leslie Lawler | GB | Bucks | 2015-06-25 / 20150175266 - Seating System and a Passenger Accommodation Unit for a Vehicle | 6 |
Justin Lawler | IE | County Dublin | 2010-02-11 / 20100033194 - PLASMA SYSTEM AND MEASUREMENT METHOD | 1 |
Matthew H. Lawler | US | Homergeln | 2008-09-18 / 20080223625 - Measuring Device, Such as a Scale or Medical Scale | 1 |
Mark Lawler | IE | Dublin | 2010-04-22 / 20100099082 - Allele Detection | 1 |
Todd John Lawler | AU | Taringa | 2010-09-16 / 20100229433 - MOUNTING PIN ASSEMBLY FOR AN EXCAVATOR WEAR MEMBER | 1 |
Duncan Murray Lawler | US | Bothell | 2015-12-24 / 20150371439 - Addiing Custom Content To Mapping Applications | 2 |
Terry E. Lawler | US | Baldwin | 2015-05-14 / 20150133868 - HIGH STRENGTH SUTURE WITH ABSORBABLE CORE AND SUTURE ANCHOR COMBINATION | 5 |
Michael Andrew Lawler | US | Troy | 2009-05-21 / 20090127119 - ELECTRONIC COMPONENTS ASSOCIATED AND APPARATUS FOR DEIONIZATION AND ELECTROCHEMICAL PURIFICATION AND REGENERATION OF ELECTRODES | 1 |
Matthew H. Lawler | US | Homerglen | 2009-06-04 / 20090139776 - Scale | 1 |
Mark A. Lawler | US | Lewisville | 2015-02-12 / 20150046275 - SYSTEM AND METHOD FOR PROGRAMMING POINT OF SALE DEVICES | 4 |
Matthew M. Lawler | US | Jamaica Plain | 2009-08-27 / 20090215029 - METHODS OF ISOLATING AND PURIFYING NUCLEIC ACID-BINDING BIOMOLECULES AND COMPOSITIONS INCLUDING SAME | 1 |
Kinton Lawler | US | Houston | 2010-04-08 / 20100086364 - Subsea Suction Pile Crane System | 1 |
Matthew Lawler | US | Palo | 2010-07-08 / 20100170318 - ELBOW FORMATION APPARATUS | 1 |
Stephen Lawler | US | Kirkland | 2015-11-12 / 20150326783 - THREE DIMENSIONAL IMAGE CAPTURE SYSTEM FOR IMAGING BUILDING FACADES USING A DIGITAL CAMERA, A NEAR-INFRARED CAMERA, AND LASER RANGE FINDER | 4 |
Stephen L. Lawler | US | Redmond | 2015-04-16 / 20150106370 - AUTOMATIC GENERATION OF MARKERS BASED ON SOCIAL INTERACTION | 29 |
Patricia Jane Lawler | US | Bradford | 2012-06-21 / 20120158746 - GEOSPATIAL OBJECT PROPERTY ASSESSMENT APPARATUS, ASSESSMENT SYSTEM, EDITOR APPARATUS AND METHOD OF ASSESSING PROPERTY OF A GEOSPATIAL OBJECT | 1 |
Kinton Lawler | US | Fulshear | 2010-07-22 / 20100180915 - Subsea Conduit Cleaning Skid and Method | 4 |
Stephen L. Lawler | US | Kirkland | 2014-06-26 / 20140180833 - Path Queries | 3 |
Sean E. Lawler | US | Columbus | 2010-10-28 / 20100273258 - Interactive Microenvironment System | 2 |
Lee F. Lawler | US | Bethel | 2010-11-11 / 20100286295 - SILICONE SURFACTANT FOR USE IN POLYURETHANE FOAMS PREPARED USING VEGETABLE OIL BASED POLYOLS | 1 |
Brian Lawler | US | 2011-02-17 / 20110040844 - Communication Systems and Methods with Social Network Filtering | 1 | |
Rebecca J. Lawler | US | Malvern | 2011-02-24 / 20110047083 - COMPUTER SYSTEM AND METHOD FOR NEGOTIATING A PRICE OF A RENTAL PROPERTY | 1 |
Thomas S. Lawler | US | Houston | 2011-03-31 / 20110078682 - Providing Object-Level Input/Output Requests Between Virtual Machines To Access A Storage Subsystem | 1 |
William B. Lawler | US | Edgewater | 2011-04-14 / 20110085155 - LADAR TRANSMITTING AND RECEIVING SYSTEM AND METHOD | 1 |
Stephen Lawler | DE | Berlin | 2015-05-28 / 20150148061 - GEOFENCES FROM CONTEXT AND CROWD-SOURCING | 1 |
Cody T. Lawler | US | Upper St. Clair | 2015-06-11 / 20150163652 - Gas Monitor, System and Method | 2 |
Kinton Lowell Lawler | US | Fulshear | 2014-06-12 / 20140158161 - SUBSEA CONDUIT CLEANING SKID AND METHOD | 4 |
Stephen Lawler | US | Redmond | 2015-10-29 / 20150310662 - PROCEDURAL AUTHORING | 2 |
John Lawler | US | San Francisco | 2013-07-04 / 20130167798 - Methods and Systems for Managing a Clearance Gap in a Piston Engine | 11 |
Michael F. Lawler | US | Half Moon Bay | 2009-08-27 / 20090214205 - COMMUNICATIONS AND DATA LINK JAMMER INCORPORATING FIBER-OPTIC DELAY LINE TECHNOLOGY | 1 |
Elizabeth Lawler | US | Weston | 2015-12-03 / 20150350214 - INDIVIDUALIZED AUDIT LOG ACCESS CONTROL FOR VIRTUAL MACHINES | 3 |
Dennis Lawler | US | Ofallon | 2013-03-28 / 20130078732 - METHODS FOR DIAGNOSING IMPENDING JOINT FAILURE | 1 |
Bryan Keith Lawler | US | Ringgold | 2015-02-19 / 20150047623 - Oven Appliance and a Method for Manufacturing a Cooking Chamber of an Oven Appliance | 1 |
Steve Lawler | US | Murrieta | 2012-01-12 / 20120006524 - OPTIMIZED TUBE BUNDLE CONFIGURATION FOR CONTROLLING A HEAT EXCHANGER WALL TEMPERATURE | 1 |
Matthew Lawler | US | Madison | 2016-04-21 / 20160106854 - Methods And Compositions For Templated Assembly Of Nucleic Acid Specific Heterocompounds | 1 |
Brian P. Lawler | US | San Francisco | 2011-02-03 / 20110029935 - METHOD AND APPARATUS FOR DETECTING UNDESIRED USERS USING SOCIALLY COLLABORATIVE FILTERING | 1 |
Robert E. Lawler | US | Louisville | 2015-03-12 / 20150068068 - SKI BOOT ACCESSORY | 2 |
Margaret J. Lawler | US | Orem | 2014-11-06 / 20140329208 - COMPUTER-IMPLEMENTED COMMUNICATION ASSISTANT FOR THE HEARING-IMPAIRED | 1 |
Casimir E. Lawler, Jr. | US | Boise | 2015-08-13 / 20150228166 - ENVIRONMENTAL DATA COLLECTION | 7 |
Kristopher F. Lawler, Jr. | US | Cary | 2014-09-25 / 20140285878 - Polarization Conversion Systems with Polarization Gratings and Related Fabrication Methods | 2 |
George Vincent Lawler, Jr. | US | Cromwell | 2015-07-23 / 20150202502 - BALL RETRIEVING RETRACTABLE CLAW | 1 |
Robert Houston Lawler, Jr. | US | Latham | 2014-12-25 / 20140377592 - ENERGY STORAGE DEVICE ASSEMBLY | 2 |
Robert Houston Lawler, Jr. | US | Pleasant Valley | 2014-12-25 / 20140377623 - ENERGY STORAGE DEVICE ASSEMBLY | 1 |
John Joseph Lawless | US | Austin | 2010-10-07 / 20100253850 - VIDEO PRESENTATION SYSTEM | 1 |
David R. Lawless | US | Clinton | 2016-04-21 / 20160107917 - Fused Silica Furnace System & Method For Continuous Production of Fused Silica | 1 |
Devon Mack Lawless | US | Provo | 2015-12-03 / 20150348401 - Universal Multi-Function Wall Switch | 1 |
Kevin Wilson Lawless | US | Hilton Head Island | 2013-08-22 / 20130213764 - MOBILIZATION DEVICE | 3 |
Lawrence M. Lawless | US | Chesterfield | 2016-03-31 / 20160090486 - COMPOSITIONS AND COATINGS WITH NON-CHROME CORROSION INHIBITOR PARTICLES | 5 |
Lawrence Michael Lawless | US | St. Louis | 2015-08-27 / 20150240378 - DIRECT ELECTROCHEMICAL SYNTHESIS OF DOPED CONDUCTIVE POLYMERS ON METAL ALLOYS | 1 |
Barry A. Lawless | US | Milford | 2015-12-17 / 20150361296 - PROCESS FOR THE PREPARATION OF A REACTION PRODUCT OF A CYCLIC UREA AND A MULTIFUNCTIONAL ALDEHYDE | 6 |
Darren Francis Lawless | CA | Oakville | 2009-02-19 / 20090045128 - Filter For Removing Sediment From Water | 1 |
Derek Lawless | US | Fairview | 2014-09-18 / 20140278497 - Accident Claims Management System | 1 |
Lane Lawless | US | Tulsa | 2010-09-02 / 20100218912 - METHOD, APPARATUS, HEADER, AND COMPOSITION FOR GROUND HEAT EXCHANGE | 1 |
Darren F. Lawless | CA | Oakville | 2013-08-22 / 20130213226 - UNSTEADY-STATE GAS PERMEATION PROCESS | 1 |
Rene Lawless | US | Pennington | 2008-11-27 / 20080294440 - METHOD AND SYSTEM FOR ASSESSING PRONUNCIATION DIFFICULTIES OF NON-NATIVE SPEAKERSL | 1 |
Philip Lawless | US | Durham | 2011-07-14 / 20110167932 - COARSE PARTICLE EXPOSURE MONITOR | 1 |
Brian Patrick Lawless | US | Hawthorn Woods | 2010-05-20 / 20100124591 - Food Package for Segregating Ingredients of a Multi-Component Food Product | 3 |
Darren Francis Lawless | US | 2009-07-23 / 20090184049 - Trap for Removing Material from a Fluid Stream | 1 | |
Darren Francis Kevin Lawless | CA | Oakville | 2009-02-19 / 20090045149 - Filter For Removing Sediment From Water | 1 |
Charles B. Lawless | US | Winnetka | 2015-02-19 / 20150051945 - SYSTEM AND METHOD FOR IDENTIFYING POTENTIAL FUTURE INTERACTION RISKS BETWEEN A CLIENT AND A PROVIDER | 2 |
Ray Lawless | IE | Ashbourne | 2009-01-15 / 20090014973 - Movable Support for a Waste Container | 1 |
Michael Lawless | US | Boulder | 2014-09-11 / 20140258292 - Apparatus, system, and method for integrating content and content services | 4 |
Paul Lawless | IE | Dublin | 2015-09-24 / 20150269571 - Method and System for Facilitating Payments on a Payment Card Network | 3 |
Bernard Harold Lawless | US | West Chester | 2013-10-03 / 20130260168 - COMPONENT HOLE TREATMENT PROCESS AND AEROSPACE COMPONENT WITH TREATED HOLES | 2 |
Barry Lawless | US | Milford | 2013-08-22 / 20130217824 - NON-ETHERIFIED REACTION PRODUCT OF A CYCLIC UREA AND A MULTIFUNCTIONAL ALDEHYDE | 1 |
Seamus Lawless | IE | Co. Wicklow | 2014-08-07 / 20140222773 - A NETWORK SYSTEM FOR GENERATING APPLICATION SPECIFIC HYPERMEDIA CONTENT FROM MULTIPLE SOURCES | 1 |
Deborah L. Lawless | US | Portland | 2015-08-27 / 20150237957 - Multi-Component Sole Structure Having an Auxetic Configuration | 1 |
Robert J. Lawless | US | Acworth | 2009-06-11 / 20090145720 - FREEWHEEL FLOW TRACK SYSTEMS | 1 |
Gregory B. Lawless | US | Century | 2011-04-21 / 20110093404 - SYSTEMS AND METHODS FOR FACILITATING INTERACTIONS BETWEEN DATA SUBSCRIBERS AND USERS SHARING A HEALTH CONDITION | 1 |
William N. Lawless | US | Westerville | 2009-06-18 / 20090156408 - HIGH TEMPERATURE SUPERCONDUCTING DIELECTRIC CERAMIC INSULATION | 2 |
Robert J. Lawless | US | Apopka | 2010-03-11 / 20100061299 - DYNAMIC NETWORKING SPECTRUM REUSE TRANSCEIVER | 1 |
John L. Lawless | US | Pacifica | 2012-11-08 / 20120279633 - DEVICE AND METHOD FOR QUANTIFYING A SURFACE'S CLEANLINESS | 3 |
Robert Joseph Lawless | US | Acworth | 2008-09-11 / 20080217143 - Adaptable Freewheel Flow Track Systems, Methods, and Apparatus | 1 |
Darren Lawless | CA | Oakville | 2008-12-11 / 20080302725 - Modulated Bundle Element | 1 |
Jennifer S. Lawless | US | Winnetka | 2015-02-19 / 20150051945 - SYSTEM AND METHOD FOR IDENTIFYING POTENTIAL FUTURE INTERACTION RISKS BETWEEN A CLIENT AND A PROVIDER | 2 |
Patrick B. Lawless | US | Pismo Beach | 2013-02-21 / 20130044430 - FAN ASSEMBLY FOR RACK OPTIMIZED SERVER COMPUTERS | 2 |
Larry Curtis Lawley | US | Weatherford | 2012-09-06 / 20120222605 - Stitch Pattern and Method of Embroidering | 1 |
Trevor D. Lawley | GB | London | 2016-01-28 / 20160022746 - METHODS AND GROUPS | 1 |
Michael John Lawley | AU | Brisbane | 2013-06-06 / 20130144828 - MODIFICATION OF DESCRIPTION LOGIC EXPRESSIONS | 1 |
Michael Lawley | US | New York | 2013-09-19 / 20130241933 - METHODS AND SYSTEMS FOR PROVIDING INTEREST RATE SIMULATION DISPLAYS | 1 |
Derek Trent Lawley | NZ | Auckland | 2012-10-04 / 20120247055 - STRUCTURAL UNIT COMPRISING A TRUSS AND FIBROUS CEMENTITIOUS SLAB BUILDING ELEMENT CONNECTED TOGETHER | 1 |
William Scott Lawley | US | Palo Alto | 2009-05-21 / 20090132432 - COMMODITY, PRICE AND VOLUME DATA SHARING SYSTEM FOR NON-PUBLICLY TRADED COMMODITIES | 1 |
James Lawley | GB | Shropshire | 2012-10-18 / 20120265520 - TEXT PROCESSOR AND METHOD OF TEXT PROCESSING | 1 |
James Martin Lawlis | US | Grosse Pointe Farms | 2010-03-04 / 20100052536 - AMBIENT LED LIGHTING SYSTEM AND METHOD | 2 |
V. Bryan Lawlis | US | San Mateo | 2013-12-05 / 20130324965 - Preservative-Free Follicle Stimulating Hormone Solution Delivery Device | 2 |
G. Frank Lawlis | US | City Of Sanger | 2014-02-20 / 20140052033 - DEVICE AND METHOD FOR PULSED ACOUSTICAL STIMULATION OF THE BRAIN | 1 |
Peter A. Lawlis | US | Troy | 2010-12-23 / 20100321945 - VEHICULAR GRAPHICS PROJECTION SYSTEM | 1 |
T. Frank Lawlis | US | City Of Celina | 2014-02-20 / 20140052033 - DEVICE AND METHOD FOR PULSED ACOUSTICAL STIMULATION OF THE BRAIN | 1 |
Ryan James Lawliss | US | Spring Valley | 2014-08-28 / 20140238428 - Nose and Ear Trimmer for Disposable Razor | 1 |
Paul Lawlor | GB | Nottingham | 2009-03-26 / 20090083848 - PRINTING METHOD | 1 |
Larry Lawlor | CA | Camlachie | 2010-06-03 / 20100133150 - Use of A Fluorocarbon Polymer as A Surface Of A Vessel or Conduit Used In A Paraffinic Froth Treatment Process For Reducing Fouling | 1 |
Vincent Patrick Lawlor | AU | Balmian | 2010-02-25 / 20100045749 - Thermal Bend Actuator Comprising Bilayered Passive Beam | 1 |
Michael J. Lawlor | US | Mequon | 2009-05-21 / 20090127421 - ADJUSTABLE BEVERAGE CONTAINER HOLDER BY GEAR ACTUATION | 1 |
Vincent Patrick Lawlor | US | 2010-09-16 / 20100231652 - INKJET NOZZLE ASSEMBLY HAVING BILAYERED PASSIVE BEAM | 1 | |
Michael W. Lawlor | US | Malden | 2011-11-03 / 20110268736 - METHOD FOR TREATING CONGENITAL MYOPATHY | 1 |
Martin Edward Lawlor | US | Rochester | 2012-09-20 / 20120239648 - System And Method For The Creation And Access Of Dynamic Course Content | 2 |
Michael J. Lawlor | US | Chicago | 2014-11-06 / 20140325853 - Line-Of-Sight Blower For A Power Tool | 13 |
Patrick J. Lawlor | IE | Clontarf | 2014-11-20 / 20140341250 - TEMPERATURE SENSOR SYSTEM FOR A VEHICLE | 2 |
Jay S. Lawlor | US | Hillsboro | 2014-06-05 / 20140156896 - ADVANCED PROGRAMMABLE INTERRUPT CONTROLLER IDENTIFIER (APIC ID) ASSIGNMENT FOR A MULTI-CORE PROCESSING UNIT | 2 |
Michael David Lawlor | US | Seymour | 2012-10-04 / 20120252817 - DERIVATIVES OF 6,7-DIHYDRO-5H-IMIDAZO[1,2-a]IMIDAZOLE-3-CARBOXYLIC ACID AMIDES | 4 |
Elizabeth Jane Lawlor | US | Malvern | 2009-04-09 / 20090092592 - Methionyl tRNA Synthetase Polynucleotides | 1 |
Heather Lawlor | US | Gaithersburg | 2014-01-23 / 20140024076 - Expression Of Soluble Viral Fusion Glycoproteins In Mammalian Cells | 1 |
Margaret Lawlor | GB | Dundee | 2012-03-15 / 20120064533 - ASSAY FOR DETECTING VITAMIN D AND ANTIBODIES THEREFOR | 1 |
Shawn P. Lawlor | US | Bellevue | 2016-02-04 / 20160032825 - GAS TURBINE ENGINE WITH SUPERSONIC COMPRESSOR | 9 |
Aimee Lawlor | US | Strongsville | 2010-12-09 / 20100312723 - PAINT HARDENING COMPOSITIONS AND ENVIRONMENTALLY CONSCIOUS METHODS FOR RECYCLING PAINT AND PAINT CONTAINERS | 1 |
Michael W. Lawlor | US | Elm Grove | 2015-06-04 / 20150152170 - METHODS FOR INCREASING MUSCLE CONTRACTILITY | 1 |
Patrick Lawlor | IE | Dublin | 2012-12-06 / 20120310519 - ACCESSORY MOUNTING SYSTEM FOR A VEHICLE | 1 |
Michael Lawlor | US | Chicago | 2015-12-03 / 20150345761 - ADJUSTABLE LUMINAIRE | 2 |
Shawn Lawlor | US | Redmond | 2016-02-11 / 20160039017 - Tool With Linear Drive Mechanism | 1 |
Lawrence J. Lawlor | CA | Camlachie | 2010-03-25 / 20100072109 - REMOVAL OF ELEMENTAL SULFUR IN PIPELINES USING STATIC MIXERS | 1 |
Vincent Patrick Lawlor | IE | Dublin | 2016-05-12 / 20160129688 - METHOD OF EJECTING INK DROPLETS HAVING VARIABLE DROPLET VOLUMES | 19 |
Michael V. Lawlor | IE | Limerick | 2011-06-09 / 20110137331 - Perfusion device | 1 |
Ciaran Lawlor | US | Cambridge | 2016-02-11 / 20160038612 - FORMULATION AND DELIVERY OF MODIFIED NUCLEOSIDE, NUCLEOTIDE, AND NUCLEIC ACID COMPOSITIONS | 2 |
Joseph F. Lawlor | IE | County Laoise | 2009-05-21 / 20090128433 - Antenna assembly | 1 |
Kevin B. Lawlor | US | Wellesley | 2015-06-04 / 20150150336 - PRONATION CORRECTION | 3 |
Joseph A. Lawlor | US | Medina | 2016-02-04 / 20160031470 - Stroller | 2 |
Patrick Lawlor | IE | Clontarf | 2009-12-03 / 20090295181 - ACCESSORY MODULE SYSTEM FOR A VEHICLE WINDOW | 1 |
Thomas Lawlor | IE | Limerick | 2015-10-29 / 20150307274 - WEIGHING SYSTEM FOR A FRONT-END-LOADING WASTE-HAULING VEHICLE | 1 |
Vincent Patrick Lawlor | IE | Dublin | 2016-05-12 / 20160129688 - METHOD OF EJECTING INK DROPLETS HAVING VARIABLE DROPLET VOLUMES | 19 |
Shawn P. Lawlor | US | Bellevue | 2016-02-04 / 20160032825 - GAS TURBINE ENGINE WITH SUPERSONIC COMPRESSOR | 9 |
Gerald P. Lawlor | US | New York | 2011-06-23 / 20110153521 - SYSTEMS AND METHODS FOR SWAP CONTRACTS MANAGEMENT WITH A DISCOUNT CURVE FEEDBACK LOOP | 1 |
Michael John Lawlor | US | West Hartford | 2015-11-05 / 20150317739 - SYSTEM AND METHOD FOR VEHICLE REPAIR COST ESTIMATE VERIFICATION | 1 |
Robert Lawlor | IE | County Kildare | 2009-03-05 / 20090060207 - METHOD AND SYSTEM FOR SOUND SOURCE SEPARATION | 1 |
Adam S. Lawlor | AU | Maylands | 2014-05-08 / 20140123978 - CURVED VORTEX TUBE | 1 |
Timothy T. Lawlor | US | West Lafayette | 2011-03-24 / 20110071237 - Flame Resistance Natural Fiber-Filled Thermoplastics with Improved Properties | 1 |
Vincent Patrick Lawlor | AU | Balmain | 2011-03-03 / 20110050806 - CRACK-RESISTANT THERMAL BEND ACTUATOR | 16 |
Timothy Lawlor | US | Huntington | 2012-06-14 / 20120148362 - Transport System for Heavy-Duty Equipment | 1 |
Josh Lawlor | US | Mesa | 2010-01-21 / 20100011527 - CHANNEL GUARD PROTECTOR FOR A SQUEEGEE | 1 |
Patrick J. Lawlor | IE | Dublin | 2015-11-19 / 20150329063 - ACCESSORY MOUNTING SYSTEM FOR A VEHICLE | 1 |
Michael J. Lawlor | US | Chicago | 2014-11-06 / 20140325853 - Line-Of-Sight Blower For A Power Tool | 13 |
Tom Lawlor | IE | Co. Limerick | 2012-11-15 / 20120285750 - WEIGHT MEASUREMENT SYSTEM FOR ACCURATELY DETERMINING THE WEIGHT OF MATERIAL IN A CONTAINER BEING LIFTED | 1 |
Patricia Lawman | US | Tampa | 2014-12-25 / 20140377172 - Novel Antibody Recognizing a Small Subset of Human Hematopoietic Cells | 2 |
Michael J. P. Lawman | US | Tampa | 2012-11-15 / 20120289456 - ANTIMICROBIAL PROTEIN COMPOSITIONS AND USES THEREOF | 1 |
Michael Lawman | US | Tampa | 2011-06-30 / 20110160124 - ANTIMICROBIAL PROTEIN COMPOSITIONS AND PRODUCTION THEREFOR FROM MARINE BACTERIA | 1 |
Michael J.p. Lawman | US | Tampa | 2014-12-25 / 20140377172 - Novel Antibody Recognizing a Small Subset of Human Hematopoietic Cells | 2 |
John O. Lawmaster | US | Bartlesville | 2009-12-31 / 20090326168 - Multiple component feed methods and systems | 1 |
Richard M. Lawn | US | San Francisco | 2008-09-18 / 20080227099 - COMPOSITIONS AND METHODS FOR INCREASING CHOLESTEROL EFFLUX AND RAISING HDL USING ATP BINDING CASSETTE TRANSPORTER PROTEIN ABC1 | 1 |
Jonathan Lawn | GB | Enfield | 2013-09-05 / 20130232273 - SIP Media Retry | 1 |
Martin Walter Lawn | NZ | Arrowtown | 2012-03-22 / 20120070229 - APPARATUS FOR SUPPORTING THE HULL OF A VESSEL | 1 |
James William Lawn | GB | Portskewett | 2014-11-20 / 20140339225 - FREIGHT CONTAINER AND METHODS OF LIFTING A FREIGHT CONTAINER | 1 |
John Lawn | US | Manilus | 2015-08-13 / 20150226598 - Weighing System | 1 |
Pablo Lawner | US | Sherman Oaks | 2013-06-27 / 20130166029 - Anchoring Device and System for an Intervertebral Implant, Intervertebral Implant and Implantation Instrument | 1 |
Pablo Lawner | US | Riverside | 2015-09-17 / 20150257896 - Anchoring Device and System for an Intervertebral Implant, Intervertebral Implant and Implantation Instrument | 2 |
Neil O. Lawner | US | New York | 2012-10-11 / 20120258417 - ELASTIC BANDS FOR ORTHODONTIC USE | 1 |
Mary M. Lawnick | US | Olney | 2014-08-07 / 20140222458 - METHOD AND APPRATUS FOR COLLECTING AND ANALYZING SURFACE WOUND DATA | 1 |
Gary P. Lawniczak | US | Rochester | 2014-05-01 / 20140118757 - METHOD OF PRINTING A PANORAMIC PRINT | 22 |
Gary P. Lawniczak | US | Rochester | 2014-05-01 / 20140118757 - METHOD OF PRINTING A PANORAMIC PRINT | 22 |
Jonathan Edward Lawniczak | US | Kingsport | 2011-11-17 / 20110282049 - LOW MOLECULAR WEIGHT CELLULOSE MIXED ESTERS AND THEIR USE AS LOW VISCOSITY BINDERS AND MODIFIERS IN COATING COMPOSITIONS | 4 |
David James Lawor | US | Bloomfield Hills | 2015-10-08 / 20150283969 - RADAR MOUNTING DEVICE | 2 |
Steven Scott Lawrance | US | San Francisco | 2011-10-06 / 20110246540 - Method and system for automatically updating a software QA Test repository | 1 |
Steven S. Lawrance | US | San Francisco | 2015-10-22 / 20150304305 - MANAGING ACCESS TO AN ON-DEMAND SERVICE | 6 |
Joseph A. Lawrance | US | Braintree | 2011-02-10 / 20110035343 - METHOD AND SYSTEM OF DETERMINING A PRIORITIZED LIST OF USERS RELATED TO A GIVEN GOAL | 1 |
Steven Lawrance | US | San Francisco | 2014-03-06 / 20140068416 - SYSTEM AND METHOD FOR AUTOMATICALLY INSERTING CORRECT ESCAPING FUNCTIONS FOR FIELD REFERENCES IN A MULTI-TENANT COMPUTING ENVIRONMENT | 5 |
Jenny Lawrance | US | Redmond | 2013-08-01 / 20130198511 - IMPLICIT SSL CERTIFICATE MANAGEMENT WITHOUT SERVER NAME INDICATION (SNI) | 1 |
Jerry K. Lawrence | US | Lake Sherwood | 2009-10-15 / 20090259440 - PART STANDARD GEOMETRY MANAGEMENT IN A COMPUTER AIDED DESIGN SYSTEM | 1 |
Rick Eugene Lawrence | US | Blue Earth | 2016-05-05 / 20160120117 - AGRICULTURAL CROP APPLICATION SYSTEM | 1 |
Joseph Cajetan Lawrence | US | Boulder | 2014-11-20 / 20140344331 - SELECTING A CONTENT PROVIDING SERVER IN A CONTENT DELIVERY NETWORK | 3 |
Charles B. Lawrence | US | Rockville | 2009-03-05 / 20090063259 - INFORMATION SYSTEM FOR BIOLOGICAL AND LIFE SCIENCES RESEARCH | 1 |
Richard M. Lawrence | US | Seattle | 2008-12-04 / 20080300963 - System and Method for Long Term Forecasting | 1 |
Christopher Gregory Lawrence | US | Kirkland | 2015-07-30 / 20150215888 - METHOD FOR CATEGORIZATION OF MULTIPLE PROVIDERS IN A WIRELESS COMMUNICATION SERVICE ENVIRONMENT | 4 |
Mark Andrew Lawrence | US | Bainbridge Island | 2010-06-10 / 20100141670 - Color Packing Glyph Textures with a Processor | 1 |
Mark David Lawrence | US | Duvall | 2010-07-08 / 20100175105 - Systems and Processes for Managing Policy Change in a Distributed Enterprise | 1 |
Cindy Lawrence | US | University Place | 2011-06-02 / 20110128384 - SYSTEMS AND METHODS FOR RECEIVING INFRARED DATA WITH A CAMERA DESIGNED TO DETECT IMAGES BASED ON VISIBLE LIGHT | 2 |
Sarah Lawrence | US | Renton | 2015-02-26 / 20150057029 - Location Based Geo-Reminders | 2 |
Eric Lawrence | US | Huntington Beach | 2009-11-05 / 20090272277 - Vacuum Pressing Platen Assembly and Method for Adjustment | 1 |
Loren Lawrence | US | Rogers | 2010-05-27 / 20100127870 - Detecting Loading and Unloading of Material | 2 |
Jeanne B. Lawrence | US | Mapleville | 2014-10-02 / 20140294785 - DOSAGE COMPENSATING TRANSGENES AND CELLS | 5 |
Eric A. Lawrence | US | Madrid | 2011-10-20 / 20110253613 - CONTAMINANT REMOVAL SYSTEM UTILIZING DISC FILTER | 2 |
Peter John Lawrence | US | Hudson | 2010-03-11 / 20100063982 - ONTOLOGICAL DATABASE DESIGN | 1 |
Kimberly Lawrence | US | Foster City | 2010-08-12 / 20100205078 - PUSH PAYMENT SYSTEM AND METHOD INCLUDING BILLING FILE EXCHANGE | 1 |
Carolyn Lawrence | US | Boston | 2014-04-03 / 20140093923 - Methods and Compositions for the Extracellular Transport of Biosynthetic Hydrocarbons and Other Molecules | 2 |
James Lawrence | US | Henderson | 2015-02-05 / 20150035845 - System and Method for Sprite Capture and Reproduction | 9 |
Paul Daniel Lawrence | US | San Jose | 2015-12-24 / 20150372810 - Gesture-based password entry to unlock an encrypted device | 1 |
Jason A. Lawrence | US | Owasso | 2016-05-19 / 20160136881 - Top-Loading Straddle-Mounted Pipe Fusion Machine | 4 |
Michael William Lawrence | US | Lexington | 2009-04-16 / 20090096829 - METHOD FOR CONTROLLING MEDIA FEED IN AN IMAGING APPARATUS | 1 |
Mark Lawrence | US | Bainbridge Island | 2013-05-02 / 20130106853 - LEVERAGING GRAPHICS PROCESSORS TO OPTIMIZE RENDERING 2-D OBJECTS | 2 |
Eric M. Lawrence | US | Redmond | 2012-08-30 / 20120222137 - Validating the Origin of Web Content | 5 |
Darren Lawrence | US | Smithfield | 2013-12-05 / 20130324373 - COMPACT EXERCISE APPARATUS | 1 |
Sarah Jean Lawrence | US | Renton | 2015-06-25 / 20150181636 - Transmitter Augmented Radar/Laser Detection Using Local Mobile Network Within A Wide Area Network | 6 |
Gary K. Lawrence | US | Akron | 2009-10-15 / 20090258209 - Translucent Propylene-Based Elastomeric Compositions | 1 |
Moses Columbus Lawrence | US | Dayton | 2010-04-08 / 20100087497 - Benzimidazole Anthelmintic Compositions | 2 |
Casey J. Lawrence | US | Cottonwood Heights | 2009-12-10 / 20090307621 - SYSTEM AND METHOD FOR ENABLING ACCESS TO A DATA SOURCE THROUGH A GRAPHICAL INTERFACE | 1 |
Kurt C. Lawrence | US | Watkinsville | 2009-12-17 / 20090309960 - Portable multispectral imaging systems | 2 |
Lloyd L. Lawrence | US | Denver | 2014-11-13 / 20140331592 - REBAR SECURING DEVICE | 2 |
Andrew Lawrence | GB | Southsea | 2015-11-05 / 20150316645 - DIGITAL RADAR OR SONAR APPARATUS | 2 |
Andrea Lawrence | US | Clarkston | 2014-01-02 / 20140000627 - PERCUTANEOUS ACCESS DEVICE | 1 |
Wilson S. J. Lawrence | IN | Bangalore | 2010-12-23 / 20100319379 - HEAT EXCHANGER COIL WITH WING TUBE PROFILE FOR A REFRIGERATED MERCHANDISER | 1 |
Nicholas A. Lawrence | GB | Cambridge | 2013-11-07 / 20130293516 - Touch Sensitive Holographic Displays | 4 |
Keith Alan Lawrence | US | Ponca City | 2014-07-24 / 20140202064 - Fish Tool | 1 |
Harshani Lawrence | US | Tampa | 2012-06-07 / 20120142917 - PROTEASOME INHIBITORS HAVING CHYMOTRYPSIN-LIKE ACTIVITY | 4 |
Phillip H. Lawrence | US | Trenton | 2010-04-08 / 20100084114 - BASEBOARD RADIATOR | 1 |
Rodney John Lawrence | NZ | Tauranga | 2009-03-05 / 20090057946 - METHOD OF FORMING A HOUSING | 1 |
Elena Lawrence | US | East Norriton | 2016-05-05 / 20160126148 - SYSTEM AND METHOD FOR PERFORMING A WET ETCHING PROCESS | 3 |
L.v. Williams Lawrence | US | 2012-04-05 / 20120079750 - Name tag, company display, I.D. connector badge | 1 | |
Don M. Lawrence | US | Birmingham | 2013-05-23 / 20130125997 - METHODS FOR AN EXHAUST FLUID LEVEL SENSOR | 1 |
Tom Lawrence | US | Athens | 2009-02-26 / 20090054711 - Pyrolysis Systems, Methods of Use Thereof, and Methods of Bio-Oil Transformation | 1 |
Thomas Andrew Lawrence | US | Burlington | 2014-03-20 / 20140076982 - Selective Adjustment of Position of Nozzle Assembly | 6 |
Doug Lawrence | US | Kinnelon | 2012-02-16 / 20120041381 - Sterility Barrier For Pen Needle And Storage Container Therefor | 1 |
Brian Lee Lawrence | US | Waunakee | 2011-11-10 / 20110273973 - SYSTEM AND METHOD FOR IMPROVED DATA STORAGE | 7 |
Craig L. Lawrence | US | Seminole | 2015-05-07 / 20150127977 - SYSTEM FOR AND METHOD FOR DATA REFLOW IN A STATELESS SYSTEM | 1 |
Wayne Lawrence | US | Sardinia | 2009-05-14 / 20090120914 - SYSTEM AND METHOD FOR CONTROLLING THE POWER LEVEL OF A LASER APPARATUS IN A LASER SHOCK PEENING PROCESS | 1 |
Brian D. Lawrence | US | Somerset | 2014-06-12 / 20140158117 - Compositions Comprising Azelastine and Methods of Use Thereof | 3 |
Eric Allen Lawrence | US | Madrid | 2010-02-25 / 20100044315 - PHOSPHORUS REMOVAL SYSTEM AND PROCESS | 1 |
Bobby Lynn Lawrence | US | Palmetto | 2014-12-11 / 20140360744 - HANDHELD PNEUMATIC TOOLS HAVING PRESSURE REGULATOR | 5 |
Charles Lawrence | US | Fremont | 2010-06-24 / 20100158109 - Providing Television Broadcasts over a Managed Network and Interactive Content over an Unmanaged Network to a Client Device | 4 |
Donald M. Lawrence | US | Birmingham | 2014-03-06 / 20140060699 - VENTING SYSTEM FOR A DIESEL EXHAUST FLUID FILLER PIPE | 1 |
Bradley V. Lawrence | US | Plattsburg | 2011-01-13 / 20110008388 - PIGLET FEED RATIONS HAVING LOW LEVELS OF FERMENTABLE CARBOHYDRATES | 1 |
William Earl Lawrence | US | Edgewood | 2012-02-02 / 20120027415 - BURST MODE OPTICAL MEDIA CONVERTER WITH FAST ANALOG CONVERSION | 1 |
Edward F. Lawrence | US | Marion | 2010-01-07 / 20100002345 - RADIO FREQUENCY SWITCH ELECTROSTATIC DISCHARGE PROTECTION CIRCUIT | 1 |
Sean Lawrence | IN | Bangalore | 2015-12-03 / 20150347442 - DATA EMBEDDING IN RUN LENGTH ENCODED STREAMS | 3 |
Martin W. Lawrence | US | Chichester | 2011-01-06 / 20110003259 - Self Cleaning Burner System for Heaters and Fryers | 1 |
Roland W. Lawrence | US | Seaford | 2010-01-07 / 20100000770 - Conducting Nanotubes or Nanostructures Based Composites, Method of Making Them and Applications | 1 |
Christopher E. Lawrence | US | Pasadena | 2008-08-28 / 20080206242 - METHOD OF TREATMENT OF TH2-MEDIATED CONDITIONS USING OPTIMIZED ANTI-CD30 ANTIBODIES | 1 |
Les Lawrence | US | Rolling Meadows | 2012-11-01 / 20120273982 - Novel Preparation Of An Enteric Release System | 4 |
Gary J. Lawrence | US | Merrimack | 2010-12-02 / 20100301669 - POWER MANAGEMENT FOR POWER CONSTRAINED DEVICES | 2 |
Adam Lawrence | US | Wilmington | 2012-03-08 / 20120058541 - ENGINEERING RESISTANCE TO ALIPHATIC ALCOHOLS | 2 |
Joseph Lawrence | US | 2010-11-04 / 20100281388 - ANALYSIS OF NETWORK TRAFFIC | 1 | |
Nicholas J. Lawrence | US | Tampa | 2016-05-19 / 20160136158 - PLATINUM COMPOUNDS THAT INHIBIT CONSTITUTIVE STAT3 SIGNALING AND INDUCE CELL CYCLE ARREST AND APOPTOSIS OF MALIGNANT CELLS | 8 |
Jonathan William Lawrence | US | Portland | 2010-10-07 / 20100254854 - CHEMICAL ANALYZER | 1 |
Mcallister Lawrence | US | Las Vegas | 2009-04-16 / 20090098936 - SYSTEM AND METHOD FOR DISTRIBUTING SOFTWARE LICENSES | 1 |
David J. Lawrence | US | Newark | 2013-11-07 / 20130296525 - METHOD FOR THE PREPARATION OF HIGHLY PURIFIED RECYCLED NYLON | 4 |
Michael B. Lawrence | US | Charlottesville | 2013-07-25 / 20130190584 - METHOD AND APPARATUS FOR CHARACTERIZATION OF CLOT FORMATION | 2 |
Justin L. Lawrence | US | Meridian | 2015-08-20 / 20150235882 - DEVICES AND METHODS FOR HANDLING MICROELECTRONICS ASSEMBLIES | 3 |
Christopher Lawrence | US | Bellevue | 2015-03-19 / 20150078207 - MICROWAVE BACKHAUL ARRANGEMENTS | 2 |
Michael S. Lawrence | US | Atkinson | 2012-05-24 / 20120129759 - PROTEIN SURFACE REMODELING | 2 |
David J. Lawrence | US | Greer | 2016-04-07 / 20160097166 - RAIL GAUGE-PLATE INSULATOR | 2 |
Beth A. Lawrence | US | Williston | 2013-11-07 / 20130295742 - METHOD TO TAILOR LOCATION OF PEAK ELECTRIC FIELD DIRECTLY UNDERNEATH AN EXTENSION SPACER FOR ENHANCED PROGRAMMABILITY OF A PROMPT-SHIFT DEVICE | 1 |
Joesph D. Lawrence | US | Seatac | 2014-04-10 / 20140098203 - Video Controller For Synchronizing Presentation Of A Plurality Of Images Projected Onto A Display | 1 |
Coy R. Lawrence | US | White Oak | 2011-05-19 / 20110118882 - SYSTEM AND METHOD FOR WELL CONTROL | 1 |
Brian Lawrence | US | Maple Grove | 2015-01-29 / 20150031629 - OPHTHALMIC FORMULATION DERIVED FROM SILK PROTEIN | 2 |
Philip Lawrence | US | Bolton | 2011-05-19 / 20110118554 - DEVICE-ASSISTED SOCIAL NETWORKING FOR HEALTH MANAGEMENT | 1 |
Chris Lawrence | AU | Roseville | 2013-12-26 / 20130346169 - Device, Method and System for Facilitating a Transaction | 1 |
William J. Lawrence | US | Meridian | 2013-12-12 / 20130326940 - PELLETIZED CARBONIZED BIOMASS, METHODS, AND APPARATUSES | 2 |
Carl Eugene Lawrence | US | Boulder | 2014-05-15 / 20140130704 - SUSPENDED COACH TRANSIT SYSTEM | 3 |
Shane W. Lawrence | US | Spanish Fork | 2013-08-22 / 20130218303 - Methods and Systems for Machine-Related Information Delivery | 1 |
Rob Lawrence | NZ | Dunedin | 2009-01-29 / 20090028700 - APPLIANCE PUMP | 1 |
Alvin Eugene Lawrence | US | Dorchester | 2013-07-25 / 20130191205 - System and method for electronic retrieval and redemption of coupons | 1 |
Timothy John Lawrence | CA | North Vancouver | 2008-12-18 / 20080313153 - APPARATUS AND METHOD FOR ABSTRACTING DATA PROCESSING LOGIC IN A REPORT | 1 |
Nicole L Lawrence | US | Stafford Springs | 2015-12-24 / 20150367326 - POROUS INORGANIC/ORGANIC HYBRID PARTICLES HAVING HIGH ORGANIC CONTENT AND ENHANCED PORE GEOMETRY FOR CHROMATOGRAPHIC SEPARATIONS | 4 |
Robert F. Lawrence | US | Soquel | 2010-08-12 / 20100204580 - ULTRASOUND BREAST SCREENING DEVICE | 1 |
Jonathan G. Lawrence | US | Sandy Hook | 2011-02-24 / 20110042136 - GROUNDING BAR/HATCHPLATE FOR USE WITH LIGHTNING ARRESTORS | 1 |
Richard D. Lawrence | US | Ridgefield | 2015-08-20 / 20150235137 - PREDICTING INFLUENCE IN SOCIAL NETWORKS | 15 |
Jonathan Gordon Lawrence | US | Sandy Hook | 2009-01-22 / 20090019824 - FOLDABLE AIR FILTERS AND METHODS OF USE THEREOF | 1 |
Theodore S. Lawrence | US | Ann Arbor | 2015-08-06 / 20150218277 - Inhibitors of the Epidermal Growth Factor Receptor- Heat Shock Protein 90 Binding Interaction | 2 |
Tracie Lawrence | US | Smithfield | 2013-12-05 / 20130324373 - COMPACT EXERCISE APPARATUS | 1 |
Richard Douglas Lawrence | US | Ridgefield | 2008-10-16 / 20080255900 - PROCESS FOR IDENTIFYING POTENTIAL CUSTOMERS FOR BUSINESS OUTSOURCING | 1 |
Joseph D. Lawrence | US | Seatac | 2012-11-29 / 20120300047 - SYSTEM AND METHOD FOR SYNCHRONIZING A 3D VIDEO PROJECTOR | 1 |
Carl Antony Lawrence | GB | Leeds | 2013-08-01 / 20130192189 - CARBON FIBRE YARN AND METHOD FOR THE PRODUCTION THEREOF | 1 |
James Lawrence | GB | London | 2012-12-06 / 20120304897 - Adjustable Table Apparatus and Method | 1 |
Stephen D. Lawrence | US | Ayer | 2013-12-19 / 20130333449 - FILTRATION PARTICLE QUANTIFIER | 2 |
Brian Lee Lawrence | US | Cincinnati | 2012-06-28 / 20120163145 - OPTICAL DATA STORAGE MEDIA | 1 |
Chad Lawrence | US | Warsaw | 2016-04-07 / 20160095606 - BROSTEOTOME AND METHOD OF USE | 10 |
Simon Andrew Lawrence | GB | Middlesex | 2012-03-08 / 20120059687 - ORGANISATIONAL TOOL | 1 |
Hayden Lawrence | NZ | Palmerston North | 2010-11-11 / 20100283603 - PASTURE MANAGEMENT | 1 |
Carl Anthony Lawrence | GB | Halifax | 2015-04-16 / 20150101756 - PROCESS | 1 |
David Lawrence | US | New York | 2015-10-08 / 20150288713 - Systems And Methods For Managing Information Associated With Legal, Compliance And Regulatory Risk | 32 |
Jason Lawrence | US | East Hartford | 2014-07-10 / 20140190167 - Ceramic combustor can for a gas turbine engine | 2 |
Christina Mayadas Lawrence | US | Chatham | 2012-02-16 / 20120040058 - CUSTOMIZED CHILDREN'S FEEDING SYSTEM AND METHODS OF USE THEREOF | 1 |
David Lawrence | US | New York | 2015-10-08 / 20150288713 - Systems And Methods For Managing Information Associated With Legal, Compliance And Regulatory Risk | 32 |
David Lawrence | US | South Bend | 2013-12-05 / 20130320147 - GEAR PUMP, PUMPING APPARATUS INCLUDING THE SAME, AND AIRCRAFT FUEL SYSTEM INCLUDING GEAR PUMP | 6 |
Paulraj K. Lawrence | US | Worthington | 2012-09-06 / 20120225091 - MODIFIED LIVE (JMSO STRAIN) HAEMOPHILUS PARASUIS VACCINE | 1 |
David Lawrence | US | Saratoga | 2010-07-15 / 20100179526 - SYSTEMS AND METHODS OF MAKING AND USING A COILED COOLANT TRANSFER TUBE FOR A CATHETER OF A CRYOABLATION SYSTEM | 1 |
David Lawrence | US | San Jose | 2012-06-28 / 20120165803 - ELECTRICAL MAPPING AND CRYO ABLATING WITH A BALLOON CATHETER | 3 |
Betty Lawrence | CA | Bolton | 2012-05-17 / 20120122798 - TREATMENT OF OVARIAN CANCER USING AN ANTICANCER AGENT CONJUGATED TO AN ANGIOPEP-2 ANALOG | 4 |
David Lawrence | US | Manhasset | 2014-02-13 / 20140046826 - METHODS AND SYSTEMS FOR RETRIEVING DATA STORED IN A DATABASE | 4 |
David J. Lawrence | US | Harrisonburg | 2008-11-06 / 20080273572 - THERMAL DETECTOR FOR CHEMICAL OR BIOLOGICAL AGENTS | 1 |
Michael Colin Lawrence | AU | Victoria | 2012-05-17 / 20120122771 - STRUCTURE OF THE C-TERMINAL REGION OF THE INSULIN RECEPTOR a-CHAIN AND OF THE INSULIN-LIKE GROWTH FACTOR RECEPTOR a-CHAIN | 2 |
Jason Lawrence | US | Charlottesville | 2014-12-25 / 20140376822 - Method for Computing the Similarity of Image Sequences | 1 |
Darren Lawrence | NL | Putten | 2010-01-21 / 20100013110 - Device for gassing a liquid | 1 |
Ronnie Maxwell Lawrence | GB | Hertfordshire | 2011-06-09 / 20110136798 - Crystalline Forms Of A Pyridine Derivative | 1 |
Glen Gary Lawrence | US | Thousand Oaks | 2011-06-09 / 20110136915 - RAPID DISSOLUTION FORMULATION OF A CALCIUM RECEPTOR-ACTIVE COMPOUND | 1 |
William Lynn Lawrence | US | Hernando | 2009-09-03 / 20090220669 - Low Trans Fat Oil Blend | 1 |
David Lawrence | US | Santa Clara | 2014-11-27 / 20140351576 - SERVER ALGORITHMS TO IMPROVE SPACE BASED AUTHENTICATION | 5 |
Anthony Lawrence | US | Hoffman Estates | 2012-03-08 / 20120059269 - Worksheet System for Determining Measured Patient Values for Use in Clinical Assessment and Calculations | 1 |
Matthew J. Lawrence | US | San Francisco | 2011-09-15 / 20110220094 - SECONDARY REFLECTOR FOR LINEAR FRESNEL REFLECTOR SYSTEM | 2 |
Chris Lawrence | AU | New South Wales | 2011-06-23 / 20110153398 - Device, Method and System for Facilitating a Transaction | 1 |
Calvin D. Lawrence | US | Lithonia | 2013-12-26 / 20130346358 - DATA BASED TRUTH MAINTENANCE | 6 |
Lowell Jeffry Lawrence | US | Lexington | 2010-05-27 / 20100129418 - METHOD OF INDUCING NEGATIVE CHEMOTAXIS USING AN ELLAGITANNIN OR GALLOTANNIN | 1 |
David G. Lawrence | US | Santa Clara | 2014-09-04 / 20140247183 - SATELLITE-BASED INTEGER CYCLE AMBIGUITY RESOLUTION OF LOCAL MEDIUM WAVE RADIO SIGNALS | 12 |
Eric Lawrence | US | Hershey | 2016-02-25 / 20160052681 - AIRTIGHT CONTAINER | 1 |
Philip A. Lawrence | US | Kingwood | 2012-11-29 / 20120297893 - FLOW MEASUREMENT DEVICES HAVING CONSTANT RELATIVE GEOMETRIES | 4 |
Michael C. Lawrence | AU | Parkville | 2014-06-05 / 20140154817 - STRUCTURE OF THE C-TERMINAL REGION OF THE INSULIN RECEPTOR a-CHAIN AND OF THE INSULIN-LIKE GROWTH FACTOR RECEPTOR a-CHAIN | 1 |
Paulraj Lawrence | US | Worthington | 2015-10-01 / 20150273045 - Immunological Compositions Containing Attenuated Histophilus somni | 1 |
Mark Gregory Lawrence | US | Westfield | 2014-09-18 / 20140273705 - COMPOSITES COMPRISING NONWOVEN STRUCTURES AND FOAM | 2 |
Ira Lawrence | US | Chicago | 2012-02-23 / 20120046576 - Mid-face aesthetic scale and related methods | 1 |
Selma S. Lawrence | US | Houston | 2013-10-10 / 20130267748 - Catalyst Regeneration Process | 2 |
Richard Lawrence | US | Yorktown Heights | 2012-12-27 / 20120330649 - SYSTEMS AND METHODS FOR EXTRACTING PATTERNS FROM GRAPH AND UNSTRUCTURED DATA | 2 |
Nicholas Lawrence | GB | Cambridge | 2014-05-01 / 20140118806 - Apparatus and Method for Displaying a Video Image | 1 |
Christopher Robert Lawrence | GB | Farnborough | 2015-02-05 / 20150035269 - Optical Multilayer | 5 |
Jon Lawrence | US | Waterloo | 2014-09-18 / 20140261599 - CANOPY TENSIONING APPARATUS | 1 |
Ruddick Lawrence | US | Newyork | 2010-09-16 / 20100230330 - METHOD OF AND APPARATUS FOR THE PRE-PROCESSING OF SINGLE STREAM RECYCLABLE MATERIAL FOR SORTING | 1 |
Joseph Lawrence | US | Boulder | 2015-03-05 / 20150067174 - CONTENT DELIVERY NETWORK WITH DEEP CACHING INFRASTRUCTURE | 7 |
Larry Lawrence | US | Morongo Valley | 2008-11-13 / 20080276355 - Anti-fouling faceshield | 1 |
Nadya Lawrence | US | Cape May | 2009-07-23 / 20090186074 - LIPID VESICLES DERIVED FROM OLIVE OIL FATTY ACIDS | 1 |
Christian Lawrence | US | Plympton | 2013-02-14 / 20130036983 - Method and System for Mass Production of Fish Embryos | 1 |
Robert Lawrence | GB | London | 2014-03-06 / 20140067521 - MARKETING CAMPAIGN MANAGEMENT SYSTEM | 1 |
Michael E. Lawrence | US | Syracuse | 2016-03-17 / 20160076671 - UNIVERSAL MOUNTING CLIP FOR SIGNAL-CARRYING CABLES | 7 |
David C. Lawrence | US | Raleigh | 2014-07-10 / 20140195844 - SYSTEM AND METHOD FOR DEVELOPING, DEPLOYING AND IMPLEMENTING POWER SYSTEM COMPUTER APPLICATIONS | 3 |
Nicholas T. Lawrence | US | Rochester | 2012-07-19 / 20120185935 - IMPLEMENTING AUTOMATIC ACCESS CONTROL LIST VALIDATION USING AUTOMATIC CATEGORIZATION OF UNSTRUCTURED TEXT | 1 |
Jennifer Lawrence | US | Granville | 2015-04-02 / 20150093525 - FILMS FOR INFLATABLE CUSIONS | 3 |
Wayne Lee Lawrence | US | Sardinia | 2011-11-03 / 20110267047 - NONDESTRUCTIVE ROBOTIC INSPECTION METHOD AND SYSTEM THEREFOR | 1 |
Richard P. Lawrence | US | Southold | 2013-10-17 / 20130274650 - STABILIZED KETOROLAC COMPOSITIONS | 2 |
Bruce R. Lawrence | US | Oceanside | 2014-10-16 / 20140309747 - Fusion Implant | 5 |
Michael Lawrence | US | Sisters | 2016-04-21 / 20160106088 - PEST CONTROL APPARATUS AND PROCESS OF MANUFACTURE | 2 |
Nicholas J. Lawrence | US | Tampa | 2016-05-19 / 20160136158 - PLATINUM COMPOUNDS THAT INHIBIT CONSTITUTIVE STAT3 SIGNALING AND INDUCE CELL CYCLE ARREST AND APOPTOSIS OF MALIGNANT CELLS | 8 |
Michael Lawrence | US | Smithfield | 2014-02-13 / 20140041348 - ACCESSORY CAP FOR A RESPIRATORY FILTER CARTRIDGE | 1 |
Bruce J. Lawrence | PA | Hellertown | 2014-12-25 / 20140377163 - METHOD AND APPARATUS FOR GENERATING MERCURY (II) SULFIDE FROM ELEMENTAL MERCURY | 1 |
Ian Daniel Lawrence | GB | Honiton | 2012-04-19 / 20120090129 - VACUUM CLEANER AND FILTER BAG | 1 |
Nathan P. Lawrence | US | South Easton | 2015-08-20 / 20150232917 - Materials and Methods for Achieving Differential Lysis of Mixtures with the Aid of Alkaline Lysis and Pressure Cycling Technology (PCT) | 1 |
Daniel A. Lawrence | US | Ann Arbor | 2015-11-05 / 20150315178 - PLASMINOGEN ACTIVATOR INHIBITOR-1 INHIBITORS AND METHODS OF USE THEREOF | 5 |
Don Mcalpine Lawrence | US | Birmingham | 2013-01-03 / 20130004149 - METHOD TO DETECT FUEL VAPORIZER COKING | 2 |
Robert Lawrence | US | Grand Rapids | 2010-10-21 / 20100268159 - RETROGRADE CORONARY SINUS PERFUSION CANNULA AND METHODS OF USING SAME | 1 |
David S. Lawrence | US | Hartsdale | 2008-08-28 / 20080207538 - Enhanced Production of Functional Proteins From Defective Genes | 1 |
Nicholas Lawrence | GB | Cambridgeshire | 2009-05-21 / 20090128571 - Data Processing Hardware | 1 |
Jon D. Lawrence | US | Grand Rapids | 2010-03-11 / 20100058851 - VEHICLE TESTING ASSEMBLY | 1 |
John E. Lawrence | US | Ypsilanti | 2009-03-19 / 20090071546 - LOW-PROFILE, LESS CONSPICUOUS RETRACTABLE GARDEN HOSE REEL | 1 |
Don Lawrence | US | Birmingham | 2011-09-29 / 20110232611 - AMMONIA VAPOR MANAGEMENT SYSTEM AND METHOD | 2 |
Daniel Lawrence | US | Ann Arbor | 2014-09-11 / 20140255397 - METHODS AND COMPOSITIONS FOR MODULATION OF BLOOD-NEURAL BARRIER | 4 |
Michael Lawrence | US | Tempe | / - | 1 |
Michael W. Lawrence | US | Lexington | 2009-09-24 / 20090236800 - Feed assist assembly | 1 |
Ronnie Maxwell Lawrence | GB | Stevenage | 2008-12-11 / 20080306278 - PROCESS | 1 |
Christopher Robert Lawrence | GB | Hants | 2008-11-06 / 20080274359 - Surface for promoting droplet formation | 1 |
Elliot Lawrence | GB | Maidstone | 2008-10-23 / 20080261202 - Tagged Polyfunctional Reagents Capable of Reversibly Binding Target Substances in a pH-dependent Manner | 1 |
Paul Lawrence | GB | Grimsby | 2008-09-25 / 20080233818 - Oil Transport Pipes | 1 |
Alice M. Lawrence | US | Wildwood | 2013-03-21 / 20130073326 - Management of Compliance with Policies, Procedures and/or Directives | 1 |
Stephen M. Lawrence | US | Salt Lake City | 2015-04-30 / 20150118985 - WIRELESS DEVICE DETECTION USING MULTIPLE ANTENNAS SEPARATED BY AN RF SHIELD | 2 |
Bryan David Lawrence | GB | Northampton | 2008-08-21 / 20080201592 - Hibernating a processing apparatus for processing secure data | 1 |
Sally Lawrence | CA | Calgary | 2015-11-26 / 20150337638 - HYDROCARBON STIMULATION BY ENERGETIC CHEMISTRY | 4 |
Stephen Lawrence | US | Mountain View | 2015-11-05 / 20150317679 - ASSOCIATING FEATURES WITH ENTITIES, SUCH AS CATEGORIES OF WEB PAGE DOCUMENTS, AND/OR WEIGHTING SUCH FEATURES | 2 |
Kelvin R. Lawrence | US | Round Rock | 2014-06-26 / 20140181789 - REDUCING MERGE CONFLICTS IN A DEVELOPMENT ENVIRONMENT | 1 |
Anthony Lawrence | DE | Koln | 2008-11-13 / 20080280053 - Reactive Dyes Containing Divalent Sulfur in Non-Reactive Side Chain at Trizine Nucleus | 1 |
Wendell Lawrence | US | Meridian | 2014-10-30 / 20140323270 - SPRINT TRAINER AID | 3 |
Anthony Lawrence | DE | Manchester | 2010-01-14 / 20100009081 - DISPERSE AZO DYESTUFFS | 1 |
Joe Antony Lawrence | IN | Karnataka | 2009-06-18 / 20090158238 - METHOD AND APPARATUS FOR PROVIDING API SERVICE AND MAKING API MASH-UP, AND COMPUTER READABLE RECORDING MEDIUM THEREOF | 1 |
Ivy Lawrence | US | Las Vegas | 2016-04-21 / 20160106193 - METHOD AND APPARATUS FOR NAIL FOIL ADORNMENT, REPAIR,PROTECTION AND STRENGTHENING OF THE NAILS' PLATE OF THE FINGER OR TOE. | 1 |
Christopher Robert Lawrence | GB | Malvern | 2014-08-28 / 20140240159 - Electromagnetic Radiation Absorber | 1 |
Benjamin L. Lawrence | US | Elkhart | 2016-03-10 / 20160069483 - LEAD-FREE HIGH TEMPERATURE/PRESSURE PIPING COMPONENTS AND METHODS OF USE | 9 |
R. Michael Lawrence | US | Yardley | 2016-02-04 / 20160031816 - ARYL DIHYDROPYRIDINONES AND PIPERIDINONE MGAT2 INHIBITORS | 14 |
Paulraj Kirubakaran Lawrence | US | Worthington | 2015-05-07 / 20150125487 - Attenuated Pasteurella Multocida Vaccines & Methods of Making & Use Thereof | 4 |
Valerie Lawrence | US | Dover | 2013-03-28 / 20130074993 - COMPOSITION AND METHOD FOR ALLOY HAVING IMPROVED STRESS RELAXATION RESISTANCE | 2 |
Jordan C. Lawrence | US | Rochester | 2010-12-09 / 20100309591 - IMPEDANCE-BASED CURRENT SENSOR | 1 |
Bruce Lawrence | US | Bethlehem | 2010-06-17 / 20100150795 - APPARATUS FOR GENERATING MERCURY (II) SULFIDE FROM ELEMENTAL MERCURY | 2 |
Steve Lawrence | US | Salt Lake City | 2008-10-23 / 20080262670 - System and method for monitoring vehicle parameters and driver behavior | 1 |
Kevin Lawrence | US | Philadelphia | 2016-03-24 / 20160086190 - SYSTEMS AND METHODS FOR COMPREHENSIVE CONSUMER RELATIONSHIP MANAGEMENT | 3 |
Steve Lawrence | US | Mountain View | 2014-11-13 / 20140337128 - CONTENT-TARGETED ADVERTISING USING COLLECTED USER BEHAVIOR DATA | 15 |
Jeremy Lawrence | DE | Dresden | 2011-12-22 / 20110311892 - FUEL CELL SYSTEM WITH REFORMER AND REHEATER | 7 |
Steve Lawrence | US | Mountain View | 2014-11-13 / 20140337128 - CONTENT-TARGETED ADVERTISING USING COLLECTED USER BEHAVIOR DATA | 15 |
Steven Lawrence | GB | Coventry | 2014-02-13 / 20140044936 - BACKING LAYERS FOR FLOOR COVERINGS | 1 |
Leslie Lewis Lawrence | US | Naperville | 2008-12-18 / 20080311192 - Enteric-Coated Glucosinolates And Beta-Thioglucosidases | 1 |
Andrew J. Lawrence | AU | Parkville | 2014-02-06 / 20140038895 - Single Chain Relaxin Polypeptides | 1 |
Scott D. Lawrence | US | Pittsford | 2014-03-06 / 20140063243 - Real-Time Moving Platform Management System | 2 |
Reginald Lawrence | US | Kalamazoo | 2016-05-19 / 20160135508 - ATTACHED COLLAR GUARD | 1 |
Nathan Scott Lawrence | GB | Cambridgeshir | 2016-02-04 / 20160033446 - Devices and Methods for Measuring Analyte Concentration | 1 |
Pat Lawrence | US | Watertown | 2015-01-22 / 20150021870 - Handcart with pivoting shelf | 1 |
Nathan Scott Lawrence | GB | Wyton | 2012-07-05 / 20120168308 - ELECTROCHEMICAL SENSORS | 1 |
Jimmy Wayne Lawrence | US | Upper Marlboro | 2014-07-31 / 20140209192 - Canine Security Deterrent | 1 |
Mark Lawrence | US | Owings Mills | 2012-08-16 / 20120205848 - FOLDING BENCH | 1 |
Mysliwiec Lawrence | US | Williamston | 2011-10-06 / 20110245880 - SPINAL FIXATOR AND METHOD OF USE THEREOF | 1 |
Murray Lawrence | US | Kings Park | 2010-11-04 / 20100277717 - METHOD AND SYSTEM FOR PROVIDING A HIGH DEFINITION TRIANGULATION SYSTEM | 1 |
Andy Vincent Lawrence | US | Alpine | 2015-04-02 / 20150095549 - SYSTEMS AND METHODS FOR MANAGING DATA IN A COMPUTING ENVIRONMENT | 2 |
Calvin D. Lawrence | US | Atlanta | 2011-12-08 / 20110302264 - RFID NETWORK TO SUPPORT PROCESSING OF RFID DATA CAPTURED WITHIN A NETWORK DOMAIN | 2 |
Harshani Rithma Lawrence | US | Tampa | 2015-09-17 / 20150259366 - STAT3 DIMERIZATION INHIBITORS | 3 |
Keith E. Lawrence | US | Peoria | 2008-12-18 / 20080308656 - Fuel injector nozzle for an internal combustion engine | 1 |
Richard P. Lawrence | US | Calverton | 2015-10-22 / 20150297630 - METHODS AND COMPOSITIONS FOR ADMINISTRATION OF IRON | 3 |
Peter D. Lawrence | CA | Vancouver | 2013-09-19 / 20130243258 - METHODS AND APPARATUS FOR ESTIMATING POINT-OF-GAZE IN THREE DIMENSIONS | 3 |
Donald K. Lawrence | US | Nashville | 2012-09-27 / 20120245958 - Case-Centric Medical Records System with Social Networking | 1 |
Richard J. Lawrence | US | Kirkwood | 2014-09-18 / 20140283166 - CREATION AND TRANSMISSION OF MEGALOCI | 1 |
Te Kalei Lawrence | US | Woods Cross | 2014-04-24 / 20140109747 - STRINGED INSTRUMENT PRACTICE DEVICE | 2 |
Christopher Howard Lawrence | AU | Templestowe | 2012-05-10 / 20120111714 - METHOD FOR CONVERTING LIGNOCELLULOSIC MATERIALS INTO USEFUL CHEMICALS | 1 |
Thomas Lawrence | US | Indianapolis | 2015-06-04 / 20150152550 - APPARATUS FOR VAPOR DEPOSITION OF DIELECTRIC WIRE COATING | 2 |
Jason W. Lawrence | US | Austin | 2014-07-31 / 20140212161 - Method and System for Controlling a Fuser Assembly | 1 |
Jimmy Lawrence | US | Amherst | 2013-03-28 / 20130075093 - SYSTEM AND METHOD FOR FLUID PROCESSING WITH VARIABLE DELIVERY FOR DOWNHOLE FLUID ANALYSIS | 4 |
Justin Lawrence | IE | Eire | 2009-05-21 / 20090129424 - Dendrimer Laser | 1 |
Phillip G. Lawrence | US | Spring Lake | 2015-08-13 / 20150225076 - PRESSURE JET PROPULSION SYSTEM | 1 |
Timothy Gerald Lawrence | US | Newport News | 2010-03-04 / 20100057269 - SYSTEMS AND METHODS FOR MONITORING A RAPPING PROCESS | 1 |
Robert J. Lawrence | US | Grand Rapids | 2014-09-11 / 20140252680 - METHOD FOR MAKING A LIGHT HANDLE COVER | 2 |
Brian Lawrence | US | New York | 2014-11-27 / 20140349380 - BIOPOLYMER OPTOFLUIDIC DEVICE AND METHOD OF MANUFACTURING THE SAME | 12 |
James Michael Lawrence | US | Plano | 2014-08-28 / 20140239232 - APPARATUS AND METHOD FOR HYDROCARBON PYROLYSIS | 1 |
Rick Lawrence | CA | Vancouver | 2009-03-12 / 20090068076 - Nickel sulphide precipitation process | 1 |
Christopher B. Lawrence | US | Blacksburg | 2010-06-24 / 20100159562 - FUNGUS-INDUCED INFLAMMATION AND EOSINOPHIL DEGRANULATION | 1 |
Christopher Lawrence | US | Blacksburg | 2011-04-21 / 20110092675 - FUNGUS-INDUCED INFLAMMATION AND EOSINOPHIL DEGRANULATION | 2 |
Thomas David Lawrence | US | Mission Viejo | 2009-06-25 / 20090165060 - SYSTEM AND METHOD FOR PERFORMING INITIAL SETUP OF A DEVICE VIA REMOTE CONTROL | 1 |
Robert Brian Lawrence | US | Germantown | 2011-06-02 / 20110126982 - Moisture Curable Adhesives | 1 |
Cody W. Lawrence | US | Pearland | 2016-02-25 / 20160052242 - FILMS CONTAINING EXTRUDABLE ADHESIVE FORMULATIONS | 1 |
Bruce D. Lawrence | US | Cortland | 2009-01-15 / 20090014199 - Insulated non-halogenated heavy metal free vehicular cable | 2 |
Richard B. Lawrence | US | Hayden | 2009-09-24 / 20090236210 - KEYBOARD ASSEMBLY | 1 |
Joe Antony Lawrence | IN | Tamilnadu | 2009-07-30 / 20090193148 - METHOD OF CREATING MASHUP APPLICATION | 1 |
Curtis A. Lawrence | US | Torrance | 2009-01-29 / 20090029846 - HF alkylation process with acid regeneration | 1 |
Kristina Lawrence | US | Escondido | 2016-03-24 / 20160083768 - POLYMER MEMBRANES FOR CONTINUOUS ANALYTE SENSORS | 12 |
David Gary Lawrence | US | Santa Clara | 2011-07-28 / 20110181462 - System and Method for Positioning with GNSS Using Multiple Integer Candidates | 1 |
Matthew David Lawrence | AU | New South Wales | 2014-09-18 / 20140281936 - Computer Program Products And Methods For Displaying Digital Looseleaf Content | 1 |
Mitchell G. Lawrence | US | Liberty Lake | 2014-11-13 / 20140337048 - Conversational Virtual Healthcare Assistant | 2 |
Sean J. Lawrence | IN | Bangalore | 2015-10-01 / 20150281715 - OBJECT TRACKING IN ENCODED VIDEO STREAMS | 1 |
Charles H. Lawrence | US | Kapaa | 2015-12-24 / 20150367177 - Inflatable Swim Fin Apparatus | 1 |
Christopher P. Lawrence | CA | Toronto | 2012-10-04 / 20120253547 - CONTROL SOFTWARE WITH PROGRAMMABLE ARRAYS THAT ARE CONFIGURABLE FOR VEHICLES HAVING DIFFERENT PROPULSION SYSTEM CONFIGURATIONS | 2 |
William E. Lawrence | US | Edgewood | 2015-02-05 / 20150036974 - PLASTIC AND GLASS OPTICAL FIBER BUS NETWORK | 1 |
Albert F. Lawrence | US | Redondo Beach | 2008-09-11 / 20080219246 - System and method for switching using coordinated phase shifters | 1 |
Matthew Swan Lawrence | US | Hamden | 2015-12-24 / 20150370397 - SYSTEMS AND METHODS FOR CHARACTER AND COMMAND INPUT | 1 |
Vicky Lawrence | US | Mentor | 2015-02-05 / 20150040008 - INTERACTIVE OVERLAY FOR VIDEO APPLICATIONS | 1 |
Nicholas James Lawrence | US | Tampa | 2015-09-17 / 20150259366 - STAT3 DIMERIZATION INHIBITORS | 4 |
Samuel M. Lawrence | US | Auburn | 2016-01-28 / 20160027206 - Three-Dimensional Image Processing to Locate Nanoparticles in Biological and Nonbiological Media | 1 |
David G. Lawrence | US | Mountain View | 2011-01-06 / 20110001668 - REBROADCASTING METHOD AND SYSTEM FOR NAVIGATION SIGNALS | 1 |
Roger Lawrence | US | Santa Clara | 2010-07-08 / 20100174711 - CONCURRENCY OBJECT CLASSIFICATION | 1 |
Reed K. Lawrence | US | Purcellville | 2011-02-03 / 20110026315 - Single-Event Upset Immune Static Random Access Memory Cell Circuit, System, And Method | 2 |
John M. Lawrence | US | Palm Harbor | 2010-02-04 / 20100025526 - Dual Rotor Vertical Takeoff and Landing Rotorcraft | 1 |
James Lawrence | US | Henderson | 2015-02-05 / 20150035845 - System and Method for Sprite Capture and Reproduction | 9 |
Mark L. Lawrence | US | Starkville | 2013-11-07 / 20130295136 - LIVE ATTENUATED CATFISH VACCINE AND METHOD OF MAKING | 2 |
Doug Lawrence | US | Fond Du Lac | 2010-02-18 / 20100039006 - Shielded Theft-Resistant Display Case | 1 |
Lowell J. Lawrence | US | Lexington | 2009-02-26 / 20090054680 - SYNTHESIS OF 3,5,5-TRIMETHYL-2-CYCLOHEXENE SALICYLATE | 1 |
Rob Lawrence | US | Rancho Palos Verdes | 2008-11-27 / 20080294993 - Method and system for facilitating delivery of content | 1 |
Anthony Lawrence | US | Royal Oak | 2016-05-12 / 20160128467 - HEIGHT ADJUST SENSING AND CONTROL SYSTEM AND METHOD FOR AN ADJUSTABLE WORK DESK | 2 |
R. Michael Lawrence | US | Yardley | 2016-02-04 / 20160031816 - ARYL DIHYDROPYRIDINONES AND PIPERIDINONE MGAT2 INHIBITORS | 14 |
Doug Lawrence | US | Framingham | 2015-03-05 / 20150065991 - VIAL DOSING SYSTEMS AND METHODS | 1 |
Michael Lawrence | US | Lawrenceburg | 2012-03-29 / 20120077429 - MOBILE, MODULAR CLEANROOM FACILITY | 1 |
Nicholas James Lawrence | GB | Petersfield | 2013-04-25 / 20130098319 - VALVE MECHANISM FOR AN INTERNAL COMBUSTION ENGINE | 1 |
David J. Lawrence | US | Wayne | 2014-05-15 / 20140131319 - Method For Welding A Weld-On Element Onto A Counterpart | 1 |
Deanna Lawrence | US | Temperance | 2014-10-30 / 20140324570 - Network Communication Filtering, Data Collection and Marketing Platform | 1 |
Nathaniel Lawrence | US | New Orleans | 2014-07-24 / 20140205734 - METHOD FOR CREATION AND DISTRIBUTION OF A TEA FLAVORED ALCOHOLIC BEVERAGE | 1 |
Brian Lawrence | US | Cincinnati | 2013-11-21 / 20130309713 - PATHOGEN DETECTION SYSTEMS AND METHODS | 1 |
Nathan Scott Lawrence | GB | Huntingdon | 2014-03-27 / 20140083853 - ELECTROCHEMICAL SENSORS | 1 |
Richard Allen Lawrence | US | Centennial | 2012-03-08 / 20120058455 - Device and Method for Facilitating Conversation | 1 |
Richard Lawrence | US | Fremont | 2008-11-20 / 20080282754 - Vehicular Wheel Lock | 1 |
Calvin Lawrence | US | Atlanta | 2011-01-27 / 20110022943 - DOCUMENT OBJECT MODEL (DOM) APPLICATION FRAMEWORK | 1 |
Richard M. Lawrence | US | Bainbridge Island | 2011-12-01 / 20110295639 - SYSTEMS AND METHODS FOR AUTOMATIC SCHEDULING OF A WORKFORCE | 1 |
Bruce E. Lawrence | US | Evergreen | 2014-04-10 / 20140099235 - BLOOD PERFUSION SYSTEM | 2 |
Michael Colin Lawrence | AU | Parkville | 2015-07-16 / 20150198619 - STRUCTURE OF INSULIN IN COMPLEX WITH N- AND C-TERMINAL REGIONS OF THE INSULIN RECEPTOR ALPHA-CHAIN | 1 |
Christopher John Lawrence | NO | Kjeller | 2015-05-28 / 20150149138 - SLUG FLOW INITIATION IN FLUID FLOW MODELS | 2 |
Adam G. Lawrence | NL | Echt | 2016-04-14 / 20160102331 - EXTRACELLULAR DITERPENE PRODUCTION | 1 |
Elizabeth Dawn Lawrence | US | Mountain View | 2012-07-19 / 20120181165 - IN-SITU GAS INJECTION FOR LINEAR TARGETS | 1 |
Noel Lawrence | US | Gaithersburg | 2012-07-26 / 20120190589 - Assay Cartridges and Methods of Using the Same | 2 |
David J. Lawrence | US | South Bend | 2010-10-21 / 20100263634 - DUAL LEVEL PRESSURIZATION CONTROL BASED ON FUEL FLOW TO ONE OR MORE GAS TURBINE ENGINE SECONDARY FUEL LOADS | 1 |
Gregory M. Lawrence | US | Indianapolis | 2014-05-29 / 20140147870 - NON-PRECIPITATING BODILY FLUID ANALYSIS SYSTEM | 3 |
Thomas N. Lawrence | US | Indianapolis | 2009-12-17 / 20090308522 - METHOD AND APPARATUS FOR SEALING MATERIALS WITHOUT GLUE | 1 |
Robert A. Lawrence | US | Frankfort | 2015-06-04 / 20150155544 - BATTERY ASSEMBLY INTERNAL CONNECTION DEVICE | 3 |
Nathan K. Lawrence | US | Indianapolis | 2009-04-09 / 20090090808 - Flushable toilet paper roll center | 1 |
David Keith Lawrence | US | Apex | 2010-04-22 / 20100100772 - SYSTEM AND METHOD FOR VERIFYING COMPATIBILITY OF COMPUTER EQUIPMENT WITH A SOFTWARE PRODUCT | 1 |
Nicholas Lawrence | US | Tampa | 2014-11-06 / 20140329900 - SMALL MOLECULE INHIBITORS OF STAT3 WITH ANTI-TUMOR ACTIVITY | 1 |
Romon O. Lawrence | US | Indianapolis | 2009-04-09 / 20090090808 - Flushable toilet paper roll center | 1 |
Benjamin L. Lawrence | US | Elkhart | 2016-03-10 / 20160069483 - LEAD-FREE HIGH TEMPERATURE/PRESSURE PIPING COMPONENTS AND METHODS OF USE | 9 |
James L. Lawrence | US | Wilson | 2013-09-12 / 20130233402 - APPARATUS AND METHOD FOR REPAIRING AN EXISTING SPILL CONTAINMENT MANHOLE | 3 |
John A. Lawrence | US | Carmel | 2010-07-29 / 20100191718 - COMPLEX RELATIONAL DATABASE EXTRACTION SYSTEM AND METHOD WITH PERSPECTIVE BASED DYNAMIC DATA MODELING | 1 |
Bill Lawrence | US | Duvall | 2013-06-13 / 20130147642 - MAGNETIC FORCE IN A DIRECTIONAL INPUT DEVICE | 1 |
Jason David Lawrence | US | Charlottsville | 2014-03-06 / 20140063558 - SYSTEM AND METHOD FOR PRODUCING THREE-DIMENSIONAL MULTI-LAYER OBJECTS FROM DIGITAL MODELS | 1 |
Stephen J. Lawrence | CA | St. Catharines | 2009-11-12 / 20090277566 - Securing a Fabric Mold Liner | 1 |
Daniel P. Lawrence | US | Ann Arbor | 2015-02-19 / 20150048161 - AUTOMATIC SENSING METHODS AND DEVICES FOR INVENTORY CONTROL | 3 |
Barry G. Lawrence | US | Thomasville | 2009-07-30 / 20090189398 - Security lock for a sash type window | 1 |
Patricia Lawrence | US | San Francisco | 2011-12-22 / 20110308698 - Carry Handle | 3 |
Clive Edward Lawrence | GB | London | 2011-02-03 / 20110025038 - Printing Ink for Value or Security Documents | 2 |
Christopher M. Lawrence | US | Los Angeles | 2010-07-22 / 20100181720 - Action Figure Battle Game with Movement Mechanisms | 1 |
Leah A. Lawrence | US | Austin | 2016-02-25 / 20160054913 - Graphical User Interface Control for Scrolling Content | 4 |
Paul Kevin Lawrence | US | Rowlett | 2010-06-03 / 20100132966 - May-Ram | 3 |
Kelvin Lawrence | US | Round Rock | 2009-06-11 / 20090150682 - Third Party Secured Storage for Web Services and Web Applications | 1 |
Nathan Lawrence | GB | Wyton | 2014-12-18 / 20140367277 - ELECTROCHEMICAL SENSORS | 6 |
Krispian Caspar Lawrence | IN | Secunderabad | 2014-09-18 / 20140266571 - SYSTEM AND METHOD FOR HAPTIC BASED INTERACTION | 1 |
Harshani R. Lawrence | US | Tampa | 2014-03-13 / 20140073650 - PROTEASOME CHYMOTRYPSIN-LIKE INHIBITION USING PI-1833 ANALOGS | 2 |
Jean Lawrence | GB | London | 2011-01-06 / 20110002912 - COMPOSITION | 1 |
Richard C. Lawrence | US | Pflugerville | 2010-07-15 / 20100180109 - System for Registering and Initiating Pre-Boot Environment for Enabling Partitions | 1 |
John Lawrence | CA | Ottawa | 2012-05-17 / 20120121128 - OBJECT TRACKING SYSTEM | 2 |
Stephen Lawrence | US | Palo Alto | 2015-04-30 / 20150120690 - PERSONALIZED NETWORK SEARCHING | 2 |
Richard Lawrence | US | Southold | 2012-06-14 / 20120148595 - GABA-LINKED ANTHRACYCLINE-LIPID CONJUGATES | 1 |
Bradley M. Lawrence | US | Austin | 2011-03-03 / 20110050388 - Gesture Based Electronic Latch for Laptop Computers | 1 |
Timothy Lawrence | US | Long Beach | 2015-06-11 / 20150157119 - SHORT WAVELENGTH VISIBLE LIGHT-EMITTING TOOTHBRUSH WITH AN ELECTRONIC SIGNAL INTERLOCK CONTROL | 1 |
Brian Lawrence | US | New York | 2014-11-27 / 20140349380 - BIOPOLYMER OPTOFLUIDIC DEVICE AND METHOD OF MANUFACTURING THE SAME | 12 |
Nicholas James Lawrence | GB | Buckingham | 2010-11-18 / 20100288229 - VALVE MECHANISM FOR AN ENGINE | 2 |
John Lawrence | GB | Oakwood | 2010-06-17 / 20100147864 - PACKAGING WITH SEALING LID AND METHODS FOR FILLING THE PACKAGE | 1 |
Philip Lawrence | US | Sunnyvale | 2014-06-19 / 20140168093 - METHOD AND SYSTEM OF EMULATING PRESSURE SENSITIVITY ON A SURFACE | 1 |
David C. Lawrence | US | Hayward | 2015-11-26 / 20150341167 - SECURING A DIRECTED ACYCLIC GRAPH | 1 |
Nathan Lawrence | GB | Cambridgeshire | 2014-11-13 / 20140335425 - PROTON/CATION TRANSFER POLYMER | 6 |
Nic Lawrence | GB | Cambridge | 2010-06-10 / 20100142016 - HOLOGRAPHIC IMAGE PROJECTION SYSTEMS | 1 |
Patrick N. Lawrence | US | Plano | 2016-03-17 / 20160080508 - DIRECT RESPONSE AND FEEDBACK SYSTEM | 3 |
Chad Lawrence | US | Warsaw | 2016-04-07 / 20160095606 - BROSTEOTOME AND METHOD OF USE | 10 |
Brian Lawrence | US | Medford | 2010-02-25 / 20100046902 - BIOPOLYMER PHOTONIC CRYSTALS AND METHOD OF MANUFACTURING THE SAME | 1 |
Nicholas Lawrence | GB | Buckingham | 2010-06-03 / 20100132644 - VARIABLE VALVE ACTUATING MECHANISM WITH SUMMATION CAM | 1 |
Frank Timothy Lawrence | GB | Middlesex | 2010-06-10 / 20100143658 - SOLDER FLUX | 1 |
David T. Lawrence | US | Saratoga | 2012-01-19 / 20120016355 - SYSTEM AND METHOD FOR REGULATING COOLANT FLOW THROUGH A CATHETER AND AN EXPANSION ELEMENT OF A CRYOABLATION SYSTEM | 1 |
Jonathan Lawrence | US | Sanger | 2014-07-10 / 20140191457 - WIRE HOLDER AND METHOD OF TERMINATING WIRE CONDUCTORS | 1 |
Dave Lawrence | US | Irvine | 2015-10-29 / 20150306499 - RESTORING GAMEPLAY BY REPLAYING PAST INPUTS | 2 |
David A. Lawrence | US | San Francisco | 2011-12-22 / 20110311540 - WISP POLYPEPTIDES AND NUCLEIC ACIDS ENCODING SAME | 7 |
Christopher Eric Lawrence | US | Lavon | 2008-10-09 / 20080245784 - Food warming mat and method for making | 1 |
Gregory M. Lawrence | US | West Boylston | 2015-08-27 / 20150241424 - MULTI-ANALYTE ASSAY | 1 |
Anthony Lawrence | GB | Manchester | 2016-03-17 / 20160075969 - COLOUR PROTECTION DETERGENT | 8 |
Adrew Lawrence | GB | Hampshire | 2011-05-05 / 20110102244 - DIGITAL RADAR OR SONAR APPARATUS | 1 |
Harshani Rithma Ruchiranani Lawrence | GB | Slough | 2009-07-23 / 20090186900 - COMPOUND | 1 |
Corrine Frances Lawrence | NL | Vlaardingen | 2009-10-01 / 20090246839 - Enzymatic modification of triglyceride fats | 1 |
Stephen R. Lawrence | US | Mountain View | 2014-04-10 / 20140101166 - Methods And Systems For Processing Media Files | 7 |
Bonny Lawrence | AU | Camberwell | 2015-04-09 / 20150096643 - Felting loom system and method for producing felted woven fibre art textile shapes | 1 |
Brian D Lawrence | US | Minneapolis | 2016-04-07 / 20160096878 - FIBROIN-DERIVED PROTEIN COMPOSITION | 2 |
Kerry Anne Lawrence | GB | North Lincolnshire | 2008-08-28 / 20080202172 - Device for Providing a Relatively Constant Rate of Dissolution of a Solid Article within It | 1 |
Janet Lawrence | GB | Birmingham | 2011-03-24 / 20110071369 - SPOT OR RASH TESTER | 1 |
Brian J. Lawrence | GB | Oxfordshire | 2009-12-31 / 20090325834 - LUBRICATING OIL COMPOSITIONS | 1 |
Nathan S. Lawrence | GB | Wyton | 2014-09-04 / 20140246315 - EROSION AND WEAR RESISTANT SONOELECTROCHEMICAL PROBE | 3 |
Jason William Lawrence | US | Austin | 2013-12-26 / 20130342146 - METHOD AND SYSTEM FOR SWITCHING BETWEEN DIFFERENT TYPES OF OPERATION OF A SENSORLESS PERMANENT MAGNET BRUSHLESS MOTOR AT LOW OR ZERO SPEED TO DETERMINE ROTOR POSITION | 3 |
Stephen Frances Lawrence | US | Newark | 2009-01-01 / 20090007126 - SWAP CAP RESOURCE CONTROL FOR USE IN VIRTUALIZATION | 3 |
Krispian Caspar Lawrence | IN | Swcunderabad | 2014-09-18 / 20140266570 - SYSTEM AND METHOD FOR HAPTIC BASED INTERACTION | 1 |
Nathan Lawrence | GB | Huntingdon | 2016-02-11 / 20160041120 - Devices and Methods for Measuring Analyte Concentration | 4 |
Christopher Robert Lawrence | GB | Hampshire | 2014-05-01 / 20140117093 - Electromagnetic Radiation Decoupler | 5 |
Dean Kenneth Lawrence | CA | Hinton | 2009-09-24 / 20090236059 - DIGESTER WASH EXTRACTION BY INDIVIDUAL SCREEN FLOW CONTROL | 2 |
Nicholas James Lawrence | GB | Buckinghamshire | 2015-07-09 / 20150192043 - CONCENTRIC CAMSHAFT ARRANGEMENT | 5 |
Anthony B. Lawrence | US | Sahuarita | 2015-04-30 / 20150114071 - Cold Working Holes in a Composite and Metal Stack | 1 |
Raymond A. Lawrence | US | Hartland | 2008-08-21 / 20080196636 - COLLAPSIBLE PORTABLE TABLE | 1 |
Christopher Edward Lawrence | US | San Francisco | 2015-12-03 / 20150343021 - METHODS FOR TREATING CANCER USING COMPOSITIONS COMPRISING HSS1 AND/OR HSM1 | 1 |
Sam B. Lawrence | GB | London | 2015-12-10 / 20150356830 - SYSTEM AND METHOD FOR PROVIDING ENHANCED SERVICES TO A USER OF A GAMING APPLICATION | 8 |
Brian Lawrence | GB | Oxfordshire | 2010-02-11 / 20100032241 - Lubricating Systems | 1 |
Steven L. Lawrence | US | Johnson City | 2008-08-21 / 20080196238 - Antenna Enclosed Within an Animal Training Apparatus | 1 |
Brian Lawrence | US | Clifton Park | 2008-10-09 / 20080245966 - LONG-WAVELENGTH INFRA-RED NIGHT VISION GOGGLES | 1 |
Sidney Robert Lawrence | US | Tooele | / - | 1 |
Jason Davis Lawrence | US | Charlottesville | 2012-10-11 / 20120255663 - SYSTEM AND METHOD FOR PRODUCING THREE-DIMENSIONAL MULTI-LAYER OBJECTS FROM DIGITAL MODELS | 1 |
Kenneth H. Lawrence | US | Beachwood | 2013-09-05 / 20130230823 - ILLUMINATED DENTAL RETRACTOR | 2 |
Nathan S. Lawrence | GB | Huntingdon | 2010-07-01 / 20100163430 - EROSION AND WEAR RESISTANT SONOELECTROCHEMICAL PROBE | 1 |
Kimberly Lawrence | US | San Mateo | 2011-10-20 / 20110258116 - System and Method for Issuer Originated Payments for On-Line Banking Bill Payments | 1 |
Dale A. Lawrence | US | Louisville | 2012-07-05 / 20120172666 - ENDOSCOPE APPARATUS, ACTUATORS, AND METHODS THEREFOR | 1 |
Nathan S. Lawrence | GB | Cambridgeshire | 2015-05-07 / 20150122487 - Downhole Electrochemical Sensor and Method of Using Same | 3 |
Jeffrey A. Lawrence | US | Hartford | 2016-04-21 / 20160108976 - MECHANICAL FRONT WHEEL DRIVE ROLLER WEDGING CONTROL SYSTEM | 2 |
Colin Lawrence | GB | East Sussex | 2010-01-21 / 20100011960 - Electrostatic Air Filter | 1 |
Gary Bernard Lawrence | US | Atlanta | 2008-10-09 / 20080244804 - Garment protectors | 1 |
Christopher Lawrence | GB | Dyrham | 2009-12-17 / 20090309882 - LARGE SCALE CROWD PHYSICS | 1 |
Thomas St. Lawrence | US | Lake Jackson | 2013-07-11 / 20130175712 - SYSTEM FOR FORMING MINI MICROBUBBLES | 3 |
Wilson Samuel Jesudason Lawrence | IN | Bangalore | 2016-02-04 / 20160033159 - AIR FLOW MIXER | 4 |
Greg Lawrence | CA | London | 2012-10-04 / 20120247458 - DISPENSING DEVICE | 1 |
Nathan Lawrence | GB | Wynton | 2014-03-06 / 20140060840 - ALTERING A COMPOSITION AT A LOCATION ACCESSED THROUGH AN ELONGATE CONDUIT | 1 |
Sam B. Lawrence | GB | London | 2015-12-10 / 20150356830 - SYSTEM AND METHOD FOR PROVIDING ENHANCED SERVICES TO A USER OF A GAMING APPLICATION | 8 |
Nicholas Alexander Lawrence | GB | Cambridge | 2009-10-15 / 20090257104 - Hologram Viewing Arrangement and Alignment Device | 1 |
Bradley Lawrence | US | Austin | 2014-09-04 / 20140246488 - Dynamic Multidimensional Barcodes for Information Handling System Service Information | 2 |
Anthony Lawrence | GB | Middlesex | 2009-06-11 / 20090145353 - Moistener for a Mailing Machine | 1 |
Shawn M. Lawrence | US | Valley Cottage | 2014-09-18 / 20140273095 - Serum-Free Cell Culture Medium | 1 |
Anthony Lawrence | GB | Manchester | 2016-03-17 / 20160075969 - COLOUR PROTECTION DETERGENT | 8 |
Brian T. Lawrence | US | Harris | 2014-03-13 / 20140069762 - TWO-SPEED CLUTCH AND KIT | 2 |
Shawn Lawrence | US | Valley Cottage | 2014-01-23 / 20140024812 - ENGINEERED INTRACELLULAR SIALYLATION PATHWAYS | 2 |
Jimmy Lawrence | US | Cambridge | 2012-06-14 / 20120149604 - Chemical Scavenger For Downhole Chemical Analysis | 11 |
Jane Evguenia Lawrence | US | Redmond | 2012-06-21 / 20120159250 - COMPATIBILITY TESTING USING TRACES, LINEAR TEMPORAL RULES, AND BEHAVIORAL MODELS | 1 |
Petra Lawrence | DE | Aidlingen | 2014-01-09 / 20140008146 - METHOD AND DAMPING ELEMENT FOR REDUCING THE NATURAL VIBRATION OF A COMPONENT | 1 |
Cindy Lawrence | US | Port Jefferson | 2015-11-05 / 20150317916 - DEMONSTRATION TOOLS FOR GEOMETRIC PROPERTIES | 1 |
Eric Lawrence | US | So. El Monte | 2014-06-05 / 20140153813 - Dough Forming Pressing Plate with Spacers | 2 |
Michel M. Lawrence | US | Inver Grove Heights | 2014-08-21 / 20140235521 - HARD SURFACE CLEANING COMPOSITIONS COMPRISING PHOSPHINOSUCCINIC ACID ADDUCTS AND METHODS OF USE | 4 |
Eric Lawrence | US | Sausalito | 2014-02-06 / 20140035371 - SYSTEM AND METHOD FOR PROPORTIONED POWER DISTRIBUTION IN POWER CONVERTER ARRAYS | 1 |
Gary Lawrence | US | Wayne | 2014-07-10 / 20140192838 - INNOVATIVE BEER GLASS | 1 |
Nathaniel T. Lawrence | US | San Jose | 2016-03-17 / 20160079498 - Light emitting device on a mount with a reflective layer | 1 |
Kevin Lawrence | US | Port Jefferson Station | 2015-08-13 / 20150230315 - Methods, Apparatus and Articles of Manufacture to Calibrate Lighting Units | 3 |
Christopher G. Lawrence | US | Bellevue | 2012-11-01 / 20120275375 - MICROWAVE BACKHAUL ARRANGEMENTS | 2 |
Michel Lawrence | US | Inver Grove Heights | 2011-12-22 / 20110312871 - DEVELOPMENT OF AN ALUMINUM HYDROXYDICARBOXYLATE BUILDER | 2 |
Michael M. Lawrence | US | Inver Grove Heights | 2010-07-08 / 20100173820 - COMPOSITIONS INCLUDING HARDNESS IONS AND GLUCONATE AND METHODS EMPLOYING THEM TO REDUCE CORROSION AND ETCH | 1 |
Sara Ann Lawrence | US | Lavon | 2008-10-09 / 20080245784 - Food warming mat and method for making | 1 |
Andrew R. Lawrence | US | Eagan | 2014-10-30 / 20140323891 - METHOD AND SYSTEM FOR DETERMINING CARDIAC PERFORMANCE | 5 |
Maynard R. Lawrence | US | Oakdale | 2014-08-21 / 20140234645 - REACTION PRODUCT OF PROPYLENE POLYMER AND WAX, GRAFT COPOLYMERS DERIVED FROM POLYPROPYLENE POLYMER AND WAX, HOT MELT ADHESIVE COMPOSITIONS INCLUDING THE SAME, AND METHODS OF USING AND MAKING THE SAME | 2 |
William Lawrence | US | San Antonio | 2008-11-20 / 20080288986 - WIRELESS INTEGRATED SECURITY CONTROLLER | 1 |
Cindy H. Lawrence | US | University Place | 2015-02-12 / 20150042819 - SYSTEMS AND METHODS FOR RECEIVING INFRARED DATA WITH A CAMERA DESIGNED TO DETECT IMAGES BASED ON VISIBLE LIGHT | 1 |
Kristina Lawrence | US | Escondido | 2016-03-24 / 20160083768 - POLYMER MEMBRANES FOR CONTINUOUS ANALYTE SENSORS | 12 |
Bradley Michael Lawrence | US | Austin | 2011-11-17 / 20110279973 - BEZEL LOCK FOR AN INFORMATION HANDLING SYSTEM | 3 |
Shawn Lawrence | US | Dobbs Ferry | / - | 1 |
Addision Lawrence | US | Port Aransas | 2010-07-01 / 20100166831 - Microencapsulated Nucleotide Formulations for Aquaculture and Land Animal Feeds | 1 |
Natalie R. Lawrence | US | Chamblee | 2011-06-30 / 20110161320 - METHODS, APPARATUSES, AND COMPUTER PROGRAM PRODUCTS FOR GEOCODING DATA | 1 |
Les Lawrence | US | Plainfield | 2014-09-11 / 20140255501 - Novel Preparation Of An Enteric Release System | 2 |
Paul J. Lawrence | US | Pacific Grove | 2012-01-26 / 20120021528 - Method for Adding an Apparent Non-Signal Line to a Rapid Diagnostic Assay | 1 |
Lindsay John Lawrence | US | Richmond | 2011-12-15 / 20110307631 - SYSTEM AND METHOD FOR PROVIDING ASYNCHRONOUS DATA COMMUNICATION IN A NETWORKED ENVIRONMENT | 1 |
Peter Alfred Lawrence | US | West Hartford | 2015-02-12 / 20150045152 - Lacrosse Training Ball | 1 |
John J. Lawrence | US | Houston | 2015-07-30 / 20150211348 - H2S Removal Using Scavenging Material for Gravel Pack | 1 |
Lonnie L. Lawrence | US | Odessa | 2010-08-05 / 20100192430 - Infinitely Variable Commemorative Coin | 1 |
Sarah Lawrence | US | Minneapolis | 2015-06-04 / 20150154686 - Online Registry Splash Page | 4 |
James Gregory Lawrence | US | Clarence Center | 2015-11-12 / 20150322335 - SILICONE-PHENOLIC COMPOSITIONS, COATINGS AND PROPPANTS MADE THEREOF, METHODS OF MAKING AND USING SAID COMPOSITIONS, COATINGS AND PROPPANTS, METHODS OF FRACTURING | 1 |
Kenneth G. Lawrence | US | Marina Del Ray | 2011-10-06 / 20110240379 - ELECTRONIC WEIGHING SYSTEM | 1 |
Jay A. Lawrence | US | Rochester | 2013-12-05 / 20130326445 - Categorization of Design Rule Errors | 4 |
Selma Lawrence | US | Houston | 2010-11-11 / 20100282641 - Process for Reducing Bromine Index of Hydrocarbon Feedstocks | 1 |
Jeffrey Lawrence | US | Lufkin | 2015-11-12 / 20150323000 - Five-axial groove cylindrical journal bearing with pressure dams for bi-directional rotation | 1 |
Addison Lawrence | US | Port Aransas | 2013-03-21 / 20130068170 - SYSTEM AND METHOD FOR SUPER-INTENSIVE SHRIMP PRODUCTION | 3 |
Robert J. Lawrence | US | Oro Valley | 2012-01-26 / 20120019522 - ENHANCED SITUATIONAL AWARENESS AND TARGETING (eSAT) SYSTEM | 1 |
James I. Lawrence | US | Georgetown | 2010-05-27 / 20100126750 - CABLE MANAGEMENT ACCESSORIES | 4 |
Brian Lee Lawrence | US | Niskayuna | 2012-02-09 / 20120033289 - ARTICLE USING AN OPTICAL DEVICE | 18 |
Richard Lawrence | US | Calverton | 2009-04-30 / 20090111774 - PMEA LIPID CONJUGATES | 1 |
Nathan Scott Lawrence | GB | Cambridge | 2015-09-03 / 20150247818 - ELECTROCHEMICAL HYDROGEN SENSOR | 1 |
Robert J. Lawrence | US | Brooklyn Park | 2008-09-04 / 20080213113 - Tubing holding device for roller pumps | 1 |
Gordon D. Lawrence | US | Minneapolis | 2015-11-12 / 20150327332 - ELECTRIC HEATING BLANKET OR PAD | 7 |
Michael J. Lawrence | US | Eden Prairie | 2009-12-31 / 20090326990 - METHOD FOR MANAGING CUSTOMER-BASED AVAILABILITY FOR A TRANSPORTATION CARRIER | 5 |
Steven Lawrence | US | Millwood | 2009-02-19 / 20090044825 - Cosmetic holder for carrying by user | 1 |
Michael Lawrence | US | Cambridge | 2016-01-07 / 20160004817 - SYSTEMS AND METHODS FOR IDENTIFYING SIGNIFICANTLY MUTATED GENES | 2 |
Nathan Lawrence | GB | Cambridge | 2016-05-12 / 20160133932 - LITHIUM CARBON FLUORIDE PRIMARY BATTERY | 4 |
Greg L. Lawrence | US | Bloomington | 2008-08-21 / 20080197588 - PLATFORM ASSEMBLY AND WORKING VEHICLE INCORPORATING SAME | 1 |
Keith Edward Lawrence | US | Peoria | 2015-02-05 / 20150033719 - Reducing Dig Force in Hydraulic Implements | 1 |
Blair Lawrence | CA | Port Coquitlam | 2011-09-01 / 20110209427 - Floor Tile | 1 |
Bradley R. Lawrence | US | Tampa | 2015-10-08 / 20150282760 - METHODS AND APPARATUS TO GATHER AND ANALYZE ELECTROENCEPHALOGRAPHIC DATA | 2 |
Richard J. Lawrence | US | Fort Detrick | 2014-04-24 / 20140113380 - FIELD SAMPLING KIT AND METHODS FOR COLLECTING AND DETECTING ALKYL METHYLPHOSPHONIC ACIDS | 1 |
Richard D. Lawrence | US | Ridgefield | 2015-08-20 / 20150235137 - PREDICTING INFLUENCE IN SOCIAL NETWORKS | 15 |
Nicholas James Lawrence | GB | Bristol | 2013-01-24 / 20130023663 - SUBSTITUTED STILBENES AND THEIR REACTIONS | 1 |
David A. Lawrence | US | Clarkston | 2016-03-17 / 20160076471 - ENGINE CYLINDER BANK-TO-BANK TORQUE IMBALANCE CORRECTION | 1 |
Thomas Lawrence | US | Mountain View | 2013-05-23 / 20130132749 - ADAPTIVE POWER CONTROL | 2 |
David G. Lawrence | US | Santa Clara | 2014-09-04 / 20140247183 - SATELLITE-BASED INTEGER CYCLE AMBIGUITY RESOLUTION OF LOCAL MEDIUM WAVE RADIO SIGNALS | 12 |
Eric C. Lawrence | US | Huntington Beach | 2012-05-10 / 20120114812 - Dough Forming Pressing Plate With Spacers | 1 |
Matthew Lawrence | US | San Francisco | 2010-01-07 / 20100003003 - OPTICAL ATTENUATOR | 1 |
Scott Lawrence | US | Kalamazoo | 2015-11-19 / 20150327777 - TISSUE MONITORING APPARATUS AND SYSTEM | 1 |
Paulraj Lawrence | US | Arden Hills | 2015-11-19 / 20150328307 - Recombinant Spike Protein Subunit Based Vaccine for Porcine Epidemic Diarrhea Virus (PEDV) | 1 |
David Lawrence | US | Mountain View | 2015-10-08 / 20150282859 - BALLOON CATHETER WITH FLEXIBLE ELECTRODE ASSEMBLIES | 2 |
Eliza Lawrence | US | Mountain View | 2014-09-18 / 20140276758 - OPEN IRRIGATED ABLATION CATHETER | 1 |
Nathan S. Lawrence | GB | Waton | 2014-11-13 / 20140332398 - ELECTROCHEMICAL pH MEASUREMENT | 1 |
Timothy Scott Lawrence | US | Lake Orion | 2013-08-22 / 20130214563 - Frame for open roof construction | 1 |
Christopher P. Lawrence | CA | Mississagua | 2013-02-28 / 20130049703 - SYSTEMS AND METHODS FOR PROVIDING POWER TO A LOAD BASED UPON A CONTROL STRATEGY | 1 |
Neil J. Lawrence | US | Lincoln | 2013-05-16 / 20130123100 - CERIUM OXIDE HAVING HIGH CATALYTIC PERFORMANCE | 2 |
Stephen R. Lawrence | US | Palo Alto | 2012-08-02 / 20120197837 - PERSONALIZED NETWORK SEARCHING | 4 |
Gregory Michel Lawrence | US | Chandler | 2012-11-22 / 20120291175 - Disposable underarm perspiration pad | 2 |
Victor B. Lawrence | US | Holmdel | 2010-04-29 / 20100103144 - METHOD AND APPARATUS FOR IMPROVED COMPUTER MONITORING PAD POINTING DEVICE | 1 |
Christine Lawrence | US | Waltham | 2010-10-21 / 20100268691 - Universal Visualization Platform | 1 |
Nathan Lawrence | US | South Easton | 2010-06-24 / 20100159507 - SHREDDER FOR MECHANICAL DISRUPTION BY GENTLE CONTROLLED COMPRESSIVE ROTATION | 2 |
Mark Lawrence | US | Newport News | 2015-12-10 / 20150356786 - System and Method for Augmented Reality Display of Electrical System Information | 4 |
Douglas W. Lawrence | US | Southborough | 2010-06-17 / 20100152646 - Intravitreal injection device and method | 1 |
Robert W. Lawrence | US | Wichita | 2011-09-15 / 20110220531 - STACKABLE PORTABLE COOLER SYSTEM | 1 |
Tyson Lawrence | US | Cambridge | 2016-01-28 / 20160025605 - CHEMICAL SENSING DEVICE | 6 |
David S. Lawrence | US | Chapel Hill | 2010-03-25 / 20100075297 - PHOTOSENSITIVE POLYPEPTIDE AND METHODS OF THEIR PRODUCTION AND USE | 6 |
Sandra B. Lawrence | US | Brookline | 2009-05-21 / 20090128613 - High Speed Photo-Printing Apparatus | 1 |
Roy Lawrence Ashok Inigo | US | San Diego | 2015-04-09 / 20150097862 - GENERATING AUGMENTED REALITY CONTENT FOR UNKNOWN OBJECTS | 8 |
Michael Lawrence-Brown | AU | City Beach | 2015-10-29 / 20150305852 - THORACIC AORTA STENT GRAFT WITH ACCESS REGION | 13 |
Michael Lawrence-Brown | AU | Beach | 2008-09-04 / 20080215134 - Vascular band | 1 |
Michael Lawrence-Brown | AU | Western Australia | 2011-10-20 / 20110257731 - THORACIC AORTA STENT GRAFT WITH ACCESS REGION | 1 |
Michael Lawrence-Brown | AU | City Beach | 2015-10-29 / 20150305852 - THORACIC AORTA STENT GRAFT WITH ACCESS REGION | 13 |
John Thomas Lawrence, Ii | US | Coto De Caza | 2014-10-09 / 20140298697 - Safety Frame System For An LED Signage | 1 |
Bradley R. Lawrence, Iii | US | Tampa | 2016-05-05 / 20160127262 - METHOD AND APPARATUS TO THROTTLE MEDIA ACCESS BY WEB CRAWLERS | 4 |
Robert H. Lawrence, Jr. | US | Riverside | 2011-01-13 / 20110005536 - NICOTIANA DIVERSITY | 1 |
Lawrence Livermore National Security, Llc | US | 2015-04-16 / 20150101882 - SYSTEM AND METHOD FOR MULTI-STAGE BYPASS, LOW OPERATING TEMPERATURE SUPPRESSOR FOR AUTOMATIC WEAPONS | 20 | |
Lawrence Livermore National Security, Llc | US | Livermore | 2013-08-08 / 20130202264 - WAVEGUIDES HAVING PATTERNED, FLATTENED MODES | 16 |
Carmel Lawrencia | SG | Singapore | 2009-02-26 / 20090054364 - Methods and compositions for delivery of pharmaceutical agents | 1 |
Matthew Lawrenson | CH | Bussignypres- De-Lausanne | 2016-04-21 / 20160112868 - LOCATION IDENTIFICATION | 1 |
Matthew John Lawrenson | CH | Bussigny-Pres De-Lausanne | 2016-05-19 / 20160140353 - METHOD AND APPARATUS FOR PROTECTING LOCATION DATA EXTRACTED FROM BRAIN ACTIVITY INFORMATION | 9 |
Matthew John Lawrenson | CH | Bussigny-Pres De-Lausanne | 2016-05-19 / 20160140353 - METHOD AND APPARATUS FOR PROTECTING LOCATION DATA EXTRACTED FROM BRAIN ACTIVITY INFORMATION | 9 |
Matthew John Lawrenson | CH | Lausanne | 2015-04-30 / 20150120523 - Method and Payment Unit For Controlling an Amount Available For Payment in a Vehicle | 6 |
Christopher C. Lawrenson | US | Williamsville | 2013-10-24 / 20130280514 - CHEMICAL OXYGEN GENERATOR | 1 |
James Lawrenson | GB | Lancashire | 2010-11-25 / 20100294524 - AGRICULTURAL IMPLEMENTS | 1 |
Charis Lawrenson | US | San Jose | 2012-12-27 / 20120329079 - Pro108 Antibody Compositions and Methods of Use and Use of Pro108 to Assess Cancer Risk | 2 |
Matthew John Lawrenson | FI | Espoo | 2015-06-04 / 20150154445 - METHOD AND APPARATUS FOR CONTROLLING TRANSMISSION OF DATA BASED ON GAZE INTERACTION | 3 |
John C. Lawrenson | US | Albany | 2012-06-07 / 20120137966 - High Pressure Apparatus with Stackable Rings | 1 |
David K. Lawrentz | US | Louisville | 2008-09-11 / 20080218014 - Friction Drive Spindle Unit | 1 |
Sven Lawrenz | DE | Ilvesheim | 2012-09-27 / 20120245308 - PROCESS FOR PREPARING POLYAMIDES | 1 |
Sven Lawrenz | DE | Iivesheim | 2015-08-20 / 20150232605 - PROCESS FOR PRODUCING POLYCARBODIIMIDE | 1 |
Brian Lawrenz | US | Forth Worth | 2014-05-01 / 20140116850 - CONVEYOR SYSTEM WEAR INDICATION DEVICES AND METHODS | 1 |
Brad K. Lawrenz | US | Round Lake Hts | 2013-02-14 / 20130037616 - RFID AEROSPACE INDUSTRY TAG AND METHOD OF USE | 1 |
Dirk Lawrenz | DE | Hassloch | 2014-11-20 / 20140342171 - AQUEOUS POLYMER DISPERSION OBTAINABLE BY FREE-RADICALLY INITIATED EMULSION POLYMERIZATION IN THE PRESENCE OF LIGNOSULFONATE | 12 |
Dirk Lawrenz | DE | Hassloch | 2014-11-20 / 20140342171 - AQUEOUS POLYMER DISPERSION OBTAINABLE BY FREE-RADICALLY INITIATED EMULSION POLYMERIZATION IN THE PRESENCE OF LIGNOSULFONATE | 12 |
Alan R. Lawrenz | US | East Lansing | 2010-05-06 / 20100107738 - MASS LOADING MONITOR | 1 |
Steven D. Lawrenz | US | 2009-09-24 / 20090237420 - AUTOMATICALLY CONFORMING THE ORIENTATION OF A DISPLAY SIGNAL TO THE ROTATIONAL POSITION OF A DISPLAY DEVICE RECEIVING THE DISPLAY SIGNAL | 2 | |
Bruce D. Lawrey | US | Coraopolis | 2010-09-02 / 20100222524 - HIGH MODULUS TRANSPARENT THERMOPLASTIC POLYURETHANES CHARACTERIZED BY HIGH HEAT AND CHEMICAL RESISTANCE | 1 |
Eric Phillip Lawrey | AU | Townsville | 2014-12-25 / 20140380270 - CODE GENERATION | 1 |
Peter Kenneth Lawrey | GB | Surrey | 2014-07-24 / 20140206434 - COMPUTER GRAPHICS PROCESSING METHODS AND SYSTEMS FOR PRESENTATION OF GRAPHICS OBJECTS OR TEXT IN A WAGERING ENVIRONMENT | 2 |
Martin W. Lawrey | US | Joshua | 2014-12-25 / 20140373619 - METHOD AND APPARATUS FOR MEASURING DEFORMATION OF ELASTOMERIC MATERIALS | 1 |
Bruce D. Lawrey | US | Pittsburgh | 2012-04-12 / 20120085961 - METHOD FOR IMPROVING SOUND DAMPING PERFORMANCE FOR AUTOMOTIVE INTERIOR APPLICATIONS | 1 |
Eric Phillip Lawrey | AU | Queensland | 2008-08-21 / 20080201690 - Code Generation Techniques | 1 |
Allan Lawrie | GB | Sheffield | 2014-09-11 / 20140255404 - PULMONARY HYPERTENSION | 1 |
Angeline Lawrie | US | Mogadore | 2009-07-16 / 20090178537 - PAN MUSICAL INSTRUMENTS AND METHODS FOR MAKING SAME | 1 |
Colin Lawrie | CA | Aurora | 2016-02-25 / 20160052702 - Memory Foam Pillow Packaging Having A Hexagonal Prism Structure | 1 |
Steven C. Lawrie | US | Akron | 2009-07-16 / 20090178537 - PAN MUSICAL INSTRUMENTS AND METHODS FOR MAKING SAME | 1 |
Keith Lawrie | GB | Inverness | 2015-02-19 / 20150050678 - MODULAR ANALYTICAL TEST METER | 2 |
Nathan Earl Lawrie | US | Santa Barbara | 2015-07-09 / 20150190304 - PORTABLE MASSAGE ROLLER | 1 |
David I. Lawrie | GB | Lauder | 2010-03-18 / 20100070737 - ADDRESS GENERATION | 1 |
William Lawrie | US | Dowingtown | 2013-05-02 / 20130111014 - NETWORK ANALYSIS DEVICE AND METHOD | 1 |
Duncan Lawrie | US | Girard | 2014-09-11 / 20140255817 - Electrochemical Stack Compression System | 2 |
Duncan J. Lawrie | US | Girard | 2012-11-08 / 20120283029 - HIGH TORQUE DENSITY FLEXIBLE COMPOSITE DRIVESHAFT | 2 |
Michael Paul Lawrukovich | US | Flushing | 2009-04-02 / 20090087354 - EXHAUST TREATMENT DEVICE WITH INDEPENDENT CATALYST SUPPORTS | 1 |
Tristan Lawry | US | Niantic | 2013-10-03 / 20130258815 - METHOD AND APPARATUS FOR AN ACOUSTIC-ELECTRIC CHANNEL MOUNTING | 1 |
Brian Douglas Lawry | US | Erie | 2014-12-11 / 20140365098 - SYSTEM AND METHOD FOR CONTROLLING AT LEAST ONE OF TRACTIVE OR BRAKING EFFORTS OF A VEHICLE SYSTEM | 1 |
David Stanley Lawry | AU | Colonel Light Gardens | 2012-06-21 / 20120155959 - AN ARRANGEMENT AND METHOD FOR FACILITATING WATER USAGE | 1 |
John R. Lawry | US | Westfield | 2010-12-09 / 20100313290 - MULTIPLEX ANALYSIS OF STACKED TRANSGENIC PROTEIN | 1 |
Brian D. Lawry | US | Erie | 2015-03-19 / 20150081214 - SYSTEM AND METHOD FOR IDENTIFYING DAMAGED SECTIONS OF A ROUTE | 5 |
Daniel Clinton Lawry | US | Alplaus | 2013-08-15 / 20130208760 - Method For Measuring Electrical Conductor Temperature | 1 |
Brian Lawry | US | Erie | 2015-06-25 / 20150179003 - SYSTEM AND METHOD FOR IDENTIFYING DAMAGED SECTIONS OF A ROUTE | 2 |
Daniel Lawry | US | Alplaus | 2010-03-25 / 20100076719 - EMMISSIVITY TEST INSTRUMENT FOR OVERHEAD ELECTRICAL TRANSMISSION AND DISTRIBUTION | 1 |
Tristan J. Lawry | US | East Lyme | 2015-03-26 / 20150085949 - MULTI-CHANNEL THROUGH-WALL COMMUNICATION SYSTEM USING CROSSTALK SUPPRESSION | 5 |
Jeff Lawry | US | Medina | 2011-12-08 / 20110298608 - SITE AND ALARM PRIORITIZATION SYSTEM | 1 |
Simon Lawry | AU | Annerley | 2014-01-16 / 20140018211 - SWIM TRAINING APPARATUS | 1 |
Daniel E. Lawrynowicz | US | Monroe | 2015-09-24 / 20150266210 - ANNEALING METHOD FOR CROSS-LINKED POLYETHYLENE | 12 |
Daniel E. Lawrynowicz | US | Monroe | 2015-09-24 / 20150266210 - ANNEALING METHOD FOR CROSS-LINKED POLYETHYLENE | 12 |
Daniel E. Lawrynowicz | US | Cornwall | 2009-12-31 / 20090324442 - Method for fabricating a biocompatible material having a high carbide phase and such material | 6 |
David J. Laws | US | Provo | 2013-05-30 / 20130136239 - APPARATUS & METHODS FOR COLLIMATION OF X-RAYS | 8 |
Simon Matthew Laws | AU | Wanneroo | 2013-05-09 / 20130116135 - Methods, Kits and Reagents for Diagnosing, Alding Diagnosis and/or Monitoring Progression of a Neurological Disorder | 1 |
Jerry L. Laws | US | Huntsville | 2014-11-27 / 20140348590 - POWERED SHEAVE FOR NODE DEPLOYMENT AND RETRIEVAL | 7 |
Robert Laws | GB | Cambridge | 2016-04-07 / 20160097869 - Systems and Methods for Optimizing Low Frequency Output from Airgun Source Arrays | 16 |
Matthew Laws | GB | London | 2014-10-23 / 20140316360 - CONVEX SUPPORTING DEVICE | 1 |
Frank Laws | US | Glenwood Springs | 2015-08-13 / 20150227707 - SYSTEM AND METHOD FOR CLINICAL PROCEDURE ALERT NOTIFICATIONS | 2 |
Simon M. Laws | AU | Wanneroo | 2014-03-27 / 20140086836 - METHOD FOR DETECTION OF A NEUROLOGICAL DISEASE | 1 |
Matthew David Laws | GB | London | 2015-10-29 / 20150308149 - METHOD AND APPARATUS FOR DISPENSING SANITIZER FLUID VIA DOOR HANDLES, AND RECORDING DATA PERTAINING TO HAND SANITIZATION | 1 |
Robert Laws | GB | Cambridge | 2016-04-07 / 20160097869 - Systems and Methods for Optimizing Low Frequency Output from Airgun Source Arrays | 16 |
Peter Laws | GB | Swindon, Wiltshire | 2013-01-03 / 20130005244 - NEGOTIATING COMMUNICATION PARAMETERS BETWEEN NEAR FIELD COMMUNICATIONS (NFC) CAPABLE DEVICES | 1 |
Shad Mitchell Laws | US | Palo Alto | 2010-04-01 / 20100077847 - TIRE STATE ESTIMATOR AND TIRE STATE ESTIMATION METHOD | 1 |
Jerl Lamont Laws | US | Oakland | 2015-04-30 / 20150116122 - TRAINING SYSTEM AND METHOD | 1 |
Marna June Laws | CA | Calgary | 2015-04-02 / 20150093487 - HEATING PAD ASSEMBLY FOR CONTAINER WARMING | 1 |
Catherine Keefauver Laws | US | Austin | 2008-09-04 / 20080215969 - DOCUMENT CONTENT ANALYSIS TECHNOLOGY FOR REDUCING COGNITIVE LOAD | 1 |
Robert Montgomery Laws | GB | Cliftonville | 2014-09-18 / 20140269173 - METHODS AND SYSTEMS FOR MARINE SURVEY ACQUISITION | 1 |
David J. Laws | US | Provo | 2013-05-30 / 20130136239 - APPARATUS & METHODS FOR COLLIMATION OF X-RAYS | 8 |
George Robert Laws | US | Goldvein | 2009-08-27 / 20090212098 - APPARATUS AND METHOD FOR A REUSABLE MAILER | 1 |
Jeffrey S. Laws | US | Brownwood | 2008-09-11 / 20080219627 - Fiber optic cable with enhanced saltwater performance | 1 |
Adam Timothy Laws | AU | East Ryde, Nsw | 2014-07-17 / 20140197001 - PRESENTATION CASE FOR TRANSPORTING, STORING AND DISPLAYING PRESENTATION MATERIAL | 1 |
Ron D. Laws | US | Sunnyvale | 2009-07-02 / 20090168978 - VIDEO ON HOLD FOR VOIP SYSTEM | 1 |
Kevin Laws | US | Dearborn | 2015-12-31 / 20150375804 - Light Weight Tailgate Structure | 1 |
Brian Alvin Laws | US | Cincinnati | 2011-08-25 / 20110204153 - TRACK SYSTEM INCLUDING A GUARDRAIL | 1 |
Nathan H. Laws | US | Dubuque | 2013-05-30 / 20130133973 - Charge Pressure Reduction Circuit For Improved Transmission Efficiency | 1 |
Kevin Laws | US | Hillsborough | 2014-12-04 / 20140359123 - Efficient Resource Usage For Location Sharing In Computer Networks | 1 |
Kevin Allen Laws | US | Belmont | 2015-08-06 / 20150220876 - PREDICTIVE CONVERSION SYSTEMS AND METHODS | 4 |
Macalister Laws | US | Bellingham | 2012-05-17 / 20120118928 - BICYCLE RACK FOR A VEHICLE | 1 |
Philip Laws | GB | Middlesex | 2012-02-02 / 20120026281 - DATA PROCESSING APPARATUS FOR SEGMENTAL PROCESSING OF INPUT DATA, SYSTEMS USING THE APPARATUS AND METHODS FOR DATA TRANSMITTAL | 1 |
Robert Montgomery Laws | GB | Cambridge | 2014-11-13 / 20140334257 - SIMULTANEOUS MARINE VIBRATORS | 13 |
Gerard Laws | US | Tempe | 2009-01-15 / 20090014757 - QUANTUM WIRE SENSOR AND METHODS OF FORMING AND USING SAME | 1 |
Kevin Laws | US | Belmont | 2013-11-28 / 20130316726 - Efficient Resource Usage For Location Sharing In Computer Networks | 1 |
Adam Timothy Laws | AU | East Ryde | 2015-05-07 / 20150122244 - SOLAR ENERGY RECEIVER | 1 |
Robert Montgomery Laws | GB | Cambridge | 2014-11-13 / 20140334257 - SIMULTANEOUS MARINE VIBRATORS | 13 |
James M. Laws | US | Orlando | 2016-02-18 / 20160047336 - FUEL BOWL FOR CARBURETOR SYSTEM AND ASSOCIATED METHODS | 1 |
James M. Laws | US | Howey-In-The-Hills | 2016-03-24 / 20160084411 - FUEL JET TUBE AND RELATED METHODS | 1 |
Karen Laws | GB | Thame | 2009-04-23 / 20090101680 - SPRAY DISPENSER WITH COMPRESSED GAS CONTAINER | 1 |
Seth Anthony Laws | US | Raleigh | 2010-10-28 / 20100272915 - PORTABLE SPRAY BOOTH WITH AIR HANDLING SYSTEM | 1 |
Nathaniel Douglas Laws | US | Whippany | 2010-10-14 / 20100262916 - System and Method For Facilitating User-Generated Content Relating to Social Networks | 1 |
Larry D. Laws | US | Macomb | 2015-09-17 / 20150260286 - DOWNSHIFT INDICATION LIGHT FOR FUEL OPTIMIZATION ON ENGINES WITH ACTIVE FUEL MANAGEMENT | 5 |
Matthew Laws | GB | Brixton | 2015-01-15 / 20150018790 - OSTOMY WAFER | 1 |
Matthew Edwin Laws | NZ | Auckland | 2012-05-17 / 20120123614 - METHOD AND APPARATUS FOR VIRTUALIZING INDUSTRIAL VEHICLES TO AUTOMATE TASK EXECUTION IN A PHYSICAL ENVIRONMENT | 2 |
Jessica Lawshe | US | Chandler | 2010-08-26 / 20100212181 - METHODS FOR TREATING FABRIC IN A DRYER | 1 |
Edward C. Lawson | US | Pipersville | 2012-08-23 / 20120214817 - UROTENSIN II RECEPTOR ANTAGONISTS | 8 |
Simon James Lawson | GB | Blackburn | 2010-06-03 / 20100136200 - METHOD OF MANUFACTURING NUTRITIONAL COMPOSITIONS | 1 |
Alastair Lawson | GB | Alresford | 2013-08-08 / 20130203968 - ANTIBODY-BASED DIAGNOSTICS AND THERAPEUTICS | 4 |
Barry Eugene Lawson | US | Marion | 2015-06-25 / 20150179307 - HIGH VISIBILITY CABLE | 1 |
Scott L. Lawson | US | Pinehurst | 2012-07-26 / 20120187356 - HOIST LOCK BLOCK | 1 |
Damon Lawson | US | Stillwater | 2014-09-18 / 20140278759 - SYSTEMS AND METHODS FOR LEAD DEVELOPMENT IN A BUSINESS TO BUSINESS MARKETPLACE | 1 |
John Lawson | US | Essex Junction | 2011-11-03 / 20110267084 - THERMAL INTERFACE MATERIAL, TEST STRUCTURE AND METHOD OF USE | 1 |
Alastair David Griffiths Lawson | GB | Slough | 2015-08-20 / 20150232553 - FUNCTION MODIFYING NAv1.7 ANTIBODIES | 12 |
Russ Lawson | US | Hernando | 2012-12-20 / 20120319620 - LED-BASED LIGHTING MODULE AND CONTROL METHOD | 1 |
William Lawson | US | South Hamilton | 2012-10-04 / 20120247316 - Load sharing hard point net | 3 |
Francesca Lawson | US | Penn Valley | 2013-04-25 / 20130101524 - SEMULOPARIN FOR THE EXTENDED PREVENTION OF A MORTALITY AND/OR MORBIDITY EVENT IN A PATIENT HAVING UNDERGONE HIP FRACTURE SURGERY | 2 |
Keith H. Lawson | US | Barltesville | 2016-02-18 / 20160046877 - SEQUENTIAL MIXING SYSTEM FOR IMPROVED DESALTING | 2 |
Craig Raymond Lawson | CA | Burnaby | 2015-10-15 / 20150292212 - CONTINUOUS HANDRAIL SYSTEM | 2 |
Keith R. Lawson | US | Phoenix | 2014-03-06 / 20140067110 - SYSTEMS AND METHODS FOR DYNAMIC SEMICONDUCTOR PROCESS SCHEDULING | 2 |
Christopher Patrick Lawson | GB | Kent | 2014-10-23 / 20140316288 - Method and Apparatus for Measuring Blood Pressure | 2 |
Michael Lawson | US | Spring | 2016-03-24 / 20160084817 - Method to Enhance Exploration, Development and Production of Hydrocarbons Using Multiply Substituted Isotopologue Geochemistry, Basin Modeling and Molecular Kinetics | 4 |
Jams Alan Lawson | US | Ellsworth | 2016-04-14 / 20160102113 - METHODS FOR PREPARING THERMALLY STABLE LIGNIN FRACTIONS | 1 |
Ann Parrinello Lawson | US | Sudbury | 2016-03-31 / 20160090351 - INHIBITORS OF DEUBIQUITINATING PROTEASES | 1 |
Rebecca Lawson | IE | Cork | 2016-03-31 / 20160088912 - PROTECTIVE CASE WITH COMPARTMENT | 1 |
Kathleen Marie Lawson | US | Mason | 2012-12-27 / 20120330264 - Absorbent Article With A Waistband And Leg Cuff Having Gathers | 3 |
David W. Lawson | GB | Cardiff | 2010-07-15 / 20100179266 - Silicone Elastomers For High Temperature Performance | 2 |
Timothy John Lawson | GB | Blackburn | 2010-06-03 / 20100136200 - METHOD OF MANUFACTURING NUTRITIONAL COMPOSITIONS | 1 |
Ron Lawson | US | Harrisburg | 2013-12-12 / 20130327828 - IMAGE CAPTURE SYSTEM | 1 |
Alistair Lawson | GB | Alresford | 2010-02-11 / 20100036091 - ANTIBODY-BASED DIAGNOSTICS AND THERAPEUTICS | 1 |
David Lawson | GB | East Sussex | 2010-02-04 / 20100028150 - AIRFOILS WITH AUTOMATIC PITCH CONTROL | 1 |
Jonathan T. Lawson | US | Eagan | 2015-01-29 / 20150030323 - SYSTEMS AND METHODS FOR DETECTING COMPONENT ROTATION WITHIN A COMMUNICATION ASSEMBLY | 3 |
William T. Lawson | US | Raceland | 2014-06-26 / 20140174048 - ROW INSENSITIVE BIOMASS HARVESTING AND BILLETING SYSTEM AND METHOD | 1 |
Keith W. Lawson | US | Escondido | 2011-06-09 / 20110131859 - FIREARM MOUNT | 1 |
James Brian Lawson | US | Kenmore | 2014-06-19 / 20140172814 - Building Long Search Queries | 1 |
Richard Lawson | US | St. Louis | 2014-05-08 / 20140124998 - PART POSITIONING APPARATUS | 1 |
Jeffrey Gordon Lawson | US | Seattle | 2014-07-10 / 20140195431 - Aggregate Constraints for Payment Transactions | 1 |
Angela D. Lawson | US | Memphis | 2014-06-19 / 20140172739 - SYSTEM AND METHOD OF COORDINATING DISTRIBUTION OF AN ITEM | 1 |
Nigel Lawson | GB | Sevenoaks Kent | 2016-02-25 / 20160052633 - PASSENGER MODULE AND SEATING ARRAY FOR AN AIRCRAFT CABIN | 1 |
Anne M. Lawson | US | Akron | 2015-09-17 / 20150259523 - TIN-FREE CATALYSTS FOR CROSS-LINKED POLYETHYLENE PIPE AND WIRE | 1 |
Jonathan T. Lawson | US | Cottage Grove | 2016-04-14 / 20160103289 - SLIDABLE TELECOMMUNICATIONS TRAY WITH CABLE SLACK MANAGEMENT | 2 |
Jim Lawson | US | Corona | 2012-02-23 / 20120042892 - METHOD OF MANUFACTURE AND PRODUCT FOR REMOVING TOBACCO ODOR FROM BREATH | 1 |
Brian Lawson | US | Nashville | 2013-11-21 / 20130310949 - STAIR ASCENT AND DESCENT CONTROL FOR POWERED LOWER LIMB DEVICES | 3 |
Edward L. Lawson | US | Long Beach | 2016-02-25 / 20160052637 - METHODS AND APPARATUS FOR SUPPORTING ENGINES AND NACELLES RELATIVE TO AIRCRAFT WINGS | 1 |
Richard Gordon Lawson | CA | Erin | 2013-10-10 / 20130268989 - METHOD AND SYSTEM FOR DYNAMICALLY ALOCATING POPULAR CONTENT | 1 |
John David Lawson | US | Fort Washington | 2011-06-09 / 20110136766 - AURORA KINASE INHIBITORS | 1 |
Drew Lawson | US | Aptos | 2016-03-31 / 20160090240 - NEGATIVE SELECT ACCESS MECHANISM | 1 |
Robert B. Lawson | US | Naples | 2012-06-14 / 20120144578 - Safety grate cover for a swimming pool | 2 |
Lawrence J. Lawson | US | Troy | 2015-05-28 / 20150144274 - Bead Seater Apparatus and Method for Using the Same | 36 |
David E. Lawson | US | Webster | 2014-10-30 / 20140317941 - PROBE DEPLOYMENT MECHANISM OF MEASURING MACHINE WITH ISOLATED LOCATOR COUPLING | 4 |
Thomas J. Lawson | US | Naples | 2008-10-09 / 20080244810 - HELMET WITH BUILT-IN STORAGE COMPARTMENT AND METHOD | 1 |
Hunter J. Lawson | US | Naples | 2008-10-09 / 20080244810 - HELMET WITH BUILT-IN STORAGE COMPARTMENT AND METHOD | 1 |
Don Lawson | US | 2008-11-06 / 20080275204 - CARDANOL BASED DIMERS AND USES THEREFOR | 1 | |
Judy L. Lawson | US | Collwich | 2011-10-27 / 20110262707 - Multipurpose glove | 2 |
Richard Lawson | US | Indianapolis | 2008-12-11 / 20080305207 - METHOD OF PRODUCING ARTIFICIALLY SWEETENED WINE | 1 |
John Lawson | US | Hyde Park | 2013-12-19 / 20130338182 - NOVEL MORPHINANS USEFUL AS ANALGESICS | 1 |
Graham Lawson | GB | Crawley | 2010-01-28 / 20100020513 - INTEGRATED MICROWAVE CIRCUIT | 1 |
David L. Lawson | US | Vancouver | 2008-12-18 / 20080311840 - AIR HANDLER PANELS | 1 |
William J. Lawson | US | Niskayuna | 2013-07-04 / 20130167935 - SYSTEMS AND METHODS FOR MONITORING FLUID SEPARATION AND/OR MONITORING THE HEALTH OF A VALVE | 6 |
Brian J. Lawson | GB | Leven | 2013-10-17 / 20130271348 - DIELECTRIC LENS CONE RADIATOR SUB-REFLECTOR ASSEMBLY | 1 |
Edward I. Lawson | US | Rockville | 2009-01-15 / 20090019473 - Method of delivering targeted advertising | 1 |
Andrew Lawson | GB | Hamilton | 2016-02-04 / 20160031685 - LOAD BEARING APPARATUS AND METHOD | 2 |
Robert B. Lawson | US | Napels | 2009-01-15 / 20090014369 - Friction profile for the top of pool grate bars | 1 |
Robert Lawson | US | Naples | 2009-03-05 / 20090061158 - Friction profile for the top of pool grate bars | 1 |
Simon Craig Lawson | GB | Berkshire | 2009-10-15 / 20090258229 - METHOD OF IMPROVING THE CRYSTALLINE PERFECTION OF DIAMOND CRYSTALS | 1 |
John Lawson | US | Petersham | 2012-08-02 / 20120196774 - SYSTEMS, DEVICES, AND METHODS FOR ULTRA-SENSITIVE DETECTION OF MOLECULES OR PARTICLES | 4 |
Simon Mark Lawson | GB | Leicester | 2015-06-11 / 20150160111 - VISCOMETER | 1 |
David Lawson | US | Scottsdale | 2009-06-04 / 20090140848 - SYSTEMS AND METHODS FOR A PROPERTY SENTINEL | 1 |
John E. Lawson | GB | Todmorden | 2009-09-24 / 20090236100 - PLUG RETRIEVAL AND DEBRIS REMOVAL TOOL | 1 |
Richard D. Lawson | GB | Broughty Ferry | 2009-08-27 / 20090216651 - Dispensing valuable media | 1 |
Simon Craig Lawson | GB | Maidenhead, Berkshire | 2009-05-21 / 20090127506 - HIGH CRYSTALLINE QUALITY SYNTHETIC DIAMOND | 1 |
Jeffrey Lawson | US | San Francisco | 2016-05-19 / 20160142446 - SYSTEM AND METHOD FOR INTEGRATING SESSION INITIATION PROTOCOL COMMUNICATION IN A TELECOMMUNICATIONS PLATFORM | 24 |
Richard Lee Lawson | US | Melbourne | 2015-06-11 / 20150158511 - POINTS MACHINE MONITORING SYSTEM AND METHOD | 2 |
Christopher John Lawson | GB | Reading | 2009-01-08 / 20090012013 - Steroid modified solatrioses | 1 |
Nigel Lawson | GB | Kent | 2008-09-04 / 20080210703 - Wipes Being Formed Into A Non-Planar Form And Dispenses For Storing Said Wipes | 1 |
Katherine Lawson | US | Syracuse | 2014-09-18 / 20140278126 - High-Resolution Melt Curve Classification Using Neural Networks | 1 |
Gregory Mark Lawson | US | Saltillo | 2009-07-09 / 20090174251 - ZERO-WALL CLEARANCE LINKAGE MECHANISM FOR A HIGH-LEG SEATING UNIT | 1 |
Richard Lee Lawson | US | Oak Grove | 2009-07-09 / 20090173842 - METHODS AND SYSTEM OF AUTOMATING TRACK CIRCUIT CALIBRATION | 3 |
Kent Lawson | US | Sherman | 2015-07-02 / 20150188889 - METHODS, SYSTEMS, AND MEDIA FOR SECURE CONNECTION MANAGEMENT AND AUTOMATIC COMPRESSION OVER METERED DATA CONNECTIONS | 2 |
Ryan Lawson | AU | New South Wales | 2013-12-26 / 20130341992 - ARTICLE OF FURNITURE | 1 |
Henry Lawson | GB | West Sussex | 2014-09-18 / 20140278992 - AD BLOCKING TOOLS FOR INTEREST-GRAPH DRIVEN PERSONALIZATION | 1 |
Peter F. Lawson | US | Tulsa | 2016-01-07 / 20160003016 - ESP System Having Carbon Nanotube Components | 4 |
Douglas Lawson | US | Westford | 2011-05-26 / 20110119949 - CONTROLLABLE CURING SYSTEMS AND METHODS INCLUDING AN LED SOURCE | 1 |
Kevin W. Lawson | US | Houston | 2015-01-29 / 20150031842 - Methods for Polyolefin Polymerization with High Activity Catalyst Systems | 4 |
Clement Lawson | US | Bay Shore | 2013-07-04 / 20130171078 - Transfer Resistant Cosmetic Composition | 1 |
David A. Lawson | US | Rochester | 2015-07-23 / 20150205900 - IMPLEMENTING ENHANCED PHYSICAL DESIGN QUALITY USING HISTORICAL PLACEMENT ANALYTICS | 3 |
Stephan Lawson | US | Darby | 2014-09-11 / 20140257487 - Low Profile Plate | 2 |
William R. Lawson | US | Gloucester | 2014-05-15 / 20140130656 - RPG DEFEAT METHOD AND SYSTEM | 4 |
Brian E. Lawson | US | Nashville | 2015-10-22 / 20150297364 - WALKING CONTROLLER FOR POWERED ANKLE PROSTHESES | 1 |
Glen J. Lawson | US | Pinellas Park | 2014-06-26 / 20140175829 - HITCH MOUNTED CAMPING ASSEMBLY | 1 |
James Alan Lawson | US | Ellsworth | 2015-05-28 / 20150144126 - METHODS FOR TREATING LIGNOCELLULOSIC MATERIALS | 4 |
Kathleen A. Lawson | US | Amesbury | 2015-03-26 / 20150085497 - WAVELENGTH CONVERTER AND LIGHT-EMITTING DEVICE HAVING SAME | 1 |
Steven L. Lawson | US | Marietta | 2009-11-05 / 20090272028 - METHODS AND SYSTEMS FOR PROCESSING SOLID FUEL | 2 |
George J. Lawson | US | Barre | 2014-11-13 / 20140332810 - TEMPORARY LIQUID THERMAL INTERFACE MATERIAL FOR SURFACE TENSION ADHESION AND THERMAL CONTROL | 2 |
William R. Lawson | US | Reno | 2012-02-09 / 20120036388 - SYSTEM AND METHOD FOR SYNCHRONIZING MULTIPLE MEDIA DEVICES | 1 |
Craig P. Lawson | GB | Marston Moretaine | 2016-04-14 / 20160102261 - DEHYDRATION OF LIQUID FUEL | 2 |
James Allen Lawson | US | Ellsworth | 2016-05-12 / 20160130408 - METHODS FOR TREATING LIGNOCELLULOSIC MATERIALS | 1 |
Gary L. Lawson | US | Suffolk | 2009-11-12 / 20090279325 - LIGHT STRING SYSTEM | 1 |
Frank J. Lawson | US | Eagle Point | 2009-12-03 / 20090299516 - SYSTEMS AND METHODS OF IDENTIFYING AND MANIPULATING OBJECTS | 1 |
John A. Lawson | US | Hyde Park | 2009-12-24 / 20090318699 - Methods of synthesis of morphinans | 1 |
Jeffrey A. Lawson | US | Austin | 2014-05-01 / 20140123315 - SYSTEM AND METHOD FOR PRESENTING FLIGHT TRACKING DATA TO A USER BASED UPON THE USER'S ACCESS PERMISSIONS TO VARIOUS SOURCES | 2 |
Catherine L. Lawson | US | Piscataway | 2014-01-30 / 20140030285 - Altered OSPA of Borrelia Burgdorferi | 2 |
Jeffrey Lowell Lawson | US | Davie | 2016-03-10 / 20160070452 - USER INTERFACE FOR DYNAMIC GENERATION OF TOURNAMENT BRACKETS | 2 |
Jerry Wayne Lawson | US | Pfafftown | 2011-01-27 / 20110023178 - High threonine producing lines of nicotiana tobacum and methods for producing | 1 |
Ken Lawson | GB | Bedfordshire | 2012-02-02 / 20120028012 - MULTILAYER COATING | 1 |
David Lawson | US | Yorkville | 2011-11-24 / 20110284598 - Multifunctional Duffle Bag And Backpack Combination With Embroiderable Panels | 1 |
Jake Lawson | US | St. Joseph | 2010-01-21 / 20100016088 - Formed Grease Cover Retention Feature | 1 |
John Lawson | GB | Belfast | 2015-07-23 / 20150207383 - BASE FOR A GENERATOR | 3 |
Jeffrey G. Lawson | US | San Francisco | 2014-05-15 / 20140133482 - METHOD AND SYSTEM FOR A MULTITENANCY TELEPHONE NETWORK | 13 |
Patrick S. Lawson | US | Ashmore | 2012-06-07 / 20120141230 - METHODS AND APPARATUS TO SCORE BOOK COVERS | 2 |
Jeffrey H. Lawson | US | Durham | 2014-01-16 / 20140018721 - Arteriovenous Graft for Hemodialysis with Puncture-Resistant Posterior and Side Walls | 1 |
Calvin Curtis Lawson | US | Wilmington | 2010-01-21 / 20100011791 - R422D HEAT TRANSFER SYSTEMS AND R22 SYSTEMS RETROFITTED WITH R422D | 1 |
Nolan Lawson | US | Lynwood | 2010-02-04 / 20100030715 - Social Network Model for Semantic Processing | 1 |
Wayne Lawson | US | Lagrange | 2010-03-04 / 20100050680 - ICE PRODUCING APPARATUS | 2 |
Craig E. Lawson | US | Amherst | 2016-02-04 / 20160036141 - ELECTRICAL TERMINAL | 3 |
Blaine Lawson | US | Farmington | 2014-06-19 / 20140165893 - EXTENDABLE MULTIHULL BOAT | 1 |
Richard J. Lawson | US | Dakota Dunes | 2014-07-31 / 20140215400 - INTEGRATED CONTENT GUIDE FOR INTERACTIVE SELECTION OF CONTENT AND SERVICES ON PERSONAL COMPUTER SYSTEMS WITH MULTIPLE SOURCES AND MULTIPLE MEDIA PRESENTATION | 3 |
Roger E. Lawson | US | Brimfield | 2016-01-28 / 20160023696 - CRAWLER SHOE HAVING WEAR MEASUREMENT FEATURES | 3 |
Martin E. Lawson | US | Somerset | 2016-02-11 / 20160039495 - ALL WHEEL DRIVE MOTORCYCLE WITH ENHANCED SOFT TERRAIN CAPABILITIES | 4 |
Eric N. Lawson | US | Grosse Ile | 2010-08-19 / 20100209660 - WOOD COMPOSITE MATERIAL CONTAINING STRANDS OF DIFFERING DENSITIES | 2 |
William J. Lawson | US | Milton | 2010-09-23 / 20100237729 - MOTION CONVERSION DEVICE | 1 |
Greg Lawson | US | Tupelo | 2008-11-27 / 20080290710 - Recliner Lift Chair with Dual Motors | 1 |
Andrew F. Lawson | US | Milwaukee | 2014-03-20 / 20140077493 - HYDRAULIC TUBE ASSEMBLY FOR A HYDRAULIC SYSTEM | 2 |
Robert D. Lawson | US | Johnston | 2010-12-23 / 20100321173 - ELECTRONIC ACCESS SECURITY AND KEYLESS ENTRY SYSTEM | 1 |
Phillip G. Lawson | US | Hewitt | 2013-05-16 / 20130124402 - INTERNET PACKAGE SHIPPING SYSTEMS AND METHODS | 2 |
Gary Lee Lawson | US | Suffolk | 2011-01-06 / 20110000838 - CLEANING SYSTEMS AND METHODS FOR CLEANING CONTAINERS HAVING NON-VERTICAL SIDEWALLS | 2 |
Frederick Lawson | US | Somerset | 2013-10-17 / 20130269623 - WOOD ANIMAL LITTER | 6 |
Richard A. Lawson | US | Atlanta | 2011-04-28 / 20110097668 - NEGATIVE TONE MOLECULAR GLASS RESISTS AND METHODS OF MAKING AND USING SAME | 1 |
Peter Francis Lawson | US | Tulsa | 2009-06-18 / 20090151928 - ELECTRICAL SUBMERSIBLE PUMP AND GAS COMPRESSOR | 1 |
Richard John Lawson | US | 2016-01-21 / 20160015191 - CONNECTION ASSEMBLY | 1 | |
Douglas C. Lawson | US | Silverado | 2015-11-26 / 20150341469 - INDUSTRIAL AUTOMATION SERVICE TEMPLATES FOR PROVISIONING OF CLOUD SERVICES | 9 |
Derek L. Lawson | US | Raleigh | 2009-06-25 / 20090159766 - Holder for Portable Electronic Devices | 1 |
Jack D. Lawson | US | Newcastle | 2013-02-07 / 20130036103 - Software Part Validation Using Hash Values | 1 |
William R. Lawson | US | Hamilton | 2015-08-20 / 20150233677 - RPG DEFEAT METHOD AND SYSTEM | 4 |
David C. Lawson | US | Haymarket | 2012-05-17 / 20120120704 - SINGLE EVENT UPSET HARDENED STATIC RANDOM ACCESS MEMORY CELL | 3 |
Richard Douglas Lawson | GB | Dundee | 2013-05-02 / 20130111047 - SESSION TRANSFER | 2 |
Wayne E. Lawson | US | Lagrange | 2012-02-16 / 20120036881 - APPARATUS FOR BREAKING ICE CLUMPS | 4 |
Keir Lawson | GB | Edinburgh | 2012-08-09 / 20120204105 - Generating User Help Information for Customized User Interfaces | 2 |
David L. Lawson | US | Northeast | 2008-10-09 / 20080245418 - Freezeless Hydrant | 1 |
Kevin Jon Lawson | US | Sault Ste. Marie | 2010-08-05 / 20100198140 - Percutaneous tools and bone pellets for vertebral body reconstruction | 1 |
Malachi Lawson | US | Oviedo | 2011-04-21 / 20110091847 - METHOD, SYSTEM, AND COMPUTER SOFTWARE CODE FOR THE ADAPTATION OF TRAINING VIA PERFORMANCE DIAGNOSIS BASED ON (NEURO)PHYSIOLOGICAL METRICS | 1 |
Andy Lawson | GB | London | 2011-10-06 / 20110244453 - SALMONELLA DETECTION ASSAY | 1 |
Melanie Lawson | US | Centennial | 2015-11-05 / 20150313300 - Add-On Decorative Pocket Flaps for Jeans and Other Pants | 1 |
William R. Lawson | US | New Smyrna Beach | 2015-11-05 / 20150313814 - COLORED LAMELLAR COMPOSITIONS | 1 |
John Andrew Lawson | AU | New South Wales | 2011-09-22 / 20110229386 - Sulfate Process | 1 |
William Lawson | US | Trappe | 2009-04-16 / 20090099525 - Drug Delivery System with Breakaway Plunger Extractor | 1 |
David Lawson | GB | South Queensferry | 2014-12-04 / 20140353788 - SEMICONDUCTOR OPTICAL PACKAGE AND METHOD | 1 |
William E. Lawson | US | Somerset | 2016-02-11 / 20160039495 - ALL WHEEL DRIVE MOTORCYCLE WITH ENHANCED SOFT TERRAIN CAPABILITIES | 2 |
Gregory M. Lawson | US | Tupelo | 2016-01-28 / 20160022040 - ZERO-WALL CLEARANCE LINKAGE MECHANISM WITH POWER SEAT DRIVE | 12 |
John A. Lawson | US | Penn Valley | 2015-09-03 / 20150247841 - NEUROFURANS-INDICES OF OXIDANT STRESS | 3 |
Kathleen Marie Lawson | US | West Chester | 2016-03-03 / 20160058632 - DISPOSABLE ABSORBENT ARTICLES HAVING AN INTERIOR DESIGN SIGNAL | 11 |
Alex Lawson | US | Washington | 2015-01-29 / 20150029153 - ELECTRICALLY CONDUCTIVE DEVICE TO BE APPLIED TO A PORTION OF A GLOVE FOR USE WITH TOUCH SCREEN DEVICE | 2 |
Richard John Lawson | GB | Near Ashbourne | 2011-12-01 / 20110290958 - DISPLAY STANDS | 1 |
Sam Lawson | US | Columbus | 2015-07-02 / 20150183030 - CHUCK FOR HOLDING PRECISION COMPONENTS | 2 |
Roger G. Lawson | CA | Toronto | 2015-02-05 / 20150033948 - Automated Hot Beverage Brewing Machine | 1 |
Reginald Lawson | US | National City | 2011-12-01 / 20110291375 - Skateboard training method and apparatus | 1 |
Thomas E. Lawson | US | Malvern | 2015-10-29 / 20150311865 - Flyback Amplifier with Direct Feedback | 13 |
Edward C. Lawson | US | Pipersville | 2012-08-23 / 20120214817 - UROTENSIN II RECEPTOR ANTAGONISTS | 8 |
William F. Lawson | US | Vestal | 2016-04-14 / 20160105182 - LEVEL SHIFTING AN I/O SIGNAL INTO MULTIPLE VOLTAGE DOMAINS | 9 |
John Lewis Lawson | US | Evans | 2012-08-23 / 20120215413 - Electronic Passcode Key for Varying Operational Parameters of a Vehicle | 1 |
Darlene Madeline Lawson | US | Kernersville | 2016-05-12 / 20160128294 - INFUSED PLANT SEEDS | 11 |
John Richard Lawson | GB | Ashbourne | 2011-06-30 / 20110158742 - CONNECTION ASSEMBLY | 1 |
Rick A. Lawson | US | Spring | 2014-01-30 / 20140028462 - RECONFIGURABLE EQUIPMENT MONITORING SYSTEMS AND METHODS | 2 |
Simon Lawson | GB | Harrogate | 2015-05-14 / 20150129818 - PARTICLES FOR ELECTROPHORETIC DISPLAYS | 4 |
Jeffrey S. Lawson | US | Corona | 2014-10-02 / 20140293052 - IMAGE-BASED VEHICLE DETECTION AND DISTANCE MEASURING METHOD AND APPARATUS | 1 |
Alastair David Griffiths Lawson | GB | Slough | 2015-08-20 / 20150232553 - FUNCTION MODIFYING NAv1.7 ANTIBODIES | 12 |
Alastair David Griffiths Lawson | GB | Berkshire | 2011-11-10 / 20110275857 - Antibody-Guided Fragment Growth | 6 |
Alastair David Griffiths Lawson | GB | Slough Berkshire | 2016-05-05 / 20160125124 - Obtaining an Improved Therapeutic Ligand | 5 |
Ian Lawson | GB | Gloucestershire | 2014-10-02 / 20140297667 - METHOD AND SYSTEM OF NON-REDUCTIVE INDEXING OF RAW DIGITAL DATA IN HUGE DATA SEARCH PROBLEM SPACES | 1 |
Matthew Everett Lawson | US | Cambridge | 2013-08-15 / 20130208241 - Methods and Apparatus for Retinal Imaging | 1 |
Glen J. Lawson | US | Palmetto | 2013-09-05 / 20130229029 - HITCH MOUNTED CAMPING ASSEMBLY | 1 |
Stephen John Lawson | CA | Surrey | 2015-01-08 / 20150008812 - CUSTOMIZABLE MODULAR STORAGE UNIT ORGANIZER APPARATUS, SYSTEM AND METHOD OF USING SAME | 1 |
Mark Lawson | GB | Chepstow | 2012-11-01 / 20120273619 - AIRCRAFT AERIAL REFUELLING SYSTEM | 1 |
Matthew Todd Lawson | US | Grass Valley | 2015-07-16 / 20150200737 - Mechanism For Channel Synchronization | 8 |
Alexander Johwston Lawson | DE | Waldems-Reichenbach | 2011-08-18 / 20110202331 - METHOD AND SOFTWARE FOR EXTRACTING CHEMICAL DATA | 1 |
Richard J. Lawson | US | Houston | 2009-01-22 / 20090025033 - INTEGRATED CONTENT GUIDE FOR INTERACTIVE SELECTION OF CONTENT AND SERVICES ON PERSONAL COMPUTER SYSTEMS WITH MULTIPLE SOURCES AND MULTIPLE MEDIA PRESENTATION | 1 |
Genevieve Barnard Lawson | US | Carrollton | 2014-08-28 / 20140242245 - SHELF-STABLE BAKED CRISPS AND METHOD FOR MAKING SAME | 2 |
Courtney Marie Lawson | US | Burke | 2015-01-15 / 20150013714 - METHOD AND DEVICE FOR CLEANING AN INTRAMEDULLARY REAMER | 1 |
Christopher Thomas Lawson | US | Omaha | 2013-08-29 / 20130226356 - SAFETY MONITOR FOR AN IRRIGATION SYSTEM | 1 |
William Frederick Lawson | US | Vestal | 2009-10-29 / 20090267641 - I/O Driver For Integrated Circuit With Output Impedance Control | 3 |
Cree Lawson | US | New York | 2014-11-13 / 20140337130 - SYSTEM AND METHOD FOR IDENTIFYING A HIGH-VALUE ADVERTISING BASE | 2 |
Benjamin A. Lawson | US | Van Alstyne | 2010-01-14 / 20100011051 - METHODS AND DEVICES FOR PROCESSING ONE OR MORE TEST REQUESTS BETWEEN A TESTING FACILITY AND ONE OR MORE CUSTOMERS | 1 |
Robert Cecil Lawson | FR | Clermont-Ferrand | 2013-08-22 / 20130213542 - TIRE TREAD HAVING A PLURALITY OF WEAR LAYERS | 1 |
David Alexander Lawson | US | Glendale | 2012-05-10 / 20120114608 - COMPOSITIONS, METHODS AND KITS FOR ENHANCING IMMUNE RESPONSE TO A RESPIRATORY CONDITION | 2 |
Keith H. Lawson | US | Bartlesville | 2015-05-28 / 20150144534 - SEQUENTIAL MIXING PROCESS FOR IMPROVED DESALTING | 2 |
Thomas E. Lawson | US | Malvern | 2015-10-29 / 20150311865 - Flyback Amplifier with Direct Feedback | 13 |
William Lawson | US | Honolulu | 2015-11-19 / 20150329178 - Planing Hull with Concentric Pad Keel | 1 |
Rick A. Lawson | US | Houston | 2009-05-07 / 20090115602 - Fugitive Emissions Detection Devices | 1 |
Rex Lawson | US | Pearland | 2014-10-16 / 20140309381 - Production of Highly Reactive Low Molecular Weight PIB Oligomers | 4 |
Richard Lougheed Lawson | US | Huntersville | 2013-02-28 / 20130054770 - SAFE SERVICES FRAMEWORK | 1 |
Michael Irwin Lawson | US | Fairfield | 2016-04-14 / 20160100998 - Fasteners Having Improved Comfort | 14 |
Kevin Wayne Lawson | US | Houston | 2011-05-05 / 20110105690 - Method for Making Propylene Impact Copolymers with Balanced Impact Strength and Stiffness | 2 |
Troy Lawson | US | Rodanthe | 2012-01-26 / 20120018584 - CONTROL BAR WITH OUTER STEERING LINE TRIM AND SHEETING SYSTEM FOR SPORT KITE | 1 |
Mary Elizabeth Lawson | US | Dublin | 2015-01-15 / 20150019416 - SYSTEMS AND METHODS FOR GENERATING PAYMENT DUE NOTIFICATIONS | 4 |
Deborah A. Lawson | US | Audubon | 2016-02-11 / 20160040868 - ILLUMINATED HAIR BAND | 1 |
Martin E. Lawson | US | 2016-02-11 / 20160039495 - ALL WHEEL DRIVE MOTORCYCLE WITH ENHANCED SOFT TERRAIN CAPABILITIES | 1 | |
Darlene Madeline Lawson | US | Kernersville | 2016-05-12 / 20160128294 - INFUSED PLANT SEEDS | 11 |
William T. Lawson | US | Durham | 2014-03-13 / 20140074508 - Method and System for Extracting Medical Information for Presentation to Medical Providers on Mobile Terminals | 2 |
Larry W. Lawson | US | The Woodlands | 2010-02-04 / 20100030577 - System and Business Method for Electrocardiogram Review | 1 |
James Phillip Lawson | US | Mansfield | 2010-06-24 / 20100154670 - HIGH PERFORMANCE POWDERED METAL MIXTURES FOR SHAPED CHARGE LINERS | 1 |
Mary Elizabeth Lawson | US | Westerville | 2015-10-08 / 20150287001 - ELECTRONIC BILL PAYMENT PROCESSING BASED ON PAYOR SCHEDULED DEBITS | 14 |
Joshua T. Lawson | US | Polk City | 2015-11-26 / 20150334920 - METHOD AND SYSTEM FOR SENSING A POSITION OF A VEHICLE OR GUIDING A VEHICLE WITH RESPECT TO ONE OR MORE PLANT ROWS | 1 |
Alistair Lawson | GB | Edinburgh | 2014-07-17 / 20140201804 - INFORMATION SHARING | 1 |
Mitchell R. Lawson | US | Perrysburg | 2014-09-11 / 20140251747 - Compact Pulse Vacuum Live Spindle Hub LocK | 2 |
Timothy R. Lawson | US | Sand Springs | 2012-03-22 / 20120067007 - METHOD AND APPARATUS FOR PACKAGING WIRE FENCE CLIPS | 1 |
Tom Lawson | AU | Carrara | 2014-02-20 / 20140048159 - Slurry and Wash Liquid Distributor | 1 |
Jerry L. Lawson | US | Cypress | 2013-08-22 / 20130215717 - Integrated Passive and Active Seismic Surveying Using Multiple Arrays | 2 |
Kathleen Marie Lawson | US | West Chester | 2016-03-03 / 20160058632 - DISPOSABLE ABSORBENT ARTICLES HAVING AN INTERIOR DESIGN SIGNAL | 11 |
Jeramie Lawson | US | Edgewood | 2012-06-14 / 20120144984 - Braided Carbon Nanotube Threads and Methods Of Manufacturing The Same | 1 |
Nigel Lawson | GB | Sevenoaks | 2011-09-29 / 20110232682 - Wipes Being Formed Into A Non-Planar Form And Dispenses For Storing Said Wipes | 1 |
Mark Lawson | US | Naperville | 2012-06-14 / 20120144580 - BASIN WITH LINER | 1 |
Gregory Mark Lawson | US | Tupelo | 2016-04-21 / 20160106220 - PROFILE OTTOMAN LINKAGE | 6 |
David F. Lawson | US | Uniontown | 2015-04-09 / 20150099852 - AMINOSILANE INITIATORS, FUNCTIONALIZED POLYMERS PREPARED THEREFROM AND RELATED PROCESSES | 6 |
Mary Elizabeth Lawson | US | Westerville | 2015-10-08 / 20150287001 - ELECTRONIC BILL PAYMENT PROCESSING BASED ON PAYOR SCHEDULED DEBITS | 14 |
Jacob W. Lawson | US | Springfield | 2015-08-27 / 20150244027 - SOLID-STATE ELECTROLYTES FOR RECHARGEABLE LITHIUM BATTERIES | 2 |
Kristoffer Lawson | FI | Espoo | 2016-05-19 / 20160140629 - METHODS FOR COMPUTING DEVICES | 2 |
Billy Ray Lawson | US | Tulsa | 2012-03-22 / 20120067007 - METHOD AND APPARATUS FOR PACKAGING WIRE FENCE CLIPS | 1 |
Steven Lawson | US | Sammamish | 2011-12-08 / 20110298434 - HIGH VOLTAGE SYNCHRONOUS RECTIFIER CONTROLLER | 1 |
Alastair David Griffiths Lawson | GB | Alresford | 2012-10-11 / 20120259096 - ANTIBODIES TO ION CHANNELS | 1 |
Frederick James Lawson | US | Northglenn | 2016-03-03 / 20160063143 - INTERCHANGABLE FLOW RESTRICTING ORIFICE FOR CLAMSHELL COUPLER | 1 |
Michael Irwin Lawson | US | Fairfield | 2016-04-14 / 20160100998 - Fasteners Having Improved Comfort | 14 |
John Russell Lawson | US | Cincinnati | 2013-05-09 / 20130113131 - Method and Apparatus for Substantially Constant Pressure Injection Molding of Thinwall Parts | 2 |
Gary C. Lawson | US | Orem | 2012-04-12 / 20120085320 - High Volume Combustion Catalyst Delivery System | 2 |
Wayne Edward Lawson | US | Lagrange | 2012-04-12 / 20120085120 - AIRWAY SEAL APPARATUS AND METHOD, AND REFRIGERATOR APPARATUS USING THE SEAL | 1 |
Alan Lawson | US | San Diego | 2012-03-22 / 20120067838 - INDUSTRIAL FRAME RACK SUPPORT ASSEMBLY | 1 |
Graham Lawson | GB | Wirral, Merseyside | 2016-04-21 / 20160106100 - MICROBICIDAL COMPOSITION COMPRISING AN ISOTHIAZOLONE AND AN AMINE OXIDE | 1 |
Jeff A. Lawson | US | Austin | 2011-07-14 / 20110173247 - Massively Distributed Processing System Architecture, Scheduling, Unique Device Identification and Associated Methods | 1 |
Richard D. Lawson | US | Newark | 2008-09-25 / 20080229786 - Rotary Fiberizer | 1 |
Steven Lawson | US | Baltic | 2013-08-15 / 20130210654 - Multiplex Immune Effector Molecule Assay | 1 |
Graham Lawson | GB | Merseyside | 2016-04-21 / 20160106100 - MICROBICIDAL COMPOSITION COMPRISING AN ISOTHIAZOLONE AND AN AMINE OXIDE | 1 |
Steven Lawson | GB | Basildon | 2015-08-20 / 20150232186 - DE-ICING SYSTEM AND METHOD | 1 |
Daniel Lawson | US | Marysville | 2011-10-20 / 20110256346 - SYSTEMS AND METHODS FOR FORMING A PROTECTIVE PAD | 1 |
David Craig Lawson | US | Richardson | 2015-02-19 / 20150052280 - METHOD AND SYSTEM FOR COMMUNICATIONS-STACK OFFLOAD TO A HARDWARE CONTROLLER | 1 |
Rebecca Lawson | US | Fort Collins | 2014-09-11 / 20140251368 - PROTECTIVE CASE WITH COMPARTMENT | 1 |
Mitchell R. Lawson | US | Vancouver | 2012-04-19 / 20120090942 - ATV Drive Train Energy Absorbing Device | 2 |
William Matthew Lawson | US | Franklin | 2015-12-10 / 20150352802 - PROTECTIVE PACKAGING WORK STATION | 1 |
Nathaniel J. Lawson | US | Oakland | 2011-10-27 / 20110264923 - SELF-PROTECTING DIGITAL CONTENT | 2 |
David Lawson | US | Uniontown | 2010-02-18 / 20100041797 - USE OF SULFUR CONTAINING INITIATORS FOR ANIONIC POLYMERIZATION OF MONOMERS | 1 |
Michael Lawson | US | Houston | 2015-05-07 / 20150127313 - Method For Determining The Presence and Location of A Subsurface Hydrocarbon Accumulation and The Origin of The Associated Hydrocarbons | 6 |
Brian R. Lawson | US | San Diego | 2013-11-07 / 20130295111 - METHODS AND COMPOSITIONS FOR TREATING DISORDERS ASSOCIATED WITH HYPERACTIVE IMMUNE SYSTEM | 1 |
James R. Lawson | US | Marietta | 2011-02-03 / 20110028631 - Renewable Polyoxymethylene Compositions and Articles Therefrom | 2 |
Robert James John Lawson | US | Burlingame | 2015-01-15 / 20150019665 - LINKING CONTEXT-BASED INFORMATION TO TEXT MESSAGES | 1 |
Richard James Lawson | US | Santa Clara | 2016-03-31 / 20160092071 - GENERATE PREVIEW OF CONTENT | 7 |
Eric Eugene Lawson | US | Allen | 2013-05-16 / 20130124969 - XML EDITOR WITHIN A WYSIWYG APPLICATION | 1 |
John David Lawson | US | San Diego | 2015-08-13 / 20150225405 - SUBSTITUTED-1,4-DIHYDROPYRAZOLO[4,3-b]INDOLES | 2 |
Brian Edward Lawson | US | Nashville | 2015-07-30 / 20150209159 - PARALLELOGRAM LOAD CELL | 2 |
Eric Lawson | US | Auburn | 2013-09-19 / 20130245457 - SYSTEMS AND METHODS FOR DETERMINING PATIENT TEMPERATURE | 2 |
William F. Lawson | US | Vestal | 2016-04-14 / 20160105182 - LEVEL SHIFTING AN I/O SIGNAL INTO MULTIPLE VOLTAGE DOMAINS | 9 |
Margaret C. Lawson | US | Lagrangeville | 2015-12-24 / 20150369789 - CLEANABILITY ASSESSMENT OF SUBLIMATE FROM LITHOGRAPHY MATERIALS | 4 |
Eric Michael Lawson | US | Auburn | 2009-10-15 / 20090255994 - Automatic video image reversal of difficult to read symbols | 1 |
Gregory M. Lawson | US | Tupelo | 2016-01-28 / 20160022040 - ZERO-WALL CLEARANCE LINKAGE MECHANISM WITH POWER SEAT DRIVE | 12 |
Eric Lawson | US | Sunnyvale | 2013-06-27 / 20130161183 - SYSTEM ARCHITECTURE FOR COMBINED STATIC AND PASS-BY PROCESSING | 1 |
John Lawson | GB | South Molton | 2016-02-04 / 20160032676 - GATE VALVE ASSEMBLY COMPRISING A SUPPORT MEMBER | 1 |
Matthew Todd Lawson | US | Grass Valley | 2015-07-16 / 20150200737 - Mechanism For Channel Synchronization | 8 |
Jeffrey Lawson | US | San Francisco | 2016-05-19 / 20160142446 - SYSTEM AND METHOD FOR INTEGRATING SESSION INITIATION PROTOCOL COMMUNICATION IN A TELECOMMUNICATIONS PLATFORM | 24 |
Wesley Grant Lawson | US | Laurel | 2015-12-17 / 20150360045 - ELECTRICAL PULSE GENERATOR TO CREATE MAGNETIC PULSES FOR THE TREATMENT OF PAIN | 1 |
Robert Lawson | US | Burlingame | 2012-09-20 / 20120239761 - LINKING CONTEXT-BASED INFORMATION TO TEXT MESSAGES | 1 |
Jeff Lawson | US | San Francisco | 2016-02-25 / 20160057289 - SYSTEM AND METHOD FOR RUNNING A MULTI-MODULE TELEPHONY APPLICATION | 5 |
Thomas J. Lawson | US | Dyer | 2012-08-30 / 20120216480 - Structural Framing Member | 1 |
John E. Lawson | GB | South Molton | 2013-11-14 / 20130299724 - NESTED CYCLINDER COMPACT BLOWOUT PREVENTER | 1 |
Jeffrey G. Lawson | US | San Francisco | 2014-05-15 / 20140133482 - METHOD AND SYSTEM FOR A MULTITENANCY TELEPHONE NETWORK | 13 |
Kenneth Glenn Lawson | US | Oxford | / - | 1 |
Del R. Lawson | US | Cottage Grove | 2013-04-11 / 20130090397 - SEMI-INTERPENETRATING POLYMER NETWORK | 1 |
Christopher W. Lawson | US | San Diego | 2010-11-25 / 20100299157 - SYSTEM AND METHOD FOR COMMUNICATION OF MEDICAL INFORMATION | 1 |
John Lawson | US | Daly City | 2010-07-01 / 20100164229 - Wave generating system for converting ocean waves into usable energy | 1 |
William Lawson | US | Hamilton | 2011-07-28 / 20110179944 - Low breaking strength vehicle and structure shield net/frame arrangement | 1 |
John D. Lawson | US | Carlsbad | 2012-10-18 / 20120264770 - HSP90 INHIBITORS | 4 |
Christopher David Lawson | US | Hanna City | 2012-09-20 / 20120240076 - METHOD AND SYSTEM FOR NOTIFICATION MANAGEMENT | 1 |
Gary Lawson | US | Suffolk | 2012-09-20 / 20120234744 - FILTRATION SYSTEM | 1 |
Richard Lee Lawson | US | Melbourne Beach | 2015-07-09 / 20150192636 - SYSTEMS AND METHODS FOR PREDICTIVE MAINTENANCE OF CROSSINGS | 2 |
Brad Lawson | US | Elk Grove | 2009-05-28 / 20090135681 - Watchband Shims | 1 |
William James Lawson | US | Niskayuna | 2011-11-03 / 20110265488 - ALTERNATE METHOD FOR DILUENT INJECTION FOR GAS TURBINE NOx EMISSIONS CONTROL | 2 |
Lincoln Wentworth Lawson | US | Marina Del Rey | 2011-04-14 / 20110086139 - FORTIFIED SWEETENER | 1 |
John David Lawson | US | Carlsbad | 2014-09-11 / 20140256734 - PYRIDINYL AND FUSED PYRIDINYL TRIAZOLONE DERIVATIVES | 5 |
Janice K. Lawson | US | Tracy | 2013-05-09 / 20130112850 - SYSTEM AND METHOD FOR GENERATING A DESELECT MAPPING FOR A FOCAL PLANE ARRAY | 2 |
Wayne Edward Lawson | US | La Grange | 2012-04-05 / 20120080989 - REFRIGERATOR DOOR POCKET HINGE ASSEMBLY | 1 |
Trevor Lawson | US | Beverly | 2015-12-24 / 20150370283 - AUTOMATIC LOCKING KNOB ASSEMBLIES AND METHODS OF USE | 1 |
Marcus Maxwell Lawson | GB | Hampshire | 2009-11-26 / 20090293112 - ON-LINE GENERATION AND AUTHENTICATION OF ITEMS | 8 |
David Lawson | US | Costa Mesa | 2010-12-02 / 20100306635 - Method for Verifying Correct Encryption Key Utilization | 1 |
Denver Stanley Porter Lawson | NZ | Drury | 2008-11-13 / 20080276919 - Piston, A Ring and a Piston Assembly for a Two Cycle Engine | 1 |
Clare Lawson | US | Los Altos | 2014-12-25 / 20140379759 - PROGRAMMING CONTENT ON A DEVICE | 2 |
Scott Matthew Lawson | US | Westlake Village | 2014-03-20 / 20140082495 - MEDIA SYSTEMS AND PROCESSES FOR PROVIDING OR ACCESSING MULTIPLE LIVE PERFORMANCES SIMULTANEOUSLY | 1 |
Lance P. Lawson | US | San Jose | 2016-01-28 / 20160026726 - System for Data Card Emulation | 5 |
Craig Lawson | US | Fullerton | 2008-11-06 / 20080273415 - APPARATUS AND METHOD FOR PRODUCING CONCRETE | 1 |
Richard James Lawson | US | Cupertino | 2008-10-30 / 20080266255 - Switching display mode of electronic device | 1 |
Francesca Lawson | FR | Paris | 2013-04-25 / 20130102565 - SEMULOPARIN FOR THE PREVENTION OF A MORTALITY AND/OR MORBIDITY EVENT IN A PATIENT UNDERGOING MAJOR ORTHOPEDIC SURGERY | 1 |
Stephen Lawson | US | Minneapolis | 2013-11-28 / 20130318442 - AUDIO GEAR COMPARISON SYSTEM AND METHOD | 1 |
Malcom Lawson | US | Washington | 2014-02-06 / 20140038795 - PUSH UP APPARATUS AND METHODS | 1 |
Steve Lawson | GB | Banbury, Oxfordshire | 2015-12-24 / 20150369686 - TORQUE WRENCH CALIBRATION | 1 |
Kieron Lawson | NZ | Whangaparaoa | 2014-03-06 / 20140064474 - MULTI-CHANNEL PIVOTING | 1 |
Ian R. Lawson | US | Danbury | 2016-05-05 / 20160120091 - System for removing implanted objects from the ground | 1 |
Rob Lawson | AU | West End Queensland | 2011-04-28 / 20110098985 - System and method for managing information | 1 |
Aaron Lawson | CA | Okotoks | 2010-12-23 / 20100319808 - Tree Stump Coring Apparatus and Method | 1 |
Matthew J. Lawson | US | Stamford | 2014-01-02 / 20140000956 - RAISED ACCESS FLOOR BOX WITH PARTITIONS | 1 |
Kermit Lawson | US | Tarboro | 2011-07-14 / 20110170976 - EZ key registration assembly | 3 |
Daniel E. Lawson | US | Wakeforest | 2009-03-26 / 20090081798 - Protein S Functional Assay | 1 |
Bobby Wayne Lawson | US | Walnut Cove | 2012-02-16 / 20120038196 - AIRCRAFT PASSENGER SEAT RECLINE MECHANISM | 2 |
Douglas C. Lawson | US | Silverado | 2015-11-26 / 20150341469 - INDUSTRIAL AUTOMATION SERVICE TEMPLATES FOR PROVISIONING OF CLOUD SERVICES | 9 |
Christopher Lawson | GB | Bromley Kent | 2015-04-30 / 20150119744 - SPIROMETER | 1 |
Joseph Lindley Lawson | US | Rochester | 2013-05-23 / 20130127944 - INDIRECT TEMPERATURE MONITORING FOR THERMAL CONTROL OF A MOTOR IN A PRINTER | 1 |
William T. Lawson | US | Apex | 2008-10-23 / 20080263477 - HANDHELD DEVICE GRAPHICAL USER INTERFACES FOR DISPLAYING PATIENT MEDICAL RECORDS | 1 |
Jeff Lawson | US | Houston | 2013-08-15 / 20130211701 - SYSTEM AND METHOD FOR SENDING AIR TRAFFIC DATA TO USERS FOR DISPLAY | 1 |
Eric M. Lawson | US | Auburn | 2011-05-05 / 20110105910 - THERMOMETER FOR DETERMINING THE TEMPERATURE OF AN ANIMAL'S EAR DRUM AND METHOD OF USING THE SAME | 1 |
Simon James Lawson | GB | Nelson | 2014-08-28 / 20140242247 - NUTRITIONAL COMPOSITIONS | 1 |
Wayne E. Lawson | US | La Grange | 2016-04-07 / 20160097150 - NOZZLE ASSEMBLY WITH MULTIPLE SPRAY CURVATURES AND AIR-LOCK RELEASE GEOMETRY | 1 |
Timothy John Lawson | GB | Nelson | 2014-08-28 / 20140242247 - NUTRITIONAL COMPOSITIONS | 1 |
Steven Lawson | US | Aurora | 2015-12-31 / 20150378768 - LOCATION MANAGEMENT IN A VOLUME ACTION SERVICE | 1 |
James B. Lawson | US | Kenmore | 2013-05-09 / 20130117297 - DOMAIN SPECIFIC QUERY-COMPLETION SUGGESTIONS | 1 |
Steven Lawson | US | Playa Del Rey | 2011-06-09 / 20110135280 - FRAMEWORK, SYSTEM AND METHOD FOR RAPID DEPLOYMENT OF INTERACTIVE APPLICATIONS | 2 |
Peter Lawson | GB | Doncaster | 2011-09-22 / 20110225879 - SEPARATION SYSTEM AND METHOD | 1 |
Jonathan Lawson | GB | Cambridge | 2010-10-28 / 20100274299 - VERTEBRAL SURFACE PREPARATION INSTRUMENT | 4 |
Greg Lawson | US | Hixson | 2014-10-02 / 20140293593 - Refuse Container Support Apparatus | 2 |
Stephan Lawson | US | Upper Darby | 2016-03-31 / 20160089246 - LOW PROFILE PLATE | 5 |
Michael R. Lawson | GB | Derby | 2010-10-21 / 20100266384 - GAS TURBINE ENGINE CASING ASSEMBLY | 1 |
Timothy John Lawson | GB | Lancashire | 2012-11-01 / 20120276250 - NUTRITIONAL PRODUCTS | 2 |
Charles Donald Lawson | GB | Aberdeenshire | 2014-11-20 / 20140341656 - SELF-ALIGNING SUBSEA STRUCTURES | 1 |
Simon James Lawson | GB | Lancashire | 2012-11-01 / 20120276250 - NUTRITIONAL PRODUCTS | 2 |
Jeremy Lawson | CA | Montreal | 2015-04-16 / 20150104782 - ACTIVITY REPLENISHMENT AND IN SITU ACTIVATION FOR ENZYMATIC CO2 CAPTURE PACKED REACTOR | 1 |
Thomas Lawson | US | Rogers | 2014-09-18 / 20140263031 - POOL HANDLE ATTACHMENT MECHANISM AND METHODS THEREOF | 1 |
Nathaniel Evert Lawson | US | Collinsville | 2015-08-20 / 20150231896 - INVERTED CODES ON TRANSPARENT PACKAGING EXTERIOR | 2 |
Drummond Lawson | GB | Aberdeenshire | 2010-06-10 / 20100139925 - CONNECTOR | 2 |
David C. Lawson | US | Costa Mesa | 2015-06-25 / 20150180668 - METHOD FOR VERIFYING CORRECT ENCRYPTION KEY UTILIZATION | 1 |
Steve Lawson | US | Maceo | 2014-09-18 / 20140272288 - ENTRANCE MAT | 1 |
Bonnie Lawson-Brown | GB | Penicuik | 2015-09-03 / 20150249637 - COMMUNICATIONS APPARATUS AND METHOD | 1 |
Donald C. Lawson, Iii | US | Coplay | 2011-05-19 / 20110118495 - CARDANOL BASED DIMERS AND USES THEREFOR | 6 |
William L. Lawson, Iii | US | Charlotte | 2014-10-02 / 20140290560 - FLAME RESISTANT FABRIC WITH TRACING YARNS | 4 |
Laquinnia Lawson, Jr. | US | Tulsa | 2014-07-24 / 20140202186 - Zoned Evaporative Cooling Media for Air Intake House of Gas Turbine | 4 |
William T. Lawson, Jr. | US | Apex | 2012-11-22 / 20120296661 - SYSTEMS AND METHODS FOR MANAGING HEALTH CARE BILLING AND PAYMENT | 1 |
Thomas Towles Lawson, Jr. | US | Charlottesville | 2015-07-02 / 20150184657 - POSITIVE DISPLACEMENT ROTARY DEVICES | 2 |
T. Towles Lawson, Jr. | US | Charlottesville | 2014-07-10 / 20140190446 - FIXED VANE ROTARY ABUTMENT ENGINE | 4 |
Philip E. Lawson-Shanks | US | Aklie | 2011-05-12 / 20110110366 - UNIVERSAL COMMUNICATIONS IDENTIFIER | 1 |
Hugh Lawson-Tancred | GB | London | 2009-03-19 / 20090076792 - TEXT EDITING APPARATUS AND METHOD | 1 |
James Ronald Lawter | US | Yardley | 2009-04-16 / 20090098203 - Mucoadhesive Tetracycline Formulations | 1 |
Timothy E. Lawter | US | Lake Mary | 2011-08-25 / 20110205533 - OPTICAL SIGNAL MEASUREMENT DEVICE | 2 |
James R. Lawter | US | Yardley | 2014-04-03 / 20140093839 - MEDICINAL IMPLANT DEVICE AND CARTRIDGE | 7 |
Joel Sherwood Lawther | US | Pittsford | 2012-11-01 / 20120274694 - PRINTING MULTI-CHANNEL IMAGE ON WEB RECEIVER | 1 |
Joel S. Lawther | US | Pittsford | 2010-06-24 / 20100157167 - DISPLAY SYSTEM AND REMOTE CONTROL FOR USE THEREWITH | 10 |
Joel S. Lawther | US | East Rochester | 2011-04-21 / 20110090322 - MULTI-FRAME DISPLAY SYSTEM WITH PERSPECTIVE BASED IMAGE ARRANGEMENT | 4 |
Robin Ivo Lawther | GB | Chelmsford | 2015-11-26 / 20150337753 - PRESSURE DEVICE TO REDUCE TICKING NOISE DURING ENGINE IDLING | 2 |
John Mark Lawther | DK | Roskilde | 2014-12-11 / 20140363614 - ADHESIVE COMPRISING PARTLY HYDROLYZED PROTEINS AND METAL SILICATES | 1 |
Brendan Lawton | IE | Cork | 2016-02-25 / 20160054829 - PROVIDING A BASELINE CAPACITANCE FOR A CAPACITANCE SENSING CHANNEL | 2 |
Robert Russell Lawton | US | Madison | 2015-10-22 / 20150302437 - System and Method for Strategizing Interactions With A Client Base | 7 |
Thomas Alexander Lawton | GB | Wiltshire | 2015-03-05 / 20150062290 - ATTACHMENT FOR A PERSONAL COMMUNICATION DEVICE | 1 |
Andrew Lee Lawton | US | San Marcos | 2013-12-12 / 20130332831 - CONTENT MANAGEMENT USER INTERFACE THAT IS PERVASIVE ACROSS A USER'S VARIOUS DEVICES | 7 |
Drew Lawton | US | San Marcos | 2012-03-22 / 20120072752 - METHOD AND APPARATUS FOR PROVIDING POWER MANAGEMENT ENHANCEMENTS | 1 |
Chris M. Lawton | US | Costa Mesa | 2014-11-13 / 20140331831 - Preset Electronic Torque Tool | 1 |
David Jw Lawton | CA | Stoney Creek | 2015-06-11 / 20150160574 - EMULSION AGGREGATION TONERS | 4 |
Carl W. Lawton | US | Chelmsford | 2011-08-25 / 20110206739 - COMPOSITIONS AND METHODS FOR THE PREPARATION OF NANOEMULSIONS | 1 |
Daniel G. Lawton | US | Bayside | 2014-05-01 / 20140121202 - S-t-BUTYL PROTECTED CYSTEINE DI-PEPTIDE ANALOGS AND RELATED COMPOUNDS | 4 |
Andrew L. Lawton | US | San Marcos | 2012-05-31 / 20120136565 - Filtering social networking information to provide customized mapping | 1 |
Daniel Lawton | US | Bayside | 2016-03-24 / 20160081987 - Cysteine Prodrugs | 3 |
Ian Christopher Lawton | GB | Kendal | 2014-10-23 / 20140311834 - FALL ARREST DEVICE | 1 |
Laura Lawton | US | Lafayette | 2014-10-09 / 20140303909 - Ultrasonic Meter Flow Measurement Monitoring System | 1 |
Regine Lawton | US | Newbury Park | 2014-10-02 / 20140298215 - METHOD FOR GENERATING MEDIA COLLECTIONS | 1 |
Stanley A. Lawton | US | Clayton | 2014-10-09 / 20140299712 - Thermal Barrier Coated RF Radomes | 1 |
Alison Lawton | US | Lexington | 2012-06-21 / 20120158604 - SYSTEMS AND METHODS FOR MANAGING REGULATORY INFORMATION | 1 |
Geoff Lawton | GB | Cambridge | 2011-06-02 / 20110130383 - Use of Ion Channel Modulators in the Prophylaxis and Treatment of Inflammatory and Immunological Diseases | 1 |
Chris Lawton | US | Costa Mesa | 2016-03-10 / 20160067850 - Method of Calibrating Torque Using Peak Hold Measurement on an Electronic Torque Wrench | 6 |
Peter R. Lawton | US | Pittsburgh | 2015-08-20 / 20150232125 - PARKING ASSISTANCE SYSTEM | 1 |
Regine Lawton | US | Thousand Oaks | 2016-05-05 / 20160124625 - METHOD AND SYSTEM FOR AUTOMATICALLY SIZING WINDOWS IN RESPONSE TO USER ACTIONS | 1 |
David John William Lawton | CA | Stoney Creek | 2015-10-22 / 20150301463 - Toner Comprising Colorant Wax Dispersion | 7 |
Paul Lawton | US | Rock Hill | 2012-11-01 / 20120276352 - Tri-barrier ceramic coating | 1 |
Patricia Lawton | US | Rock Hill | 2012-11-01 / 20120276352 - Tri-barrier ceramic coating | 1 |
Thomas H. Lawton | US | Wethersfield | 2012-12-06 / 20120308836 - COMPOSITE ARTICLE HAVING SILICATE BARRIER LAYER AND METHOD THEREFOR | 1 |
John A. Lawton | US | King George | 2012-12-13 / 20120316819 - Process for estimation of ballistic missile boost state | 1 |
Graham Richard Lawton | US | Smithtown | 2015-08-13 / 20150225461 - CRYSTALLINE AND AMORPHOUS FORMS OF A BETA-ARRESTIN EFFECTOR | 4 |
Thomas Alexander Lawton | GB | Malmesbury | 2013-01-10 / 20130011127 - ATTACHMENT FOR A PERSONAL COMMUNICATION DEVICE | 1 |
Stephen Lawton | GB | Dorset | 2014-07-24 / 20140203476 - A METHOD OF TREATING A NET MADE FROM ULTRA-HIGH-MOLECULAR-WEIGHT POLYETHYLENE | 1 |
Regine Jeanne Lawton | US | Newbury Park | 2015-10-29 / 20150312627 - METHOD AND APPARATUS FOR CREATING CHANNELS | 2 |
Tom Lawton | GB | Malmesbury Wiltshire | 2009-12-03 / 20090295293 - FLUID DRIVEN ROTOR WITH LIGHTS | 1 |
Geoff Lawton | GB | Cambridgeshire | 2012-03-15 / 20120065270 - POTASSIUM ION CHANNEL MODULATORS AND USES THEREOF | 2 |
Peter Lawton | AU | Berwick | 2010-09-23 / 20100236144 - Container for Growing Plants and Carrier Therefor | 1 |
Rory Lawton | IE | Dublin | 2010-05-06 / 20100114717 - SECONDARY CONTENT DELIVERY SYSTEM | 1 |
Simon Lawton | GB | Hampshire | 2010-05-20 / 20100125654 - Method and Apparatus for Utilizing User Identity | 1 |
Michael Lawton | US | Highland Park | 2010-10-14 / 20100261694 - Chemical chaperones and methods of use thereof for inhibiting proliferation of the phytopathogenic fungus Fusarium ssp. | 1 |
Teri A. Lawton | US | Del Mar | 2010-10-07 / 20100253905 - DIAGNOSING AND REMEDIATING COGNITIVE DEFICITS INVOLVING ATTENTION, SEQUENTIAL PROCESSING, READING, SPEED OF PROCESSING, AND NAVIGATION | 1 |
Graham Lawton | US | Holbrook | 2010-09-23 / 20100240611 - METHODS FOR PREPARING DPP-IV INHIBITOR COMPOUNDS | 1 |
David Lawton | US | Santa Ana | 2012-08-16 / 20120206844 - VOLTAGE SENSING CIRCUITRY FOR SOLID STATE POWER CONTROLLERS | 2 |
John Lawton | US | Landenberg | 2012-07-12 / 20120178020 - METHOD AND COMPOSITION FOR REDUCING WASTE IN PHOTO-IMAGING APPLICATIONS | 4 |
Robert L. Lawton | US | Gorham | 2010-07-22 / 20100184076 - SOLUBLE ANALYTE DETECTION AND AMPLIFICATION | 1 |
Bruce E. Lawton | US | Rochester | 2010-07-01 / 20100164129 - Heated Mold Tooling | 4 |
Robert Lawton | US | Gorham | 2011-06-30 / 20110158981 - Methods and Compositions for Inhibiting Binding of IgE to a High Affinity Receptor | 2 |
Jeffrey Lawton | US | Collegeville | 2010-03-18 / 20100069300 - C-Type Lectin Fold as a Scaffold for Massive Sequence Variation | 1 |
Kevin Lawton | US | San Francisco | 2010-01-28 / 20100023942 - ACCELERATING VIRTUAL MACHINE RESUME TIME USING A PRE-CACHED WORKING SET | 1 |
William E. Lawton | US | Parker Ford | 2012-11-01 / 20120275530 - OPEN LOOP SPATIAL PROCESSING | 5 |
Kyle Lawton | US | Manchester | 2009-11-19 / 20090288037 - Method for Facilitating Cooperative Interaction between Software Applications | 2 |
Kevin P. Lawton | US | San Francisco | 2009-08-13 / 20090204718 - USING MEMORY EQUIVALENCY ACROSS COMPUTE CLOUDS FOR ACCELERATED VIRTUAL MEMORY MIGRATION AND MEMORY DE-DUPLICATION | 1 |
Bruce Lawton | US | Rochester | 2009-07-02 / 20090166904 - Method of Forming a Biomedical Device including an Ophthalmic Device | 2 |
David John William Lawton | US | Oakville | 2016-05-19 / 20160136607 - POROUS NANOPARTICLES PRODUCED BY SOLVENT-FREE EMULSIFICATION | 1 |
Graham Lawton | US | Smithtown | 2015-11-19 / 20150329593 - Synthesis of Beta-Arrestin Effectors | 1 |
Scott S. Lawton | US | Bedford | 2016-05-05 / 20160122755 - Compositions, Methods and Apparatus for Oligonucleotides Synthesis | 3 |
Stewart Lawton | GB | Hampshire | 2015-09-24 / 20150268328 - RADAR SYSTEM PROVIDING MULTIPLE WAVEFORMS FOR LONG RANGE AND SHORT RANGE TARGET DETECTION | 2 |
Christopher Lawton | US | Costa Mesa | 2014-08-28 / 20140238714 - Torque Tool Cycle Counter | 2 |
Scott Lawton | US | Bedford | 2015-12-31 / 20150376602 - Compositions and Methods for Multiplex Nucleic Acids Synthesis | 1 |
Robert J. Lawton | US | Meridian | 2015-03-19 / 20150077811 - METHOD AND SYSTEM FOR ENVIRONMENTAL WATERMARKING | 4 |
Jennifer J. Lawton | US | Fairfield | 2014-09-04 / 20140249662 - PHOTO BOOTH FOR THREE-DIMENSIONAL IMAGES | 4 |
Craig M. Lawton | US | Raleigh | 2011-12-29 / 20110320954 - RELATED WEB FEED CORRELATION WITH DYNAMIC CONTENT ACCESS | 2 |
Kay Lawton | US | Research Triangle Park | 2010-08-12 / 20100205695 - PROMOTERS FOR REGULATION OF GENE EXPRESSION IN PLANT ROOTS | 3 |
Kay Ann Lawton | US | Durham | 2011-02-03 / 20110030099 - PLANTS AND MODULATORS FOR IMPROVED DROUGHT TOLERANCE | 1 |
Kay A. Lawton | US | Raleigh | 2016-04-28 / 20160116461 - Biomarkers Related To Metabolic Age and Methods Using The Same | 14 |
Craig Lawton | US | Raleigh | 2013-01-24 / 20130024720 - Creation of Highly Available Pseudo-Clone Standby Servers for Rapid Failover Provisioning | 4 |
Sharon Lawton | US | Houston | 2016-04-07 / 20160095400 - Shoe Carrying Device | 1 |
Kay A. Lawton | US | Raleigh | 2016-04-28 / 20160116461 - Biomarkers Related To Metabolic Age and Methods Using The Same | 14 |
John Warren Lawton, Jr. | US | Sioux Falls | 2014-09-11 / 20140251180 - WATERBORNE AQUEOUS-ALCOHOL SOLUBLE PROTEIN COMPOSITIONS, APPLICATIONS, AND METHODS | 4 |
John Lawton, Jr. | US | Sioux Falls | 2011-06-16 / 20110143013 - ZEIN COMPOSITION AND METHODS OF PRODUCTION | 1 |
Robert P Lawton, Jr. | US | Smyrna | 2015-06-25 / 20150173347 - Decoy Device | 1 |
John W. Lawton, Jr. | US | Sioux Falls | 2015-07-23 / 20150201647 - FOOD PRODUCTS CONTAINING ZEIN, AND RELATED PROCESSES | 2 |
Dennis R. Lawver | US | Oak Creek | 2011-07-21 / 20110173737 - Ds outdoor survival coats | 1 |
Teresa Lawver | US | Kansas City | 2010-10-14 / 20100257718 - Modular Structure Alignment Apparatus and Method of Aligning Modules | 1 |
Bruce E. Lawyer | US | Flower Mound | 2010-03-04 / 20100057281 - INFORMATION DISPLAY SYSTEMS AND METHODS FOR HYBRID VEHICLES | 1 |
Matthew Carl Lawyer | US | San Francisco | 2011-09-15 / 20110223895 - Mobile Processor System to Incentivize Loitering | 2 |
Justin Lawyer | US | Palo Alto | 2012-10-18 / 20120265755 - Authentication of a Contributor of Online Content | 6 |
Jerry Daniel Lawyer | US | Clermont | 2009-03-19 / 20090071919 - Portable Storage Apparatus for Removable Fences | 1 |
Justin Lawyer | US | Tulsa | 2012-11-01 / 20120272446 - FOOT SPA TUB PUMP AND METHOD | 7 |
Matthew C. Lawyer | US | San Francisco | 2011-03-31 / 20110073660 - TRANSACTION CARD WITH THREE-DIMENSIONAL TIPPING GUIDE | 1 |
Justin Lawyer | US | Bethlehem | 2016-04-21 / 20160106074 - APPARATUS AND METHODS FOR CONTROLLING A HABITAT ENVIRONMENT | 9 |
Carl Lawyer | US | Poplar Bluff | 2013-11-21 / 20130310304 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF ATHEROSCLEROSIS | 1 |
Matthew C. Lawyer | US | Park City | 2014-11-06 / 20140329902 - LYSINE DELIVERY SYSTEMS FOR BLOOD COAGULATION | 2 |
Steven D. Lawyer | US | Elnora | 2010-12-02 / 20100306011 - Project Management System and Method | 2 |
Jerry D. Lawyer | US | Clermont | 2014-04-24 / 20140110501 - ROTARY DISTRIBUTOR HEAD FOR A SPRINKLER | 7 |
Justin Lawyer | US | Bethlehem | 2016-04-21 / 20160106074 - APPARATUS AND METHODS FOR CONTROLLING A HABITAT ENVIRONMENT | 9 |
Michael R. Lax | US | Westbury | 2016-01-07 / 20160005282 - Anti-Theft Security Device and Perimeter Detection System | 3 |
Michael R. Lax | US | Syosset | 2010-03-04 / 20100050710 - LOCKABLE CONTAINER HAVING AN INTEGRAL AND INTERNAL LOCKING MECHANISM AND METHODS OF USE | 1 |
Richard Lax | FI | Helsinki | 2013-10-03 / 20130260622 - MOUNT FOR A BOAT PROPULSION UNIT | 1 |
Bjorn Lax | FI | Vasa | 2011-11-17 / 20110280777 - METHOD AND APPARATUS FOR MANUFACTURING OF A CALCIUM CARBONATE PRODUCT, THE PRODUCT AND ITS USE | 1 |
Julia Lax | DE | Bruggen | 2014-10-09 / 20140303089 - Plant HSP70 for Use in the Treatment of Food Allergy | 4 |
Ronald G. Lax | US | Palm City | 2012-08-02 / 20120197251 - APPARATUS TO DETECT AND TREAT ABERRANT MYOELECTRIC ACTIVITY | 2 |
Jacob Lax | US | Brooklyn | 2013-09-26 / 20130251235 - SYSTEM FOR HIGH SPEED PROCESSING OF CURRENCY NOTES AND SLOT TICKETS | 1 |
Michael Sven Anders Carlberg Lax | US | 2012-08-02 / 20120194520 - PRESENTATION MODES FOR RADIO NETWORK MEASUREMENTS | 1 | |
Daniel A. Lax | US | Roslyn | 2016-02-04 / 20160035192 - TASK LIGHTING SYSTEM WITH ALARM AND DIMMING FEATURES | 3 |
Elad Lax | IL | Netanya | 2013-08-08 / 20130203801 - TREATMENT OF ADDICTION | 1 |
Patrick Lax | DE | Lemfoerde | 2013-08-01 / 20130197115 - HFO/WATER-BLOWN RIGID FOAM SYSTEMS | 1 |
Michael Lax | US | Laurel Hollow | 2016-05-05 / 20160125380 - APPARATUS AND METHODS FOR COMMUNICATING ASSET INFORMATION | 2 |
Reuven Lax | US | Seattle | 2014-05-22 / 20140143800 - TARGETING IN-VIDEO ADVERTISING | 4 |
Irit Lax | US | Woodbridge | 2012-12-27 / 20120328599 - INHIBITORS OF RECEPTOR TYROSINE KINASES (RTK) AND METHODS OF USE THEREOF | 3 |
Doniel M. Lax | IL | Modiin | 2013-03-14 / 20130063766 - PAGE COMPLEXITY ANALYZER | 2 |
Alexander Lax | GB | West Harptree, Bristol Avon | 2015-04-09 / 20150099508 - INITIATING A COMMUNICATION USING A WIRELESS SECURITY KEY DEVICE | 1 |
Bjôrn Lax | FI | Vasa | 2012-11-01 / 20120273149 - METHOD AND APPARATUS FOR PRE-TREATMENT OF FIBRE MATERIAL TO BE USED IN THE MANUFACTURE OF PAPER, BOARD OR THE LIKE | 1 |
David Michael Lax | US | East Grand Rapids | 2013-01-03 / 20130006533 - METEOROLOGICAL MODELING ALONG AN AIRCRAFT TRAJECTORY | 1 |
Daniel A. Lax | US | New York | 2015-09-03 / 20150247630 - LED Task Lighting System | 4 |
Dean Lax | US | Brownsville | 2013-01-03 / 20130001942 - POKA-YOKE FOR A SET OF HYDRAULIC FITTINGS | 1 |
Julia Lax | DE | Bad Bentheim | 2015-10-29 / 20150306175 - HSP For Use in Treatment for Imiquimod Related Side Effects | 1 |
Michael Lax | US | Syosset | 2012-03-15 / 20120066091 - APPARATUS AND METHODS FOR COMMUNICATING ASSET INFORMATION | 1 |
Ronald Lax | US | Palm City | 2011-09-15 / 20110224721 - Universal Introducer | 1 |
Daniel A. Lax | US | Westbury | 2012-05-24 / 20120127702 - MODULAR LED LIGHTING ASSEMBLY | 1 |
Samuel Lax | US | Tarzana | 2011-08-25 / 20110203112 - SAFETY RAZOR | 1 |
Ronald G. Lax | US | Port Orange | 2010-10-14 / 20100261133 - Devices, systems, and methods for repositioning the mandible | 2 |
Alexander Lax | GB | West Harptree | 2015-04-09 / 20150099508 - INITIATING A COMMUNICATION USING A WIRELESS SECURITY KEY DEVICE | 1 |
Samuel Lax | US | Mission Hills | 2010-07-15 / 20100175261 - SAFETY RAZOR | 4 |
Ronald G. Lax | US | Tarpon Springs | 2015-03-12 / 20150073565 - DEVICES, SYSTEMS, AND METHODS TO FIXATE TISSUE WITHIN THE REGIONS OF BODY, SUCH AS THE PHARYNGEAL CONDUIT | 5 |
Avital Laxer | IL | Tel Aviv | 2016-02-18 / 20160046582 - CRYSTALS OF LAQUINIMOD SODIUM AND IMPROVED PROCESS FOR THE MANUFACTURE THEREOF | 11 |
Avital Laxer | IL | Tel Aviv | 2016-02-18 / 20160046582 - CRYSTALS OF LAQUINIMOD SODIUM AND IMPROVED PROCESS FOR THE MANUFACTURE THEREOF | 11 |
Ludwig Laxhuber | DE | Herrsching | 2015-10-29 / 20150309303 - MAGNIFICATION LOUPE WITH ENERGY-HARVESTING SYSTEM | 8 |
Ludwig Laxhuber | DE | Herrsching | 2015-10-29 / 20150309303 - MAGNIFICATION LOUPE WITH ENERGY-HARVESTING SYSTEM | 8 |
Ravi K. Laxman | US | San Jose | 2015-11-26 / 20150337436 - METHOD AND APPARATUS TO HELP PROMOTE CONTACT OF GAS WITH VAPORIZED MATERIAL | 8 |
Srivatsan Laxman | IN | Bangalore | 2016-03-24 / 20160086116 - METHOD AND SYSTEM OF AN AUTOMATICALLY MANAGED CALENDAR AND CONTEXTUAL TASK LIST | 6 |
Kasturi Laxman | IN | Maharashtra | 2015-06-11 / 20150159180 - PROCESS FOR PRODUCTION OF CRYSTALLINE XYLITOL USING PICHIA CARIBBICA AND ITS APPLICATION FOR QUORUM SENSING INHIBITION | 1 |
Ravi Laxman | US | San Jose | 2012-06-21 / 20120153048 - METHOD AND APPARATUS TO HELP PROMOTE CONTACT OF GAS WITH VAPORIZED MATERIAL | 4 |
Ravi K. Laxman | US | San Jose | 2015-11-26 / 20150337436 - METHOD AND APPARATUS TO HELP PROMOTE CONTACT OF GAS WITH VAPORIZED MATERIAL | 8 |
Kasilingam B. Laxmanan | US | Wilmington | 2011-10-06 / 20110246385 - AUTOMATICALLY RECALIBRATING RISK MODELS | 1 |
Kasilingam B. Laxmanan | US | Newark | 2014-02-27 / 20140059047 - AUTOTRANSFORM SYSTEM | 1 |
Kasilingam Basker Laxmanan | US | Newark | 2015-07-09 / 20150193377 - Sensitivity Analysis of an Independent Variable Based on Regression | 3 |
Ramanan Laxminarayan | US | Bethesda | 2014-01-16 / 20140019394 - PROVIDING EXPERT ELICITATION | 1 |
Chaitanya Laxminarayan | US | Santa Monica | 2012-11-29 / 20120304223 - AD SELECTION AND NEXT VIDEO RECOMMENDATION IN A VIDEO STREAMING SYSTEM EXCLUSIVE OF USER IDENTITY-BASED PARAMETER | 1 |
Chaitanya Laxminarayan | US | Austin | 2012-07-19 / 20120185423 - CASCADING DEFINITION AND SUPPORT OF EDI RULES | 3 |
Chaitanya Laxminarayan | US | San Carlos | 2016-03-24 / 20160085730 - Debugging and Formatting Feeds for Presentation Based on Elements and Content Items | 1 |
Prasanna Laxminarayanan | US | San Ramon | 2016-04-28 / 20160119296 - Token Enrollment System and Method | 10 |
Venkatesh Laxminarayanan | US | Ashburn | 2012-09-20 / 20120239700 - ASSOCIATIVE OBJECT MODEL FOR COMPOSITE ENTITY INFORMATION | 2 |
Prasanna Laxminarayanan | US | San Ramon | 2016-04-28 / 20160119296 - Token Enrollment System and Method | 10 |
Peter B. Laxton | US | Marshfield | 2015-12-31 / 20150378235 - ANISOTROPIC CONDUCTIVE DIELECTRIC LAYER FOR ELECTROPHORETIC DISPLAY | 4 |
Christel Berta Laxton | US | Hopewell | 2010-01-14 / 20100007063 - Infrared solvent stripping process | 1 |
Peter B. Laxton | US | Alameda | 2014-02-27 / 20140057428 - BUFFER LAYER FOR SINTERING | 2 |
Peter B. Laxton | US | Cedar Park | 2009-11-05 / 20090274833 - METALLIC INK | 1 |
Barry M. Laxton | CA | Unionville | 2012-08-02 / 20120194288 - Two-Terminal Modulator | 2 |
Nigel Laxton | AU | Mt. Hawthorn | 2012-12-06 / 20120304637 - HYDRAULIC APPARATUS | 5 |
Christopher Laxton | US | Vernon | 2015-05-07 / 20150122031 - TRANSDUCER POSITION GUIDE | 1 |
Peter B. Laxton | US | Austin | 2014-11-13 / 20140335651 - INKS AND PASTES FOR SOLAR CELL FABRICATION | 3 |
Nigel Laxton | AU | Western Australia | 2010-11-11 / 20100283621 - COMPARATIVE PRESSURE MONITORING INSTRUMENT | 5 |
Peter Laxton | US | Alameda | 2015-09-24 / 20150268531 - COLOR DISPLAY DEVICE | 7 |
Jordan Lay | US | Charlotte | 2010-01-07 / 20100000558 - APPARATUS FOR DULLING ANIMAL CLAWS AND METHODS OF MANUFACTURING THE SAME | 2 |
Kevin Lay | US | New River | 2011-03-17 / 20110066297 - REMOTE MONITORING AND CONTROL SYSTEM COMPRISING MESH AND TIME SYNCHRONIZATION TECHNOLOGY | 1 |
James Michael Lay | US | Cary | 2014-06-05 / 20140153233 - SOLID STATE LIGHTING DEVICE | 17 |
Bruno Lay | FR | Herouville Saint-Clair | 2011-11-10 / 20110274322 - DEVICES AND METHODS FOR ASSESSING CHANGES IN CORNEAL HEALTH | 1 |
Kenneth G. Lay | US | Edgartown | 2009-03-12 / 20090066055 - Bike belt - power stroke harness | 1 |
Patrick Scott Lay | US | Edwardsville | 2014-06-05 / 20140156375 - SYSTEMS AND METHODS FOR PROCESSING COUPONS OVER A PAYMENT PROCESSING NETWORK | 2 |
Marcus D. Lay | US | Bishop | 2014-06-05 / 20140151636 - SINGLE-WALLED CARBON NANOTUBES/QUANTUM DOT HYBRID STRUCTURES AND METHODS OF MAKING AND USE OF THE HYBRID STRUCTURES | 1 |
Jackson O. Lay | US | West Fork | 2014-06-05 / 20140154711 - DETECTION OF DEFENSINS TO DIAGNOSE CANCER | 1 |
Wentong Lay | US | Appleton | 2012-09-27 / 20120241078 - Method Of Manufacturing Absorbent Articles Having A Waistband | 1 |
Richard C. Lay | US | Harwinton | 2011-10-06 / 20110243731 - ELASTOMERIC BEARING SYSTEM MOTION LIMITER | 1 |
Patrick Lay | US | Mcminnville | 2009-04-16 / 20090095143 - Musical instrument system | 1 |
Chee Leng Lay | SG | Singapore | 2015-07-02 / 20150182468 - STIMULI-RESPONSIVE INTERPOLYMER COMPLEX COATED HOLLOW SILICA VESICLES | 3 |
Hannes Lay | DE | Beuren | 2015-09-03 / 20150246306 - FILTER ELEMENT | 1 |
Chyi-How Lay | TW | Taichung City | 2016-01-07 / 20160002582 - High Efficiency Biometric Device For Producing Hydrogen And Methane | 1 |
Robert Francis Lay | CA | Waterloo | 2014-06-19 / 20140168471 - DEVICE WITH VIRTUAL PLENOPTIC CAMERA FUNCTIONALITY | 2 |
Dieter F. Lay | US | Oconomowoc | 2015-08-06 / 20150217910 - FLEX HINGE CLOSURE WITH DRAIN-BACK CHANNEL | 8 |
Stuart Masefield Lay | GB | Chippenham | 2013-08-22 / 20130212893 - Apparatus Adapted To Provide An Indication Of An Angular Position Of An Input Member Over Multiple Turns | 1 |
Fung Tso Lay | AU | Reservior | 2014-07-24 / 20140208461 - DEFENSIN-ENCODING NUCLEIC ACID MOLECULES DERIVED FROM NICOTIANA ALATA, USES THEREFOR AND TRANSGENIC PLANTS COMPRISING SAME | 1 |
Chao-Wen Lay | TW | Miaoli County | 2015-03-19 / 20150076698 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 3 |
Karen E. Lay | US | Madison Heights | 2015-09-17 / 20150260134 - INLINE DUMP-ABLE FUEL SYSTEM DUST FILTER | 2 |
Frank Lay | DE | Mulheim An Der Ruhr | 2011-12-22 / 20110313150 - CHIRAL DISULFONIMIDES | 1 |
William Coleman Lay | US | St. George | 2013-11-28 / 20130316854 - WIND RESISTANT PRACTICE CAGE WITH OPENING AND ALTERNATIVE CLOSURES | 2 |
Jyh-Huei Lay | TW | Tainan City | 2015-12-10 / 20150355408 - ILLUMINATION LAMP HAVING LIGHT GUIDE BAR | 1 |
James Michael Lay | US | Apex | 2016-05-19 / 20160141914 - METHODS AND SYSTEMS FOR EMERGENCY LIGHTING | 24 |
William Michael Lay | US | Glenwood | 2015-08-27 / 20150242632 - Computer-based risk signature generation and comparison system | 3 |
Sitha Lay | US | San Diego | 2012-11-08 / 20120283715 - ELECTRICAL SENSING SYSTEMS AND METHODS OF USE FOR TREATING TISSUE | 3 |
Chun-Wen Lay | US | 2012-11-15 / 20120285203 - CIRCULAR KNITTING MACHINE | 1 | |
Sharon E. Lay | US | Daytona Beach | 2015-02-26 / 20150056577 - VEHICLE CREW TRAINING SYSTEM | 1 |
Timothy Lay | US | San Mateo | 2015-04-16 / 20150106659 - MONITORING AND DIAGNOSTICS OF BUSINESS TRANSACTION FAILURES | 1 |
Nathan Lay | US | Tallahassee | 2013-08-29 / 20130223704 - Method and System for Joint Multi-Organ Segmentation in Medical Image Data Using Local and Global Context | 1 |
Carlton R. Lay | US | Fort Collins | 2015-03-26 / 20150083615 - MAGNETIC MOUNTING SYSTEM FOR ELECTRONIC DEVICE | 2 |
Michael Lay | US | Cary | 2013-10-31 / 20130286637 - INDIRECT LINEAR FIXTURE | 2 |
Lenny Lay | US | Stanwood | 2012-05-17 / 20120121227 - CONDUCTOR ASSEMBLY, CONDUCTOR SPACER AND ASSOCIATED METHOD OF SEPARATING CONDUCTORS | 1 |
Li Lian Lay | US | Urbana | 2014-07-17 / 20140198917 - MENU NAVIGATION METHOD FOR USER OF AUDIO HEADPHONES | 3 |
Katie Nicole Lay | US | Cincinnati | 2014-10-09 / 20140299345 - HAND-HELD TOOLS AND COMPONENTS THEREOF | 1 |
Jeou-Rong Lay | US | San Jose | 2008-11-20 / 20080288670 - USE OF VIRTUAL TARGETS FOR PREPARING AND SERVICING REQUESTS FOR SERVER-FREE DATA TRANSFER OPERATIONS | 1 |
Markus Lay | DE | Pegnitz | 2016-03-24 / 20160084258 - Pump Arrangement Comprising a Plain Bearing Arrangement | 1 |
Alexander Kit Lay | GB | Fareham | 2014-12-25 / 20140373983 - DECOY COUNTERMEASURES | 1 |
Houston E. Lay | US | Batavia | 2015-11-26 / 20150335206 - BATHTUB SYSTEMS AND METHODS | 1 |
Thomas T. Lay | US | Highland Park | 2015-11-26 / 20150341561 - ENHANCED IMAGE CAPTURE | 6 |
Luigi Lay | IT | Siena | 2013-11-28 / 20130315959 - COMPOUNDS | 1 |
Kuang-Hao Lay | US | Mountain View | 2011-09-29 / 20110239168 - INTELLIGENT PATTERN SIGNATURE BASED ON LITHOGRAPHY EFFECTS | 3 |
Markus Lay | DE | Frankenthal | 2016-04-21 / 20160108923 - Pump Arrangement | 3 |
Warren T. Lay | US | Catawba | 2011-11-03 / 20110266067 - DOWN HOLE HAMMER HAVING ELEVATED EXHAUST | 2 |
William Coleman Lay | US | Saint George | 2014-10-02 / 20140296001 - Swing Trainer With Cover | 8 |
Isaac Lay | US | Brea | 2015-04-16 / 20150101226 - Picture Template | 6 |
Wee Lye Lay | SG | Singapore | 2016-02-18 / 20160050230 - METHOD AND SYSTEM FOR RESTORING WEBSITES | 1 |
Kuanghao Lay | US | Mountain View | 2012-10-25 / 20120272201 - METHOD AND SYSTEM FOR MODEL-BASED DESIGN AND LAYOUT OF AN INTEGRATED CIRCUIT | 3 |
Richard Lay | US | Marshall | 2011-06-02 / 20110128823 - SECURE ELECTRONICS TIMER | 1 |
Frank Jesse Lay | CA | Lloydminster | 2014-03-06 / 20140060808 - Device for Reducing Rod String Backspin in Progressive Cavity Pump | 1 |
Mark Christopher Lay | NZ | Hamilton | 2013-06-06 / 20130139725 - METHODS OF MANUFACTURING PLASTIC MATERIALS FROM DECOLORIZED BLOOD PROTEIN | 1 |
James Frazier Lay | US | Cherry Log | 2013-10-17 / 20130275765 - SECURE DIGITAL DOCUMENT DISTRIBUTION WITH REAL-TIME SENDER CONTROL OF RECIPIENT DOCUMENT CONTENT ACCESS RIGHTS | 1 |
Norbert Lay | DE | Bondorf | 2009-04-09 / 20090093205 - Blade and connection sleeve of a knife for an apparatus for cutting off the rectum of a slaughtered animal | 1 |
Chao-Wen Lay | TW | Gongguan Township | 2013-06-27 / 20130161786 - CAPACITOR ARRAY AND METHOD OF FABRICATING THE SAME | 2 |
Heinrich Lay | DE | Toeging Am Inn | 2010-01-14 / 20100007899 - METHOD TO PRINT A RECORDING MEDIUM WITH COLOR DATA AND MICR DATA | 1 |
Thierry Lay | FR | Boulogne-Billancourt | 2010-02-04 / 20100025981 - SYSTEM AND METHOD FOR CHARACTERIZING A BEVERAGE | 1 |
Sui T. Lay | AU | Melbourne | 2010-06-17 / 20100150959 - PCV 2-Based Methods and Compositions for the Treatment of Pigs | 1 |
Yen-Hung Lay | TW | Pingtung Hsien | 2010-09-16 / 20100231776 - PERSONAL PROTECTION DEVICE WITH WIRELESS AUDIO-VISUAL TRANSMISSION | 1 |
Marcus D. Lay | US | Athens | 2013-10-24 / 20130277618 - Bulk Purification and Deposition Methods for Selective Enrichment in High Aspect Ratio Single-Walled Carbon Nanotubes | 1 |
Tiffany Lay | US | San Jose | 2015-05-28 / 20150144489 - DISPOSABLE CARTRIDGE FOR MICROFLUIDICS SYSTEMS | 4 |
Kristen Lay | US | Park Ridge | 2015-11-12 / 20150324920 - Real-Time Insurance Estimate Based on Limited Identification | 1 |
Voeuth Lay | US | Carmel | 2010-11-11 / 20100287050 - METHOD AND SYSTEM FOR PERSONALLY TARGETED SEARCH MESSAGES | 1 |
Daniel De Lay | US | Muskego | 2010-06-17 / 20100146800 - Apparatus having a tool on an elongate pole and method of using the apparatus | 1 |
Chyi-How Lay | TW | Taichung | 2015-10-01 / 20150277464 - HYBRID RENEWABLE ENERGY SUPPLY SYSTEM | 1 |
Kenny Lay | AU | Derrimut | 2014-02-27 / 20140056543 - Biodegradable Bag | 1 |
Heinrich Lay | DE | Toging Am Inn | 2010-11-25 / 20100296846 - CONTROL DEVICE AND METHOD FOR CONTROLLING AN ELECTROPHOTOGRAPHIC PRINTER OR COPIER | 1 |
Lars Lay | DE | Tutzing | 2010-12-09 / 20100308759 - Method and Control System for Controlling a Synchronous Electric Machine | 1 |
Warren Thomas Lay | US | Catawba | 2012-01-12 / 20120006598 - Down-the-Hole Drill Hammer Having a Sliding Exhaust Check Valve | 4 |
Joni Lay | US | Smyrna | 2010-05-06 / 20100108091 - ADJUSTABLE BARRETTE | 2 |
Matthew Russell Lay | GB | Bristol | 2011-01-13 / 20110010498 - Providing preferred seed data for seeding a data deduplicating storage system | 1 |
Gwo-Rong Lay | TW | Taichung County | 2011-02-17 / 20110037334 - FASTENING STRUCTURE FOR MOTOR MAGNETS | 1 |
Fung Tso Lay | AU | Reservoir | 2015-06-11 / 20150158918 - NOVEL PLANT DEFENSINS AND USE IN THE TREATMENT OF PROLIFERATIVE DISEASES | 5 |
Brian M. Lay | US | Arlington | 2010-04-29 / 20100102891 - OSCILLATOR DEVICE AND METHODS THEREOF | 1 |
Gwo-Rong Lay | TW | Taichung City | 2015-02-26 / 20150054371 - SECURING STRUCTURE FOR MOTOR MAGNETS | 1 |
James Michael Lay | US | Apex | 2016-05-19 / 20160141914 - METHODS AND SYSTEMS FOR EMERGENCY LIGHTING | 24 |
Nathan Lay | US | Plainsboro | 2015-12-31 / 20150379744 - Visualization Method for a Human Skeleton from a Medical Scan | 1 |
Peter Lay | AU | Newtown | 2012-01-19 / 20120016818 - Classification of Biological Samples Using Spectroscopic Analysis | 1 |
Brian M. Lay | US | Boulder | 2014-10-30 / 20140325187 - SINGLE-CYCLE INSTRUCTION PIPELINE SCHEDULING | 1 |
Reiner Lay | DE | Aachen | 2016-01-07 / 20160002459 - WIPER BLADE ELEMENT | 12 |
Reiner Lay | DE | Achen | 2010-10-07 / 20100252749 - WIPER BLADE | 1 |
Merrill Lay | US | Daytona Beach | 2015-08-27 / 20150243182 - PHYSICS-BASED SIMULATION OF WARHEAD AND DIRECTED ENERGY WEAPONS | 2 |
Frank Lay | TW | Taipei | 2012-06-07 / 20120144068 - PORTABLE DATA SYSTEM | 1 |
Jyh-Pyng Lay | TW | Taichung City | 2014-12-11 / 20140361760 - VOLTAGE REGULATION CIRCUITS AND POWER SUPPLY DEVICES USING THE SAME | 1 |
Travis Lay | US | Knoxville | 2011-05-12 / 20110109460 - Animal Control System | 1 |
James Michael Lay | US | Cary | 2014-06-05 / 20140153233 - SOLID STATE LIGHTING DEVICE | 17 |
William Coleman Lay | US | Saint George | 2014-10-02 / 20140296001 - Swing Trainer With Cover | 8 |
Leonard Lay | US | Ellenwood | 2012-07-05 / 20120168598 - Umbrella Attachment For A Car | 1 |
Mark C. Lay | US | San Carlos | 2015-04-23 / 20150112796 - DETERMINING USER ENGAGEMENT | 1 |
Fung Lay | AU | Reservoir | 2012-07-05 / 20120172313 - TREATMENT OF PROLIFERATIVE DISEASES | 1 |
Mark Phillips Lay | US | Washington | 2009-10-15 / 20090259572 - Collaborative alert distribution and management system | 1 |
Ralf Lay | DE | Mannheim | 2008-10-16 / 20080256511 - Hardware Programming and Layout Design | 1 |
Jiann-Jyh (james) Lay | TW | Kaohsiung City | 2008-12-18 / 20080310308 - System and method for adaptive flow control | 1 |
Eddy Lay | TW | Hsinchu City | 2015-02-26 / 20150053136 - Vertical Furnace for Improving Wafer Uniformity | 1 |
Jennifer Stephanie Eola Lay | US | Minneapolis | 2015-05-07 / 20150125146 - WIRELESS DROP IN A FIBER-TO-THE-HOME NETWORK | 1 |
Michael Lay | DE | Muhldorf | 2009-01-15 / 20090016770 - ARRANGEMENT FOR CONVEYING TONER FROM A TONER SUPPLY CONTAINER INTO A TONER RECEIVING CONTAINER | 1 |
Jiunn-Jyi Lay | TW | Kaohsiung City | 2009-02-05 / 20090035812 - Microbial hydrogen-producing process and system thereof | 1 |
Peter Lay | AU | Newton | 2009-02-12 / 20090042848 - COPPER COMPLEXES | 1 |
Reiner Lay | DE | Aachen | 2016-01-07 / 20160002459 - WIPER BLADE ELEMENT | 12 |
Dieter F. Lay | US | Oconomowoc | 2015-08-06 / 20150217910 - FLEX HINGE CLOSURE WITH DRAIN-BACK CHANNEL | 8 |
Tzong Shen Lay | TW | Yi Lan County | 2009-02-12 / 20090038978 - Environmental protection paper pulp packaging | 1 |
Shinn-Juh Lay | TW | Hsinchu | 2009-02-26 / 20090051469 - MULTI-FUNCTIONAL COMPOSITE SUBSTRATE STRUCTURE | 1 |
Alexander Kit Lay | GB | Salisbury | 2009-02-26 / 20090050245 - DECOY COUNTERMEASURES | 1 |
Jeffrey Lawrence Laya | US | Northville | 2015-05-21 / 20150137556 - DEFLECTOR WITH FRAME RAIL FOLLOWER AND GUIDE ON DEFLECTOR END | 2 |
Hicham Layachi | CA | Laval | 2014-10-02 / 20140294085 - METHOD AND SYSTEM FOR SELECTIVELY PERFORMING MULTIPLE VIDEO TRANSCODING OPERATIONS | 2 |
Leslie Charles Laycock | GB | Ongar | 2010-07-01 / 20100165432 - IMPROVEMENTS RELATNG TO OPTICAL VECTOR MATRIX MULTIPLIERS | 1 |
Leslie Charles Laycock | GB | Chelmsford-Essex | 2016-03-24 / 20160084708 - SPECTRAL IMAGING | 6 |
Christopher William Laycock | GB | South Yorkshire | 2011-05-19 / 20110119448 - Data store maintenance requests in interconnects | 1 |
Lesile C. Laycock | GB | Essex | 2011-09-22 / 20110228384 - FLUIDIC LENS | 1 |
Mark Anthony Laycock | GB | Thornaby | 2015-05-21 / 20150136264 - FLEXIBLE PIPE BODY AND METHOD | 1 |
Graeme Laycock | AU | Hunters Hill | 2015-12-24 / 20150371418 - APPARATUS AND METHOD FOR VISUALIZATION OF OPTIMUM OPERATING ENVELOPE | 17 |
Mervyn Laycock | BE | Vossem | 2009-12-31 / 20090324932 - COMPOSITE PANEL | 1 |
Leslie Charles Laycock | GB | Essex | 2012-03-29 / 20120075732 - SELF-DEFORMABLE MIRRORS AND THE SUPPORT THEREOF | 8 |
Landon T. Laycock | US | Alpine | 2013-09-12 / 20130238829 - AUDIO DOCKING DEVICES AND SYSTEMS | 1 |
Lisa Laycock | US | Alpine | 2012-09-13 / 20120227153 - Hand Covering and Garment Combination | 1 |
Martin Laycock | NO | Asker | 2013-10-03 / 20130256055 - SEISMIC VIBRATOR HAVING AIRWAVE SUPPRESSION | 4 |
Bronwyn Glenice Laycock | AU | Thornsland, Queensland | 2015-10-15 / 20150291768 - METHOD OF PRODUCING POLYHYDROXYALKANOATE COMPOUNDED PLASTICS HAVING IMPROVED MECHANICAL PROPERTIES | 1 |
Phillip Laycock | GB | Cheshire | 2013-12-26 / 20130341820 - MOULD MAT FOR PRODUCING BONE CEMENT PELLETS | 1 |
Lachlan Laycock | FR | Pairs | 2012-05-24 / 20120129585 - SYSTEMS AND METHODS FOR SCORING COMPETITIVE STRATEGY PREDICTIONS OF USERS ON A PLAY-BY-PLAY BASIS | 1 |
Bronwyn Glenice Laycock | AU | Heidelberg Heights | 2014-01-23 / 20140022507 - Extended Wear Ophthalmic Lens | 4 |
Christopher William Laycock | GB | Sheffield | 2014-02-06 / 20140040516 - BARRIER TRANSACTIONS IN INTERCONNECTS | 9 |
Kevin Laycock | US | Lambertville | 2012-03-29 / 20120074808 - TARGET MAGNET ASSEMBLY FOR A SENSOR USED WITH A STEERING GEAR | 1 |
Lesile Charles Laycock | GB | Chelmsford | 2015-08-06 / 20150219895 - PRISMATIC CORRECTING LENS | 1 |
Logan R. Laycock | US | Alpine | 2013-09-12 / 20130238829 - AUDIO DOCKING DEVICES AND SYSTEMS | 1 |
Lachlan Laycock | FR | Paris | 2013-10-17 / 20130273987 - SYSTEMS AND METHODS FOR SCORING COMPETITIVE STRATEGY PREDICTIONS OF USERS ON A PLAY-BY-PLAY BASIS | 1 |
Jason Laycock | CA | Kelowna | 2014-08-07 / 20140217107 - MODULAR FUEL STORAGE SYSTEM | 2 |
Larry R. Laycock | US | Alpine | 2015-08-13 / 20150227164 - DISPLAY AND SENSING SYSTEMS | 5 |
Graeme Laycock | AU | Sydney | 2011-04-07 / 20110083094 - SYSTEMS AND METHODS FOR DISPLAYING HVAC INFORMATION | 1 |
Leslie Charles Laycock | GB | Chelmsford | 2015-09-10 / 20150253491 - LCD BACKLIGHT DISPLAY | 4 |
Christopher William Laycock | GB | Sheffield | 2014-02-06 / 20140040516 - BARRIER TRANSACTIONS IN INTERCONNECTS | 9 |
Leslie Charles Laycock | GB | Filton | 2010-06-24 / 20100157438 - FLUIDIC LENS | 1 |
Leslie Charles Laycock | GB | Essex | 2012-03-29 / 20120075732 - SELF-DEFORMABLE MIRRORS AND THE SUPPORT THEREOF | 8 |
Graeme Laycock | AU | Hunters Hill | 2015-12-24 / 20150371418 - APPARATUS AND METHOD FOR VISUALIZATION OF OPTIMUM OPERATING ENVELOPE | 17 |
Brian T. Layden | US | Chicago | 2008-11-27 / 20080292627 - Compositions and Methods for Treating Mood and Anxiety Disorders | 1 |
Daniel J. Layden | US | Marietta | 2013-05-23 / 20130127218 - Anchor Latch System for Child Restraint Systems and Child Car Safety Seats | 1 |
Stewart Layden | CA | Red Deer | 2011-02-03 / 20110024120 - METHOD OF SERVICING HIGH TEMPERATURE WELLS | 1 |
Kevin Layden | US | Plymouth | 2010-04-15 / 20100089037 - OPTIMIZED DISCRETE LEVEL SENSING SYSTEM FOR VEHICLE REDUCTANT RESERVOIR | 1 |
Reginald Waye Layden | CA | Calgary | 2016-03-03 / 20160060982 - DUAL DEVICE APPARATUS AND METHODS USABLE IN WELL DRILLING AND OTHER OPERATIONS | 2 |
Reginald Layden | CA | Calgary | 2012-07-05 / 20120168179 - COIL TUBING RIG AND CARRIER SYSTEM | 1 |
David J. Layden | US | Indianapolis | 2009-05-14 / 20090125478 - Database heap management system with variable page size and fixed instruction set address resolution | 2 |
Reginald W. Layden | US | Calgary | 2013-08-22 / 20130213641 - System and Method for Casing Milling | 1 |
Jérôme Laye | FR | Grenoble | 2014-09-18 / 20140262489 - ELECTRICAL ENCLOSURE WITH IMPROVED MECHANICAL ARRANGEMENT | 3 |
Jean-Michel Laye | FR | Injambakkan | 2013-02-14 / 20130041073 - PLASTICIZING MIXTURE FOR A HYDRAULIC COMPOSITION | 1 |
Isabelle Marie-Francoise Laye | US | Wheeling | 2009-12-03 / 20090297660 - Cheese Products Containing Galacto-Oligosaccharides And Having Reduced Lactose Levels | 1 |
Christophe Laye | FR | Valbonne | 2008-10-16 / 20080256102 - Apparatus and Method for Processing Management Information | 1 |
Jean-Michel Laye | IN | Chennai | 2012-02-16 / 20120041103 - Fast Hydraulic Binder for Parts and Constructions Made of Concrete Containing A Calcium Salt | 1 |
Jean-Michel Laye | IN | Injambakkan, Chennai | 2014-10-30 / 20140323614 - PLASTICIZING MIXTURE FOR A HYDRAULIC COMPOSITION | 1 |
Patricia Layec | FR | Vanves | 2010-01-07 / 20100003934 - ADAPTIVE METHOD OF TRANSMITTING AND RECEIVING A SIGNAL IN A MULTI-ANTENNA SYSTEM, CORRESPONDING TRANSMISSION AND RECEPTION DEVICES, COMPUTER PROGRAM PRODUCTS AND SIGNAL | 1 |
Francois Layec | DE | Friedrichshafen | 2015-12-31 / 20150377167 - METHOD FOR THE CORRECTION OF A FUEL QUANTITY INJECTED BY MEANS OF A FUEL INJECTION DEVICE DURING OPERATION OF AN INTERNAL COMBUSTION ENGINE | 1 |
Patricia Layec | FR | Chatenay Malabry | 2010-12-09 / 20100309995 - METHOD FOR SENDING AND RECEIVING A SIGNAL IN A MULTIPLE-ANTENNA SYSTEM IMPLEMENTING SPATIAL PRE-ENCODING, CORRESPONDING SENDER, RECEIVER AND COMPUTER PROGRAM PRODUCTS | 1 |
Patricia Layec | FR | Nozay | 2015-08-27 / 20150244456 - APPARATUS, METHOD AND COMPUTER PROGRAM OPERABLE TO DETERMINE ESTIMATES | 1 |
Suman Layek | US | Lawrenceville | 2016-01-28 / 20160028021 - ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 20 |
Suman Layek | US | Lawrenceville | 2016-01-28 / 20160028021 - ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 20 |
James Layer | US | Crystal Lake | 2010-10-28 / 20100269830 - Fluid Removing Apparatus for Respiratory Tract | 1 |
Steffen Layer | DE | Stuttgart | 2013-09-19 / 20130239360 - VACUUM APPLIANCE | 2 |
John Andrew Layer | US | Fairborn | 2010-04-01 / 20100082218 - STARTER DRIVE ASSEMBLY AND METHOD OF STARTING AN ENGINE | 2 |
James P. Layer | US | Crystal Lake | 2009-06-11 / 20090149791 - STABILIZATION WEDGE FOR ULCER PREVENTION AND THERAPEUTIC/TREATMENT BOOT | 1 |
Christophe J. Layer | FR | Grenoble | 2015-09-24 / 20150269121 - ARITHMETIC OPERATION IN A DATA PROCESSING SYSTEM | 4 |
Jacqueline Layer | GB | Dorset | 2013-09-19 / 20130246245 - System And Method For Integrating Trading Operations Including The Generation, Processing And Tracking of Trade Documents | 2 |
Christophe J. Layer | DE | Boeblingen | 2014-04-03 / 20140095568 - Fused Multiply-Adder with Booth-Encoding | 7 |
James Layer | US | Cary | 2013-08-15 / 20130205495 - APPARATUS AND SYSTEM FOR TURNING AND POSITIONING A PATIENT | 1 |
Susan Layer | US | Fairport | 2009-05-07 / 20090119066 - PROVIDING DIRECTIVE REPLACEMENT OF HFSI PARTS BASED ON SPECIFIC MACHINE PERFORMANCE | 1 |
Liliana Layer | DE | Darmstadt | 2011-02-24 / 20110045591 - Controlled Activation of Non-LTR Retrotransposons in Mammals | 1 |
Hans Layer | DE | Ulm | 2010-11-11 / 20100284187 - PLANAR ILLUMINATION DEVICE | 3 |
Tobias Layer | DE | Gauting | 2010-12-30 / 20100328101 - SYSTEM FOR INFLUENCING TRAFFIC IN A STREET NETWORK | 1 |
Ryan Layer | US | Salt Lake City | 2016-05-12 / 20160132640 - SYSTEM, METHOD AND COMPUTER READABLE MEDIUM FOR RAPID DNA IDENTIFICATION | 1 |
John Andrew Layer | US | East Aurora | 2013-03-21 / 20130071232 - RAM AIR TURBINE WITH INTEGRATED HEAT EXCHANGER | 1 |
Jens Layer | DE | Iisfeld | 2009-01-22 / 20090024558 - Methods and systems for storing and retrieving rejected data | 1 |
James Layer | US | Cooper City | 2015-08-13 / 20150224246 - NASAL IRRIGATION ASSEMBLY AND SYSTEM | 2 |
Christophe Layer | FR | Grenoble | 2014-08-28 / 20140244704 - FUSED MULTIPLY ADD PIPELINE | 1 |
James H. Layer | US | Fort Lauderdale | 2008-09-04 / 20080215066 - Apparatus for Positioning a Medical Instrument Relative to a Patient | 1 |
Deborah D. Layfield | US | Tifton | 2009-01-15 / 20090017513 - PROCESS FOR PRODUCING HYDROCARBON MOLECULES FROM RENEWABLE BIOMASS | 1 |
Brian P. Layfield | CA | Oakville | 2015-10-29 / 20150307142 - DRAG REDUCTION FAIRING FOR A TRAILER CONVERTER DOLLY | 2 |
Michael Layh | DE | Altusried | 2016-03-17 / 20160077446 - ILLUMINATION SYSTEM FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS | 13 |
Michael Layh | DE | Aalen | 2012-11-22 / 20120293784 - METHOD AND DEVICE FOR MONITORING MULTIPLE MIRROR ARRAYS IN AN ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS | 16 |
Michael Layh | DE | Alttusried | 2013-02-14 / 20130038850 - ILLUMINATION SYSTEM AND PROJECTION OBJECTIVE OF A MASK INSPECTION APPARATUS | 1 |
Michael Layh | DE | Altusried | 2016-03-17 / 20160077446 - ILLUMINATION SYSTEM FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS | 13 |
Wolfgang Layher | DE | Besigheim | 2015-08-13 / 20150226167 - ELECTROMAGNETIC FUEL VALVE | 9 |
Karl-Heinz Layher | DE | Kirehberg/murr | 2009-03-05 / 20090058116 - Stone lifting device | 1 |
Wolfgang Layher | DE | Besigheim | 2015-08-13 / 20150226167 - ELECTROMAGNETIC FUEL VALVE | 9 |
Wolfgang Layher | DE | Waiblingen | 2009-12-03 / 20090293282 - Water Supply for a Hand-Held Power Tool | 1 |
Michael John Layland | US | Bonita | 2014-08-21 / 20140234081 - TRANSLATING VARIABLE AREA FAN NOZZLE PROVIDING AN UPSTREAM BYPASS FLOW EXIT | 8 |
Michael Layland | US | Bonita | 2015-04-23 / 20150110603 - ACOUSTIC STRUCTURAL PANEL WITH SLANTED CORE | 6 |
Michael John Layland | US | Bonita | 2014-08-21 / 20140234081 - TRANSLATING VARIABLE AREA FAN NOZZLE PROVIDING AN UPSTREAM BYPASS FLOW EXIT | 8 |
Michael J. Layland | US | Bonita | 2016-03-24 / 20160083102 - NACELLE | 7 |
Matthew Joseph Laylock | US | Easley | 2015-10-01 / 20150275677 - ARTICLE FOR USE IN HIGH STRESS ENVIRONMENTS HAVING MULTIPLE GRAIN STRUCTURES | 1 |
Matthew Laylock | US | Mauldin | 2013-05-23 / 20130126056 - CAST NICKEL-IRON-BASE ALLOY COMPONENT AND PROCESS OF FORMING A CAST NICKEL-IRON-BASE ALLOY COMPONENT | 1 |
Matthew J. Laylock | US | Mauldin | 2015-09-03 / 20150247422 - ARTICLE AND METHOD FOR FORMING AN ARTICLE | 3 |
Matthew Laylock | US | Greenville | 2013-01-17 / 20130017070 - TURBINE SEAL, TURBINE, AND PROCESS OF FABRICATING A TURBINE SEALAANM GOLLER; George AlbertAACI GreenvilleAAST SCAACO USAAGP GOLLER; George Albert Greenville SC USAANM CAVANAUGH; Dennis WilliamAACI SimpsonvilleAAST SCAACO USAAGP CAVANAUGH; Dennis William Simpsonville SC USAANM LAYLOCK; MatthewAACI GreenvilleAAST SCAACO USAAGP LAYLOCK; Matthew Greenville SC US | 2 |
John Moncrief Layman | US | Liberty | 2012-12-27 / 20120329948 - Method for Injection Molding at Low, Substantially Constant Pressure | 1 |
William Stratford Layman | US | River Ridge | 2015-05-21 / 20150142150 - METHOD OF DIGITALLY CONSTRUCTING A PROSTHESIS | 1 |
W. Brian Layman | US | Jefferson | 2015-05-21 / 20150142150 - METHOD OF DIGITALLY CONSTRUCTING A PROSTHESIS | 1 |
Ted Layman | US | E. Park City | 2014-11-13 / 20140336620 - MEDICAL DEVICES WITH A SLOTTED TUBULAR MEMBER HAVING IMPROVED STRESS DISTRIBUTION | 1 |
Ted Layman | US | Park City | 2014-02-20 / 20140052107 - GUIDEWIRE WITH AN IMPROVED FLEXURAL RIGIDITY PROFILE | 8 |
John Moncrief Layman | US | Liberty Township | 2014-06-05 / 20140154351 - Injection Mold Having a Simplified Cooling System | 14 |
Randy Layman | US | Marietta | 2015-12-31 / 20150381809 - SYSTEM AND METHOD FOR A PROGRESSIVE DIALER FOR OUTBOUND CALLS | 10 |
Paul Arthur Layman | CA | Ontario | 2010-02-25 / 20100044767 - STRUCTURE AND FABRICATION METHOD FOR CAPACITORS INTEGRATIBLE WITH VERTICAL REPLACEMENT GATE TRANSISTORS | 2 |
Frederick P. Layman | US | Carefree | 2016-05-19 / 20160138870 - HIGHLY TURBULENT QUENCH CHAMBER | 13 |
Doug Layman | US | San Diego | 2014-05-29 / 20140148796 - System and Methods for Assessing the Neuromuscular Pathway Prior to Nerve Testing | 6 |
Thomas A. Layman | US | Hillsborough | 2010-12-09 / 20100312697 - PAYMENT SERVICES FOR MULTI-NATIONAL CORPORATIONS | 1 |
John Henry Layman | US | Dearborn | 2011-09-15 / 20110220010 - TRAFFIC CONE INSERT THAT SUPPORTS CAUTION TAPE | 1 |
Harry A. Layman | US | Reston | 2013-01-31 / 20130029299 - REVERSIBLE LANGUAGE LEARNING SYSTEM | 1 |
William J. Layman | US | Baton Rouge | 2012-01-05 / 20120004438 - Low Triphenylphosphate, High Phosphorous Content Isopropyl Phenyl Phosphates With High Ortho Alkylation | 4 |
Andrew John Layman | US | Bellevue | 2014-10-09 / 20140304506 - NETWORK BASED MANAGEMENT OF PROTECTED DATA SETS | 4 |
Dawn Layman | US | Ridge | 2015-11-05 / 20150313830 - Method And Compositions For Improving Selective Catabolysis And Viability In Cells Of Keratin Surfaces | 2 |
John Layman | US | Blacksbury | 2010-06-17 / 20100152040 - Carbon Beads With Multimodal Pore Size Distribution | 1 |
Michael A. Layman | US | Huntington Beach | 2011-06-09 / 20110132994 - SELECTABLE AND TWISTING NOZZLE FOR FLUID EFFECTS PLATFORM | 3 |
John Moncrief Layman | US | Liberty Twp | 2015-06-25 / 20150174803 - Methods of Forming Overmolded Articles | 3 |
Ted Layman | US | Park City | 2014-02-20 / 20140052107 - GUIDEWIRE WITH AN IMPROVED FLEXURAL RIGIDITY PROFILE | 8 |
John Layman | US | Blacksburg | 2009-11-12 / 20090277465 - FLAVOR CAPSULE FOR ENHANCED FLAVOR DELIVERY IN CIGARETTES | 1 |
William Stratford Layman | US | Kenner | 2014-07-03 / 20140188260 - METHOD OF DIGITALLY CONSTRUCTING A PROSTHESIS | 1 |
W. Brian Layman | US | Kenner | 2014-07-03 / 20140188260 - METHOD OF DIGITALLY CONSTRUCTING A PROSTHESIS | 1 |
Frederick P. Layman | US | Carefree | 2016-05-19 / 20160138870 - HIGHLY TURBULENT QUENCH CHAMBER | 13 |
Ted W. Layman | US | Park City | 2015-07-16 / 20150196745 - SYSTEM FOR MARKING A LOCATION FOR TREATMENT WITHIN THE GASTROINTESTINAL TRACT | 14 |
Timothy B. Layman | US | Auburn | 2009-09-03 / 20090222418 - Systems and methods for dynamic content presentation | 2 |
Randy Layman | US | Marietta | 2015-12-31 / 20150381809 - SYSTEM AND METHOD FOR A PROGRESSIVE DIALER FOR OUTBOUND CALLS | 10 |
John Moncrief Layman | US | Liberty Township | 2014-06-05 / 20140154351 - Injection Mold Having a Simplified Cooling System | 14 |
Andrew J. Layman | US | Bellevue | 2013-08-08 / 20130205360 - PROTECTING USER CREDENTIALS FROM A COMPUTING DEVICE | 2 |
Ted W. Layman | US | Menlo Park | 2009-04-16 / 20090099415 - Endoscopic Instrument System for Implanting a Device in the Stomach | 1 |
Frederick P. Layman | US | Tempe | 2016-02-04 / 20160030910 - HIGH-THROUGHPUT PARTICLE PRODUCTION USING A PLASMA SYSTEM | 1 |
John M. Layman | US | Blacksburg | 2008-09-04 / 20080213574 - Amphiphilic Fibers and Membranes and Processes for Preparing Them | 1 |
Ted W. Layman | US | Mountain View | 2009-06-11 / 20090149910 - Gastric Treatment/Diagnosis Device and Attachment Device and Method | 2 |
Edwin D. Layman | US | Chicago | 2012-11-22 / 20120295570 - Digital content distribution system for delivering location specific content to an ad hoc group of mobile subscribers computer appendix | 2 |
Fredrick P. Layman | US | Carefree | 2011-01-13 / 20110006463 - GAS DELIVERY SYSTEM WITH CONSTANT OVERPRESSURE RELATIVE TO AMBIENT TO SYSTEM WITH VARYING VACUUM SUCTION | 3 |
William J. Layman, Jr. | US | Baton Rouge | 2015-06-25 / 20150175797 - Low Molecular Weight Brominated Polymers, Processes For Their Manufacture And Their Use In Thermoplastic Formulations | 15 |
Dwane O. Laymon | US | Tulsa | 2010-10-21 / 20100263460 - PIG DETECTOR | 1 |
Matthew S. Laymon | US | Tulsa | 2014-01-02 / 20140000046 - PIPELINE INSPECTION GAUGE EXTRACTOR | 2 |
Tharron Scott Laymon | US | Kingston | 2014-05-15 / 20140131422 - METHOD FOR REDUCED CYCLE TIMES IN MULTI-PASS WELDING WHILE PROVIDING AN INERT ATMOSPHERE TO THE WELDING ZONE | 3 |
Patrick Allen Laymon | US | Denton | 2013-02-21 / 20130043220 - AIR-CARBON ARC SYSTEM AND APPARATUS FOR DETECTING AND/OR REDUCING IRREGULARITIES IN A WORK PIECE SURFACE AND METHOD OF USING THE SAME | 1 |
Jerry Layne | US | Greenwood | 2012-08-09 / 20120201653 - GAS TURBINE ENGINE AND COOLED FLOWPATH COMPONENT THEREFOR | 1 |
Richard W. Layne | US | Phoenix | 2011-09-01 / 20110213402 - LOW-COMPLIANCE EXPANDABLE MEDICAL DEVICE | 1 |
Phillip Layne | US | Rushville | 2008-09-18 / 20080222960 - Portable start gate assembly | 1 |
Kevin A. Layne | US | Coon Rapids | 2012-04-19 / 20120095886 - COLLECTION AGENCY DATA ACCESS METHOD | 2 |
Geary J. Layne | US | Superior | 2009-09-03 / 20090222768 - Graphical User Control for Multidimensional Datasets | 2 |
Bruce Layne | US | Wheaton | 2010-11-25 / 20100296272 - Recessed LED Downlight | 1 |
Geary J. Layne | US | Boulder | 2014-10-30 / 20140325456 - Graphical User Control for Multidimensional Datasets | 1 |
James L. Layne | US | Glasgow | 2009-04-30 / 20090107805 - SIDE-FLEXING CONVEYOR CHAIN WITH PIVOTING SLATS AND RELATED METHODS | 1 |
David V. Layne | US | Litchfield | 2010-06-24 / 20100161098 - Method and Apparatus for Scheduling Work Orders in a Manufacturing Process | 1 |
Richard W. Layne | US | San Francisco | 2008-12-25 / 20080319444 - Methods and devices for treating bone after high velocity and/or trauma fracture | 1 |
Richard W. Layne | US | Sunnyvale | 2011-06-09 / 20110137319 - Systems and Methods for Reducing Fractured Bone Using a Fracture Reduction Cannula With a Side Discharge Port | 1 |
Richard Layne | GB | Leeds | 2014-05-29 / 20140145046 - SUPPORT | 1 |
Jeff Layne | US | Torrance | 2014-05-08 / 20140124444 - SINTERED METAL FIBER DISKS FOR CHROMATOGRAPHIC APPLICATIONS | 1 |
Jonathan Layne | US | North Salt Lake | 2012-08-02 / 20120193111 - SYSTEMS AND METHODS FOR PROVIDING A STAKE DRIVER ATTACHMENT APPARATUS | 1 |
Richard Layne | US | Tempe | 2011-06-02 / 20110126966 - PARTIAL ENCAPSULATION OF STENTS | 2 |
Donnell P. Layne | US | Olympia Fields | 2014-09-18 / 20140265760 - MOBILE RESEARCH LABORATORY | 1 |
Jeffrey Layne | US | Rowlett | 2016-02-18 / 20160046163 - TAG AXLE SUSPENSION SYSTEM WITH TIRE AT LOWEST POINT WHEN LIFTED | 1 |
Janet Layne | US | Meridian | 2016-04-14 / 20160102371 - EVENT-SPECIFIC DETECTION METHODS | 1 |
Jeromy Layne | DE | Mainz | 2012-10-04 / 20120254029 - MOTOR VEHICLE KEY AND METHOD FOR IMPLEMENTING A FINANCIAL TRANSACTION | 2 |
John Layne | NZ | Auckland | 2013-01-10 / 20130011287 - PUMP OR TURBINE FOR INCOMPRESSIBLE FLUIDS | 1 |
John Layne | AU | Perth | 2011-07-07 / 20110162753 - VAPOR RECOVERY PUMP REGULATION OF PRESSURE TO MAINTAIN AIR TO LIQUID RATIO | 1 |
James L. Layne | US | Bowling Green | 2015-10-08 / 20150284187 - CONVEYOR WITH IMPROVED CLEANING CAPABILITIES | 7 |
Richard E. Layne | US | Tempe | 2014-04-17 / 20140107763 - Partial Encapsulation of Stents | 1 |
Michael P. Layne | US | Needham | 2013-04-18 / 20130092177 - Drape for Equipment Having Cylindrical or other Non-Planar Contours | 1 |
Scott Peter Layne | US | Los Angeles | 2013-11-21 / 20130309676 - BIASED N-MERS IDENTIFICATION METHODS, PROBES AND SYSTEMS FOR TARGET AMPLIFICATION AND DETECTION | 1 |
John M. Layne | US | Saint Johns | 2014-04-24 / 20140112469 - Novel encryption processes based upon irrational numbers and devices to accomplish the same | 1 |
Richard W. Layne | US | Denver | 2013-08-01 / 20130197534 - APPARATUS AND METHOD FOR RESTRICTING MOVEMENT OF A CANNULA DURING A SURGICAL PROCEDURE | 4 |
Ginger P. Layne | US | Morgantown | 2014-09-25 / 20140288424 - System and Device for Tumor Characterization Using Nonlinear Elastography Imaging | 1 |
William L. Layne, Iv | US | Harleysville | 2014-09-18 / 20140280727 - VENUE-SPECIFIC AMUSEMENT DEVICE NETWORK | 8 |
William L. Layne, Iv | US | Langhorne | 2013-02-07 / 20130035168 - SYSTEM AND METHOD FOR MANAGEMENT OF SETTINGS GROUPS IN AMUSEMENT DEVICES | 10 |
William L. Layne, Iv | US | Langhorne | 2013-02-07 / 20130035168 - SYSTEM AND METHOD FOR MANAGEMENT OF SETTINGS GROUPS IN AMUSEMENT DEVICES | 10 |
Kenneth Mcclelland Layng | US | State College | 2016-04-14 / 20160104131 - SYSTEM AND METHOD FOR EXCHANGING GOODS AND SERVICES | 1 |
Janet Mary Layng | US | Tacoma | 2016-03-10 / 20160067888 - Method and Apparatus for Microwave and Convection Composite Curing | 1 |
Terrence V. Layng | US | Seattle | 2010-01-28 / 20100021871 - TEACHING READING COMPREHENSION | 1 |
Terrence Layng | US | Seattle | 2013-07-04 / 20130167707 - System and Method for Teaching and Testing Musical Pitch | 1 |
Antonio Layon | US | Sun Valley | 2014-06-19 / 20140167627 - Lighting Display | 1 |
Antonio Layon | US | Mountain View | 2015-12-10 / 20150354802 - Underwater LED Lights | 1 |
Antonio Layon | US | North Hollywood | 2013-07-04 / 20130170212 - Underwater LED Lights | 1 |
Aaron J. Layos | US | Fort Wayne | 2009-02-05 / 20090033108 - ROTATING DISK SYSTEM FOR A VEHICLE DOOR LATCH ASSEMBLY | 1 |
Khaled Layouni | FR | Moncourt-Fromonville | 2016-01-07 / 20160001251 - RUPTURABLE RELIABILITY DEVICES FOR CONTINUOUS FLOW REACTOR ASSEMBLIES | 2 |
Emilio Layrana Fernandez | ES | San Sebastian De Los Reyes (madrid) | 2010-11-11 / 20100282380 - Universal Waterproof Elastic Device For Protecting Suitcases of Different Sizes Having Inviolable and Customizable Closure Seals | 1 |
Emilie Layre | FR | Torreilles | 2010-10-07 / 20100255015 - PHARMACEUTICALS COMPOSITIONS COMPRISING ACTINOMYCETE GLYCEROL ACYL DERIVATIVES ANTIGENS, THEIR PROCESS OF EXTRACTION, AND THEIR USE AGAINST TUBERCULOSIS | 1 |
Kenneth A. Layre | US | Jamison | 2012-11-01 / 20120275066 - GROUNDING SYSTEM FOR PHOTOVOLTAIC ARRAYS | 1 |
Gregory S. Layser | US | Oceanside | 2014-12-04 / 20140356206 - PUMP ROLLER ASSEMBLY WITH INDEPENDENTLY SPRUNG ROLLERS | 4 |
Todd Layt | AU | Richmond | 2014-06-12 / 20140165244 - Hardenbergia violacea plant named 'HB1.08' | 3 |
Todd Anthony Layt | AU | Richmond | 2015-12-03 / 20150351304 - Festuca arundinacea plant named KT12 | 9 |
Todd Anthony Layt | AU | Clarendon | 2016-05-12 / 20160135351 - Stenotaphrum Secundatum Plant Named 'Pal42' | 9 |
Todd Anthony Layt | AU | Clarendon | 2016-05-12 / 20160135351 - Stenotaphrum Secundatum Plant Named 'Pal42' | 9 |
Todd Layt | AU | Clarendon | 2013-07-11 / 20130180020 - Liriope muscari plant named 'LIRES' | 2 |
Benny Layton | US | Tulsa | 2015-09-17 / 20150260008 - RETRIEVABLE DOWNHOLE TOOL SYSTEM | 1 |
John Layton | US | Dublin | 2009-09-17 / 20090234240 - Respiration as a Trigger for Therapy Optimization | 1 |
Phillip J. Layton | US | San Diego | 2013-12-05 / 20130319514 - PHOTON INDUCED ENHANCED FIELD ELECTRON EMISSION COLLECTOR | 3 |
Christopher J. Layton | US | Claremore | 2015-11-12 / 20150325943 - Systems and Methods for Maintaining Pressure on an Elastomeric Seal | 1 |
Kevin Layton | US | Torrance | 2016-04-28 / 20160113215 - METHODS FOR AMELIORATING WATER SHORTAGES AND DROUGHT CONDITIONS USING INDUCED PRECIPITATION RECYCLING | 1 |
Frederic Curtis Layton | US | Pittsburgh | 2015-11-12 / 20150321348 - Systems and Methods for Modular Units in Electro-Mechanical Systems | 1 |
Otis F. Layton | US | Bonney Lake | 2014-10-30 / 20140320366 - STRUCTURALLY INTEGRATED ANTENNA APERTURE ELECTRONICS ATTACHMENT DESIGN AND METHODOLOGY | 3 |
Malcolm John Layton | AU | Wooloowara | 2009-04-30 / 20090111563 - GAMING SYSTEM AND A METHOD OF GAMING | 2 |
Chuck Lamar Layton | US | Pell City | 2013-11-14 / 20130299117 - DIE BRACE AND METHOD OF USE THEREOF | 1 |
Sarah M. Layton | US | Newton | 2014-09-11 / 20140255442 - NOVEL EUROPEAN PRRSV STRAIN | 2 |
Eric Christopher Layton | US | Mapleton | 2014-11-13 / 20140338003 - DISTRIBUTED SECURE CONTENT DELIVERY | 1 |
James E. Layton | US | Chelsea | 2012-02-02 / 20120026003 - Systems and Methods for Downhole Instrument Communication Via Power Cable | 3 |
William Kent Layton | US | Littleton | 2013-10-24 / 20130282180 - SYSTEMS AND METHODS FOR CONTROLLING HOME AND COMMERCIAL ENVIRONMENTS INCLUDING ONE TOUCH AND INTUITIVE FUNCTIONALITY | 1 |
Mark E. Layton | US | Harleysville | 2015-10-08 / 20150284389 - N-SUBSTITUTED INDAZOLE SULFONAMIDE COMPOUNDS WITH SELECTIVE ACTIVITY IN VOLTAGE-GATED SODIUM CHANNELS | 20 |
Sherryll Layton | US | Rogers | 2016-04-28 / 20160114025 - COMPOSITIONS AND METHODS OF ENHANCING IMMUNE RESPONSES | 10 |
Michael D. Layton | US | Salt Lake City | 2014-07-17 / 20140198076 - MULTI-DIMENSIONAL MULTI-FINGER SEARCH USING OVERSAMPLING HILL CLIMBING AND DESCENT WITH RANGE | 6 |
Carey Layton | US | Akron | 2009-06-18 / 20090156373 - Liquid weight system for bench press and stations of home gym | 1 |
Guy Layton | GB | Oxford | 2015-07-16 / 20150196635 - PEPTIDES FOR VACCINE AGAINST BIRCH ALLERGY | 4 |
Jeffrey T. Layton | US | Raleigh | 2013-11-07 / 20130297881 - PERFORMING ZERO-COPY SENDS IN A NETWORKED FILE SYSTEM WITH CRYPTOGRAPHIC SIGNING | 6 |
Jon Thomas Layton | US | Solana Beach | 2012-01-12 / 20120010876 - VOICE INTEGRATION PLATFORM | 1 |
Bradley Edward Layton | US | Havertown | 2011-10-27 / 20110264117 - TISSUE JOINING DEVICE AND INSTRUMENT FOR ENABLING USE OF A TISSUE JOINING DEVICE | 1 |
Charles T. Layton | US | Beverly Hills | 2011-05-05 / 20110100772 - CABLE END RETENTION CLIP ASSEMBLY AND METHOD | 3 |
Michael Layton | US | Seattle | 2015-02-05 / 20150033722 - TURBINE SYSTEM FOR GENERATING POWER FROM A FLOW OF LIQUID, AND RELATED SYSTEMS AND METHODS | 1 |
Mark E. Layton | US | Harlcysville | 2010-01-28 / 20100022573 - INHIBITORS OF AKT ACTIVITY | 1 |
Adam Lawrence Layton | US | Safford | 2016-02-18 / 20160050458 - METHOD AND APPARATUS FOR DIGITAL POLLING | 1 |
Curtis J. Layton | US | Redwood City | 2016-04-07 / 20160097050 - DEVICES AND METHODS FOR DISPLAY OF ENCODED PEPTIDES, POLYPEPTIDES, AND PROTEINS ON DNA | 1 |
Sherryll Layton | US | Fayetteville | 2011-02-03 / 20110027309 - COMPOSITIONS AND METHODS OF ENHANCING IMMUNE RESPONSES | 1 |
Jeffrey J. Layton | US | Vail | 2010-12-30 / 20100327142 - Z-leg shock isolator | 1 |
Russell Layton | US | Acton | 2014-01-23 / 20140024965 - LUMEN OCCLUSION DETECTION | 2 |
Mark Layton | US | Harleysville | 2010-11-18 / 20100292241 - 3,5-SUBSTITUTED-1,3-OXAZOLIDIN-2-ONE DERIVATIVES | 1 |
Jon Layton | US | Saratoga Springs | 2010-03-04 / 20100051137 - PORTABLE WORKBENCH | 1 |
Jacob Kyle Layton | US | Green Valley | 2010-03-04 / 20100057274 - KIT TO ADAPT AN AUTOMOTIVE VEHICLE FOR REMOTE OPERATION, AUTOMOTIVE REMOTE CONTROL CONVERSION PEDESTAL, AND SYSTEM | 1 |
Jim E. Layton | US | Chelsea | 2009-12-17 / 20090309524 - System and Method for Adding Voltages of Power Modules in Variable Frequency Drives | 2 |
Joseph R. Layton | US | Sunnyvale | 2009-08-06 / 20090197460 - MALE MEDICAL DEVICE ELECTRICAL CONNECTOR WITH ENGINEERED FRICTION FIT | 1 |
Chris J. Layton | US | Claremore | 2015-01-22 / 20150023822 - Boot Seal Retainer Systems and Methods | 1 |
Jacob Kyle Layton | US | Tucson | 2012-01-05 / 20120000024 - AUTOMATED CAMERA CLEANING SYSTEM | 1 |
Mary Layton | US | Vero Beach | 2015-12-17 / 20150359600 - SYSTEM FOR STETHOSCOPE HEAD DISINFECTION | 1 |
Leif E. Layton | US | Gainesville | 2015-09-24 / 20150268081 - Sediment Bed Passive Flux Meter (SBPFM) | 1 |
Michael Layton | US | Vero Beach | 2015-12-17 / 20150359600 - SYSTEM FOR STETHOSCOPE HEAD DISINFECTION | 1 |
Leonard Charles Layton | CA | Vancouver | 2016-04-07 / 20160098989 - SYSTEM AND METHOD FOR PROCESSING AN AUDIO SIGNAL CAPTURED FROM A MICROPHONE | 1 |
Kenneth T. Layton | US | Chandler | 2014-04-17 / 20140108805 - TECHNOLOGIES LABELING DIVERSE CONTENT | 2 |
Kent D. Layton | US | Lehi | 2016-04-28 / 20160118785 - ELECTRICAL SAFETY DEVICE MISWIRE DETECTION | 7 |
Grant H. Layton | US | Encinitas | 2010-12-02 / 20100304334 - Dental Implant System and Method of Use | 1 |
Mark E. Layton | US | Harleysville | 2015-10-08 / 20150284389 - N-SUBSTITUTED INDAZOLE SULFONAMIDE COMPOUNDS WITH SELECTIVE ACTIVITY IN VOLTAGE-GATED SODIUM CHANNELS | 20 |
Dale Edward Layton | US | 2010-11-18 / 20100293685 - Marguerite with variegated leaf foliage | 1 | |
Jeff H. Layton | CA | Toronto | 2009-11-05 / 20090272707 - POCKET DOOR SYSTEM | 1 |
Thomas Layton | US | Memphis | 2013-12-05 / 20130321642 - SPATIALLY-SELECTIVE REFLECTOR STRUCTURES, REFLECTOR DISKS, AND SYSTEMS AND METHODS FOR USE THEREOF | 2 |
Jeff R. Layton | US | New York | 2010-09-16 / 20100234976 - METHOD TO IMPROVE REQUIREMENTS, DESIGN MANUFACTURING, AND TRANSPORTATION IN MASS MANUFACTURING INDUSTRIES THROUGH ANALYSIS OF DEFECT DATA | 1 |
Brian E. Layton | US | Wolcott | 2010-06-17 / 20100147258 - Engine control system having gradual cylinder cutout | 1 |
Pamela Layton | US | Westwood | 2015-09-17 / 20150258145 - TISSUE TRANSPLANT COMPOSITIONS AND METHODS FOR USE | 2 |
Grant H. Layton | US | Carlsbad | 2012-09-13 / 20120231418 - Dental Implant System and Method of Use | 1 |
Mark E. Layton | US | Barleyeville | 2009-10-08 / 20090253734 - INHIBITORS OF AKT ACTIVITY | 1 |
Kenneth R. Layton | CA | Innisfail | 2012-10-25 / 20120270160 - RECREATIONAL FIRE STARTING AID | 1 |
Jamie Anne Layton | US | Newark | 2015-09-03 / 20150245571 - GENETIC LOCI ON MAIZE CHROMOSOMES 3 AND 4 THAT ARE ASSOCIATED WITH FUSARIUM EAR MOLD RESISTANCE | 2 |
John C. Layton | US | Dublin | 2015-01-29 / 20150031937 - Modular Driveline | 2 |
Mark D. Layton | US | Lititz | 2014-12-04 / 20140358354 - WINDROWER AUTOGUIDANCE HYDRAULIC STEERING INTERFACE | 4 |
Charles Layton | US | Beverly Hills | 2012-02-23 / 20120043168 - BRAKE SYSTEMS, CALIPER ASSEMBLIES AND PADS INCORPORATING DIFFERENTIAL ABUTMENTS | 1 |
Bruce H. Layton | US | Glastonbury | 2014-03-20 / 20140076111 - Torque Adapter With Ratchet Reverse Feature | 1 |
Michael R. Layton | US | Clayton | 2012-09-20 / 20120236286 - ACCURATE GUN BORESIGHTING SYSTEM | 1 |
Paul Layton | US | Brentwood | 2015-10-22 / 20150302414 - CONTRACTOR DISPATCH SERVICE | 4 |
Phil Layton | GB | Uttoxeter | 2016-01-07 / 20160002890 - COMPUTER-IMPLEMENTED METHOD FOR PROVIDING A WARNING | 1 |
Jack D. Layton | US | Salem | 2013-10-31 / 20130284466 - SYSTEMS AND APPARATUSES FOR RESHAPING GROUND SURFACES | 2 |
Jeffrey Layton | US | Raleigh | 2015-07-09 / 20150193268 - FILE LOCK AND UNLOCK MECHANISM | 1 |
Otis Franklin Layton | US | Bonney Lake | 2013-01-17 / 20130016019 - Integrated Antenna SystemAANM Stoneback; Matthew A.AACI SeattleAAST WAAACO USAAGP Stoneback; Matthew A. Seattle WA USAANM Marshall, IV; Joseph A.AACI KentAAST WAAACO USAAGP Marshall, IV; Joseph A. Kent WA USAANM Sego; Daniel JosephAACI ShorelineAAST WAAACO USAAGP Sego; Daniel Joseph Shoreline WA USAANM Layton; Otis FranklinAACI Bonney LakeAAST WAAACO USAAGP Layton; Otis Franklin Bonney Lake WA USAANM Gaw; Kevin O'BrienAACI TukwilaAAST WAAACO USAAGP Gaw; Kevin O'Brien Tukwila WA US | 1 |
Sherryll Layton | US | Rogers | 2016-04-28 / 20160114025 - COMPOSITIONS AND METHODS OF ENHANCING IMMUNE RESPONSES | 10 |
Phillip Layton | US | San Diego | 2014-10-30 / 20140318596 - DEVICES, SYSTEMS AND METHODS FOR ELECTROMAGNETIC ENERGY COLLECTION | 1 |
Jeanne G. Layton | US | Chesterfield | 2011-11-03 / 20110271399 - METHOD OF SELECTING DNA CONSTRUCTS FOR HERBICIDE TOLERANCE IN PLANTS | 1 |
Russell K. Layton, Jr. | US | Acton | 2016-04-28 / 20160114464 - GRINDING WHEEL ARBOR | 9 |
Russell K. Layton, Jr. | US | Acton | 2016-04-28 / 20160114464 - GRINDING WHEEL ARBOR | 9 |
Russell Layton, Jr. | US | Acton | / - | 1 |
Beth Layug | US | San Francisco | 2008-10-23 / 20080260730 - Treatment of Crohn's disease or psoriasis using anti-interferon gamma antibodies | 1 |
Lim Lay Yeap | MY | Batu Berendam | 2016-02-25 / 20160056095 - Leadframe Strip with Sawing Enhancement Feature | 2 |
Juliana Layzer | US | Durham | 2009-05-28 / 20090136522 - Multivalent Immunogen | 1 |
Anca Laza | FR | Mulhouse | 2008-09-04 / 20080213455 - Intercalated Clays | 1 |
Jamal Lazaar | FR | Antibes | 2016-05-05 / 20160125321 - SEGMENTED AVAILABILITY CACHE | 1 |
Ray M. Lazalier | US | Lake St. Louis | 2015-09-24 / 20150267910 - REFLECTOR AND SEALING ASSEMBLY FOR LIGHTING ASSEMBLY | 1 |
Tomaz Lazanha | BR | Sao Jose Dos Campos | 2013-06-27 / 20130166458 - SYSTEM AND METHOD FOR REMOTE AND AUTOMATIC ASSESSMENT OF STRUCTURAL DAMAGE AND REPAIR | 1 |
Marinko Lazanja | CA | Windsor | 2015-06-04 / 20150151608 - SEAT CONDITIONING HOOD APPARATUS AND METHOD | 16 |
Marinko Lazanja | CA | Windsor | 2015-06-04 / 20150151608 - SEAT CONDITIONING HOOD APPARATUS AND METHOD | 16 |
Paul I. Lazano | MX | Chihuahua | 2009-02-19 / 20090045541 - Vehicle steering wheel, vehicle interior trim component or other article with a wood appearance portion | 1 |
Gregory Alan Lazar | US | Arcadia | 2015-02-05 / 20150037322 - METHODS AND COMPOSITIONS FOR INHIBITING CD32B EXPRESSING CELLS | 39 |
Jonathan Lazar | US | Columbia | 2014-06-26 / 20140181921 - AUDIO BASED HUMAN-INTERACTION PROOF | 2 |
Florin Lazar | US | Woodinville | 2015-12-24 / 20150370674 - TENANT PROVISIONING FOR TESTING A PRODUCTION MULTI-TENANT SERVICE | 1 |
Steve Lazar | US | Richardson | 2013-05-30 / 20130138250 - INTELLIGENT COMFORT MANAGEMENT USING NATURAL LANGUAGE PROCESSING TO INTERFACE WITH A COMFORT SYSTEM CONTROLLER | 1 |
Jeffrey D. Lazar | US | Austin | 2013-11-14 / 20130303620 - Injectable Capsaicin | 1 |
Michael A. Lazar | US | Lafayette | 2013-09-05 / 20130232247 - SYSTEMS AND METHODS FOR TUNING AN OPERATING SYSTEM, APPLICATION, OR NETWORK COMPONENT | 1 |
Eliot Stuart Lazar | US | Orchard Park | 2013-11-14 / 20130303973 - DRUG STORAGE AND DELIVERY DEVICE AND METHOD | 1 |
Laszlo Lazar | HU | Szeged | 2014-01-23 / 20140024648 - NEW PYRIDAZINONE AND PYRIDONE COMPOUNDS | 1 |
Bereli M. Lazar | US | San Francisco | 2012-08-23 / 20120214364 - Ring boost propulsor | 6 |
Mark Lazar | US | New Berlin | 2015-09-10 / 20150256218 - Apparatus And Method For Priority Addressing And Message Handling In A Fixed Meter Reading Network | 9 |
John A. Lazar | US | Menlo Park | 2015-12-10 / 20150355264 - Predicting the End of Service Life for a Vacuum Electron Device | 1 |
Jan Lazar | PL | Malenice | 2015-12-10 / 20150354052 - HIGH-RATE REACTIVE SPUTTERING OF DIELECTRIC STOICHIOMETRIC FILMS | 1 |
Aharon Lazar | IL | Kiryat Ono | 2014-03-13 / 20140074781 - SYNCHRONIZATION OF SEQUENTIAL ACCESS STORAGE COMPONENTS WITH BACKUP CATALOG | 8 |
Gregory A. Lazar | US | Arcadia | 2014-09-04 / 20140249297 - ANTIBODIES WITH MODIFIED ISOELECTRIC POINTS | 8 |
David Lazar | US | Malibu | 2009-12-17 / 20090310491 - Distributed Flow Analysis | 1 |
Yuriy B. Lazar | US | San Francisco | 2008-11-06 / 20080272628 - Office furniture, fitness combined utilities | 1 |
Ronen Lazar | CA | Toronto | 2013-06-13 / 20130151367 - SYSTEMS AND METHODS OF PROVIDING A VOLUME AND REVENUE MAXIMIZING RETAIL SALES PLATFORM | 1 |
Florica Lazar | FR | Villeurbanne | 2014-01-16 / 20140015159 - ARRAY OF METALLIC NANOTUBES | 1 |
Gregory A. Lazar | US | Los Angeles | 2012-10-11 / 20120258092 - Optimized Fc Variants | 4 |
Gary S. Lazar | US | Encino | 2015-11-19 / 20150329621 - ANTI-EMP2 THERAPY REDUCES CANCER STEM CELLS | 1 |
Gary Steven Lazar | US | Encino | 2013-02-14 / 20130041443 - IN VIVO TREATMENT OF SKIN LESIONS BY ELECTRICAL NANOPULSES | 1 |
Gregory Alan Lazar | US | Indianapolis | 2015-07-09 / 20150191533 - Fc VARIANTS WITH ALTERED BINDING TO FcRn | 14 |
Aurel A. Lazar | US | New York | 2015-08-06 / 20150220832 - SYSTEMS AND METHODS FOR IDENTIFICATION OF SPIKE-PROCESSING CIRCUITS | 9 |
Michael A. Lazar | US | Arlington | 2010-08-12 / 20100201972 - CALIBRATING AIRCRAFT SURFACES | 1 |
Jonathan K. Lazar | US | Columbia | 2010-04-15 / 20100095350 - UNIVERSALLY USABLE HUMAN-INTERACTION PROOF | 1 |
Gabor Lazar | US | Belmont | 2016-01-28 / 20160024485 - USE OF DIMERIZATION DOMAINS FOR TEMPERATURE REGULATION OF ENZYME ACTIVITY | 6 |
Mitchell A. Lazar | US | Gladwyne | 2014-01-30 / 20140030267 - COMPOSITIONS, METHODS AND KITS RELATING TO RESISTIN | 2 |
James G. Lazar | US | Bethesda | 2011-04-28 / 20110097708 - ASSESSMENT OF HUMAN PAPILLOMA VIRUS-RELATED DISEASE | 2 |
Mark Lazar | US | New Berlin | 2015-09-10 / 20150256218 - Apparatus And Method For Priority Addressing And Message Handling In A Fixed Meter Reading Network | 9 |
Ann Patricia Lazar | US | Lafayette | 2011-02-10 / 20110030598 - Pump Pocket | 1 |
Steven S. Lazar | US | New York | 2010-08-12 / 20100200593 - Smart cap for a container to track and dispense a medication while self-verifying and counting units thereof, alerting and instructing a consumer on timing and cautions | 1 |
Stephen Lazar | US | Tampa | 2014-06-26 / 20140175788 - System and Method for Facilitating the Operation of a Combined Lottery / Raffle Game | 3 |
Scott Lazar | US | Cromwell | 2010-01-14 / 20100010635 - TIBIAL TRIALING ASSEMBLY AND METHOD OF TRIALING A TIBIAL IMPLANT | 1 |
Michael Lazar | US | Lafayette | 2009-12-31 / 20090327689 - SYSTEMS AND METHODS FOR TUNING AN OPERATING SYSTEM, APPLICATION, OR NETWORK COMPONENT | 1 |
John Daniel Lazar | US | Munster | 2009-10-08 / 20090249713 - Portable riser apparatus having a lifting and locking assembly | 1 |
Radu Lazar | RO | Cluj Napoca | 2013-02-14 / 20130042173 - Integrated electronic compilation of study material | 1 |
Michael Anthony Lazar | US | Arlington | 2015-11-05 / 20150316649 - AIRCRAFT ENHANCED REFERENCE SYSTEM AND METHOD | 1 |
Sorin Lazar | NL | Eindhoven | 2016-03-24 / 20160086762 - SPECTROSCOPY IN A TRANSMISSION CHARGED-PARTICLE MICROSCOPE | 5 |
Aurel Lazar | US | New York | 2009-10-08 / 20090254383 - SYSTEM AND METHOD FOR ALLOCATING RESOURCES USING SPOT MARKET AND DERIVATIVE MARKET TECHNIQUES | 1 |
Gregory Alan Lazar | US | Pacifica | 2015-11-05 / 20150315284 - OPTIMIZED Fc VARIANTS | 1 |
Z. Peter Lazar | US | Blacksburg | 2009-06-18 / 20090157893 - PERSONAL MEDIA RELAY FOR REBROADCASTING STREAMING DATA | 1 |
Eliot Lazar | US | Orchard Park | 2013-05-23 / 20130129807 - COLLAGEN-BASED IMPLANTS FOR SUSTAINED DELIVERY OF DRUGS | 3 |
Scott C. Lazar | US | Cromwell | 2013-11-07 / 20130296871 - ORTHOPAEDIC CUTTING BLOCK | 2 |
Cory H. Lazar | US | Homer Glen | 2008-08-28 / 20080207416 - Flexible pedal | 1 |
Vasile Cosmin Lazar | FR | Grenoble | 2013-06-27 / 20130166220 - TOOTHBRUSH USAGE MONITORING | 1 |
Rolf Lazar | DE | Berlin | 2013-05-16 / 20130119645 - AIRBAG MODULE FOR A MOTOR VEHICLE | 2 |
Razvan Lazar | DE | Erlangen | 2016-03-17 / 20160077170 - MEDICAL IMAGING APPARATUS WITH A MOVABLY MOUNTED PATIENT BED | 16 |
Avi Lazar | IL | Ra'Ananna | 2015-10-22 / 20150297306 - MANAGING A DETACHABLE COMPONENT OF A MEDICAL DEVICE | 1 |
Alin Iulian Lazar | RO | Bucharest | / - | 1 |
Ioan Lazar | US | Renton | 2013-01-24 / 20130020029 - MULTIPLE MASKING TAPE APPLICATOR HAND TOOL AND METHOD | 1 |
Gregory Alan Lazar | US | 2010-04-15 / 20100093979 - Fc Polypeptides With Novel Fc Ligand Binding Sites | 1 | |
Ari Lazar | IL | Herzelia | 2009-02-05 / 20090031952 - ON-DEMAND CUSTOMIZED MOIST TISSUE DISPENSER | 1 |
Gregory Alan Lazar | US | Los Angeles | 2015-04-09 / 20150098941 - Anti-Glypican-3 Antibody | 8 |
Gregory Alan Lazar | US | Alhambra | 2014-12-25 / 20140377256 - OPTIMIZED FC VARIANTS AND METHODS FOR THEIR GENERATION | 12 |
Marius Lazar | FR | St. Remy L'Honore | 2009-04-23 / 20090103540 - Method for address translation device traversal for SIP signaling messages through temporary use of the TCP transport protocol | 1 |
Adina Nicoleta Lazar | FR | Villeurbanne | / - | 1 |
Marius Lazar | FR | St. Remy L'Hourrie | 2009-12-17 / 20090310611 - Method and device for communication between multiple sockets | 1 |
Radu Dan Lazar | DK | Soenderborg | 2010-10-28 / 20100270964 - METHOD FOR DRIVING A PULSE WIDTH MODULATED CONTROLLER | 1 |
Avi Lazar | IL | Raanana | 2015-10-22 / 20150297306 - MANAGING A DETACHABLE COMPONENT OF A MEDICAL DEVICE | 5 |
Adina Nicoleta Lazar | RO | Valcea | 2010-11-18 / 20100292272 - SUPRAMOLECULAR CO-COLLOIDS PRODUCED USING MACROCYCLIC POLYANIONIC SYSTEMS | 2 |
Aharon Lazar | IL | Tel Aviv | 2013-05-23 / 20130132343 - SYNCHRONIZATION OF REPLICATED SEQUENTIAL ACCESS STORAGE COMPONENTS | 3 |
John Mackay Lazar | US | Custer | 2015-05-07 / 20150125617 - ACTIVATOR MEANS FOR PRE-APPLIED ADHESIVES | 2 |
Zsombor Lazar | HU | Budapest | 2011-02-24 / 20110043636 - METHOD OF DETERMINING AN INTRUSION INTO A MONITORED AREA AND A SYSTEM THEREFORE | 1 |
Razvan Vlad Lazar | RO | Bucuresti | 2009-11-12 / 20090282108 - E-MAIL MESSAGE AUTHENTICATION AND MARKING EXTENDING STANDARDS COMPLAINT TECHNIQUES | 1 |
Hedvika Lazar | CH | Bern | 2013-07-18 / 20130183340 - INTRADERMAL INFLUENZA VACCINE | 2 |
David Lazar | CZ | Brno | 2013-11-14 / 20130299285 - BRAKING DEVICE FOR A PASSENGER CONVEYOR | 2 |
Paul-Daniel Lazar | RO | Oradea | 2011-03-31 / 20110078065 - SYSTEM FOR VOLUME-WEIGHTED AVERAGE PRICE TRADING | 1 |
James F. Lazar | US | Moorpark | 2012-11-29 / 20120299649 - MULTI-TIER POWER SUPPLY FOR AUDIO AMPLIFIERS | 1 |
Scott Lazar | US | Ashland | 2015-12-31 / 20150377189 - COHERENT-STRUCTURE FUEL TREATMENT SYSTEMS AND METHODS | 5 |
Saji Lazar | US | Des Plaines | 2015-05-14 / 20150133210 - Game Outcome Validator | 2 |
Jill Lazar | US | Highland Park | 2014-07-17 / 20140196723 - BRIDLE DELIVERY SYSTEM, METHOD, AND APPARATUS FOR SECURING NASAL TUBES | 1 |
Gregory Lazar | US | Indianapolis | 2015-01-29 / 20150031862 - OPTIMIZED Fc VARIANTS | 3 |
Erike Lazar | HU | Budapest | 2016-02-25 / 20160051657 - Vaccine comprising lactobacilli for treating prostate inflammation and benign prostate hyperplasias | 1 |
Marina Lazar | DE | Recklinghausen | 2012-11-08 / 20120279922 - COMPOSITE SILICONE MEMBRANES OF HIGH SEPARATION EFFICIENCY | 1 |
Gregory Alan Lazar | US | Monrovia | 2016-05-05 / 20160122435 - METHODS AND COMPOSITIONS FOR INHIBITING CD32B EXPRESSING CELLS | 1 |
Aharon Lazar | IL | Kiryat Ono | 2014-03-13 / 20140074781 - SYNCHRONIZATION OF SEQUENTIAL ACCESS STORAGE COMPONENTS WITH BACKUP CATALOG | 8 |
Gregory Lazar | US | Pacifica | 2015-08-20 / 20150232567 - Fc Variants with Increased Affinity for FcyRIIc | 1 |
Gregory A. Lazar | US | Indianapolis | 2013-08-15 / 20130209445 - Optimized Fc Variants | 1 |
Gregory Alan Lazar | US | Los Angeles | 2015-04-09 / 20150098941 - Anti-Glypican-3 Antibody | 8 |
Jeff Lazar | US | Austin | 2009-05-07 / 20090118242 - Injectable capsaicin with non-steroidal anti-inflammatory adjunctive agent | 7 |
Steve Lazar | US | Mckinney | 2009-09-03 / 20090221232 - Portable Telephone With Unitary Transceiver Having Cellular and RFID Functionality | 1 |
Steven C. Lazar | US | Mckinney | 2013-10-03 / 20130261806 - HEATING, VENTILATION AND AIR CONDITIONING SYSTEM USER INTERFACE HAVING ACCURIZED TEMPERATURE SENSOR CONFIGURATION AND METHOD OF OPERATION THEREOF | 5 |
Steve Charles Lazar | US | Plano | 2008-09-11 / 20080218344 - Dual frequency RFID circuit | 1 |
Jill F. Lazar | US | Highland Park | 2016-03-10 / 20160067148 - GASTRIC SYSTEMS, APPARATUS, AND METHODS FOR USE WITH ENTERAL FEEDING | 1 |
Gregory A. Lazar | US | Pacifica | 2016-03-10 / 20160068588 - ANTIBODIES WITH MODIFIED ISOELECTRIC POINTS AND IMMUNOFILTERING | 1 |
Razvan Lazar | DE | Erlangen | 2016-03-17 / 20160077170 - MEDICAL IMAGING APPARATUS WITH A MOVABLY MOUNTED PATIENT BED | 16 |
Josef Lazar | CZ | Pribram | 2012-08-30 / 20120219983 - METHOD FOR OBTAINING STRUCTURAL AND FUNCTIONAL INFORMATION ON PROTEINS, BASED ON POLARIZATION FLUORESCENCE MICROSCOPY, AND A DEVICE IMPLEMENTING SAID METHOD | 1 |
Steven Lazar | US | Brooklyn | 2011-05-19 / 20110119090 - SMART CAP WITH COMMUNICATION FUNCTION | 1 |
Gregory A. Lazar | US | Arcadia | 2014-09-04 / 20140249297 - ANTIBODIES WITH MODIFIED ISOELECTRIC POINTS | 8 |
Mark Andrew Lazar | US | Los Altos Hills | 2014-09-11 / 20140254778 - SYSTEMS AND METHODS FOR IDENTIFYING A CALLER | 1 |
Aurel A. Lazar | US | New York | 2015-08-06 / 20150220832 - SYSTEMS AND METHODS FOR IDENTIFICATION OF SPIKE-PROCESSING CIRCUITS | 9 |
Gregory A. Lazar | US | South San Francisco | 2015-04-23 / 20150110791 - ANTI-TRANSFERRIN RECEPTOR ANTIBODIES AND METHODS OF USE | 1 |
Steve Lazar | US | San Antonio | 2016-04-28 / 20160116183 - MAGNETICALLY MOUNTED WALL THERMOSTAT | 1 |
Liora Lazar | IL | Tel Aviv | 2016-01-28 / 20160022734 - NUTRITIONAL SUPPLEMENT FOR GROWTH ENHANCEMENT | 1 |
Gregory Alan Lazar | US | Indianapolis | 2015-07-09 / 20150191533 - Fc VARIANTS WITH ALTERED BINDING TO FcRn | 14 |
Richard A. Lazar | US | Portland | 2014-03-06 / 20140067795 - MULTI-FUNCTION SEARCHING AND SEARCH-RELATED TOOLS AND TECHNIQUES FOR IMPROVED SEARCH RESULTS AND ENHANCED ANALYSIS AND DECISION-MAKING | 7 |
Tobias Lazar | SK | Kosice | 2011-08-18 / 20110198123 - APPARATUS FOR BORING HOLES IN ROCK MASS | 1 |
Michael E. Lazar | US | Elgin | 2015-06-25 / 20150177371 - CALIBRATED RADAR APPARATUS AND ASSOCIATED METHODS | 1 |
Vladimir Lazar | FR | Villejuif | 2012-05-31 / 20120136583 - METHOD FOR PREDICTING EFFICACY OF DRUGS IN A PATIENT | 1 |
Aviel Lazar | IL | Herzlliyz | 2014-09-18 / 20140272859 - Mobile Application for Multilevel Document Navigation | 1 |
James Frederick Lazar | US | Moorpark | 2014-12-25 / 20140375129 - Dynamic Power Management | 2 |
Patrick Lazar | US | San Jose | 2015-04-30 / 20150117228 - WIRELESS ACCESS POINT MANAGEMENT SYSTEM AND METHOD | 2 |
Jason Lazar | US | Roslyn Heights | 2015-05-14 / 20150134030 - CARDIAC DEFIBRILLATION WITH VAGUS NERVE STIMULATION | 1 |
Gregory Lazar | US | Monrovia | 2015-06-04 / 20150152183 - Fc VARIANTS WITH ALTERED BINDING TO FcRn | 1 |
Adi Lazar | IL | Gedera | 2012-06-28 / 20120162622 - FIELD EXTENSION TO REDUCE NON-YIELDING EXPOSURES OF WAFER | 1 |
Daniel Chesnaye Lazar | US | San Diego | 2014-10-16 / 20140308669 - METHODS FOR OBTAINING SINGLE CELLS AND APPLICATIONS OF SINGLE CELL OMICS | 1 |
Dror Lazar | IL | Kiryat Bialik | 2016-05-05 / 20160124034 - ACTIVE CABLE TESTING | 5 |
Adam North Lazar | US | Williston | 2011-11-03 / 20110265237 - Eyewear Attachment System For A Helmet | 2 |
Filip Lazar | US | Redmond | 2014-10-02 / 20140297744 - REAL-TIME SUPPLEMENT OF SEGMENTED DATA FOR USER TARGETING | 2 |
Spyridon Lazaratos | US | Houston | 2014-11-27 / 20140350861 - MULTI-PARAMETER INVERSION THROUGH OFFSET DEPENDENT ELASTIC FWI | 5 |
Robert V. Lazaravich | US | Chandler | 2015-06-04 / 20150156020 - SYSTEMS AND METHODS FOR A FULLY ISOLATED ENCRYPTION KEY FILLING PORT | 2 |
Daniel B. Lazarchik | US | South Boston | 2016-03-10 / 20160066648 - FOOTWEAR WITH SUPPORT AND TRACTION | 3 |
Pawel Lazarczyk | PL | Slaskie | 2009-06-18 / 20090153276 - Current trip unit for circuit breaker | 1 |
Huw Alexander Lazaredes | AU | Woolloomooloo | 2013-07-25 / 20130186817 - FRAME SYSTEM FOR MEMBRANE FILTRATION MODULES | 2 |
Huw Alexander Lazaredes | AU | North Richmond | 2012-07-26 / 20120187044 - METHODS OF CLEANING MEMBRANE MODULES | 1 |
Huw Alexander Lazaredes | AU | New South Wales | 2011-06-02 / 20110127209 - Frame System for Membrane Filtration Modules | 2 |
Mihai Mugurel Lazarescu | AU | Burswood ,w.a | 2012-03-15 / 20120063641 - SYSTEMS AND METHODS FOR DETECTING ANOMALIES FROM DATA | 1 |
Paul Orri Lazarescu | US | Irvine | 2014-06-19 / 20140168170 - iPHONE FREQUENCY SENSOR/MAGNIFIER APPLICATION | 1 |
Paul Lazarescu | US | Boston | 2014-07-24 / 20140203665 - LIQUID ACTIVATED FAILSAFE FOR PORTABLE COMPUTING DEVICES | 1 |
Michael Lazarev | US | Hoboken | 2016-02-25 / 20160055582 - Customizable Macro-Based Order Entry Protocol and System | 2 |
Pavel Lazarev | US | Menlo Park | 2014-02-27 / 20140058080 - ORGANIC COMPOUND, PHOTOVOLTAIC LAYER AND ORGANIC PHOTOVOLTAIC DEVICE | 1 |
Mikhail Ivanovich Lazarev | RU | Moscow | 2010-06-17 / 20100151428 - Energy-Saving Mode of Freely Staying in Water | 1 |
Ivan Lazarev | US | Potomac | 2012-12-20 / 20120322411 - METHOD AND SYSTEM FOR EVENT MANAGEMENT | 1 |
Alexander A. Lazarev | US | Cypress | 2015-04-02 / 20150090494 - RFID DEVICE FOR USE DOWNHOLE | 1 |
Pavel Ivan Lazarev | US | Menlo Park | 2016-02-11 / 20160043328 - ORGANIC COMPOUND AND PHOTOVOLTAIC DEVICE COMPRISING THE SAME | 5 |
Alexander Lazarev | US | San Francisco | 2014-10-30 / 20140320961 - OPTICAL FILM | 2 |
Pavel Ivan Lazarev | US | 2012-04-26 / 20120099052 - RETARDER AND LIQUID CRYSTAL DISPLAY COMPRISING THE SAME | 1 | |
Alexander Lazarev | US | Houston | 2010-08-26 / 20100213942 - WIRED PIPE WITH WIRELESS JOINT TRANSCEIVER | 1 |
Alexander Lazarev | US | Lexington | 2012-05-17 / 20120122705 - FLOW-THROUGH HIGH HYDROSTATIC PRESSURE MICROFLUIDIC SAMPLE PREPARATION DEVICE AND RELATED METHODS THEREFOR | 7 |
Pavel I. Lazarev | US | Menlo Park | 2014-11-13 / 20140334002 - PATTERNED RETARDER | 6 |
Victor Lazarev | US | Billerica | 2012-06-07 / 20120143291 - POWER SUPPLY FOR LIGHT-BASED DERMATOLOGIC TREATMENT DEVICE | 7 |
Grigory Lazarev | DE | Dresden | 2009-09-03 / 20090219595 - Device for Reading Out Holograms | 2 |
Grigory Lazarev | DE | Berlin | 2012-04-19 / 20120092735 - THREE-DIMENSIONAL LIGHT MODULATION ARRANGEMENT FOR MODULATING A WAVE FIELD HAVING COMPLEX INFORMATION | 2 |
Sergey Grigorievich Lazarev | RU | Nizhegorodskaya Obl. | 2010-10-07 / 20100253625 - METHOD AND DEVICE FOR DETERMINATION OF COORDINATES OF A COMPUTER POINTING DEVICE SUCH AS MOUSE OR ELECTRONIC STYLUS | 1 |
Irina Lazarev | IL | Beer Sheva | 2016-04-14 / 20160103130 - ANTI-MEASLES CANCER IMMUNOTHERAPY | 3 |
Pavel I. Lazarev | GB | London | 2015-03-05 / 20150062694 - Organic Compound, Anisotropic Optical Film and Method of Production Thereof | 14 |
Natalya Lazareva | RU | Moscow | 2016-03-03 / 20160058850 - COMPOSITIONS AND METHODS FOR TREATING INFLAMMATORY DISEASES OF INFECTIOUS AND NON-INFECTIOUS ORIGIN | 1 |
Tatiana Lazareva | CA | Waterloo | 2014-11-27 / 20140350151 - High Dielectric Compositions for Particle Formation and Methods of Forming Particles Using Same | 4 |
Aleksandar Lazarevic | DE | Leonberg | 2010-06-24 / 20100154389 - PROCEDURE FOR REGENERATING AN EXHAUST GAS AFTER TREATMENT SYSTEM | 1 |
Ranko Lazarevic | CA | Mississauga | 2012-06-07 / 20120137952 - Amphibious Boats, Canoes and Kayaks | 2 |
Milos Lazarevic | US | Redmond | 2016-03-31 / 20160092404 - Intent Based Feedback | 1 |
Milos Lazarevic | RS | Belgrade | 2015-05-14 / 20150135047 - VECTOR GRAPHICS CLASSIFICATION ENGINE | 8 |
Milisav Lazarevic | US | Racine | 2009-08-13 / 20090199407 - Powered tube cutter | 1 |
Milos Lazarevic | RS | Belgrade | 2015-05-14 / 20150135047 - VECTOR GRAPHICS CLASSIFICATION ENGINE | 8 |
Alexandra Lazarevic | DE | Weinheim | 2011-09-01 / 20110209619 - FILTER MEDIUM FOR PARTICULATE FILTRATION | 1 |
Vladimir Lazarevic | CH | Renens | 2013-06-27 / 20130164737 - Method for Separating Target Molecules or Particles from Fibrinogen-Containing Samples Including Blood Components | 1 |
Milos Lazarevic | RS | Nis | 2014-07-24 / 20140208191 - Grouping Fixed Format Document Elements to Preserve Graphical Data Semantics After Reflow | 2 |
Alexandra Lazarevic | DE | Birkenau | 2015-07-30 / 20150209709 - Filter Element | 1 |
Anatoly Lazarevich | US | Lynn | 2009-11-05 / 20090272896 - Pulsed ultraviolet ion source | 1 |
Ronald S. Lazarevich | US | Shelby Twp. | 2012-11-22 / 20120292930 - VEHICLE BUMPER ASSEMBLY WITH A REMOVABLY COUPLED TIE-DOWN RECEIVER | 1 |
Matthew L. Lazarewicz | US | Boxford | 2015-12-31 / 20150380939 - METHODS, SYSTEMS AND APPARATUS FOR REGULATING FREQUENCY OF GENERATED POWER USING FLYWHEEL ENERGY STORAGE SYSTEMS WITH VARYING LOAD AND/OR POWER GENERATION | 3 |
Linos Lazarides | GB | London | 2015-12-17 / 20150361132 - MACROCYCLIC INHIBITORS OF FLAVIVIRIDAE VIRUSES | 8 |
Linos Lazarides | GB | Stevenage | 2012-11-08 / 20120283438 - COMPOUNDS | 3 |
Elias Lazarides | US | La Jolla | 2014-11-20 / 20140341892 - ANTI-ALPHA2 INTEGRIN ANTIBODIES AND THEIR USES | 3 |
Linos Lazarides | GB | Hertfordshire | 2011-06-16 / 20110144136 - NOVEL ADENINE DERIVATIVES | 2 |
Linos Lazarides | GB | Ongar | 2015-11-05 / 20150313960 - NOVEL DEPSIPEPTIDE AND USES THEREOF | 2 |
Linos Lazarides | GB | London | 2015-12-17 / 20150361132 - MACROCYCLIC INHIBITORS OF FLAVIVIRIDAE VIRUSES | 8 |
Mihal Lazaridis | CA | Waterloo | 2016-04-07 / 20160100061 - APPARATUS, SYSTEM AND METHOD OF CALL NOTIFICATIONS TO ACTIVE COMMUNICATION DEVICES | 173 |
Mike Lazaridis | CA | Waterloo | 2013-12-05 / 20130325444 - HANDHELD ELECTRONIC DEVICE WITH REDUCED KEYBOARD AND ASSOCIATED METHOD OF PROVIDING IMPROVED DISAMBIGUATION | 2 |
Mihai Lazaridis | CA | Waterloo | 2012-03-29 / 20120077547 - COMMUNICATION DEVICE | 1 |
Mihal Lazaridis | CA | Kitchener | 2010-09-23 / 20100240351 - SYSTEM AND METHOD FOR MANAGING FILE CATALOGS ON A WIRELESS HANDHELD DEVICE | 1 |
Ioannis Lazaridis | DE | Ruesselsheim | 2012-04-19 / 20120090806 - AIR-CONDITIONING SYSTEM FOR AN AUTOMOBILE AND METHOD FOR OPERATING AN AIR-CONDITIONING SYSTEM OF AN AUTOMOBILE | 2 |
Mihal Lazaridis | CA | Ontario | 2012-06-07 / 20120142359 - WIRELESS ROUTER SYSTEM AND METHOD | 1 |
Mihal Lazaridis | US | 2009-07-02 / 20090167687 - HANDHELD ELECTRONIC DEVICE WITH ROLLER BALL INPUT | 1 | |
Loannis Lazaridis | DE | Ruesselsheim | 2012-02-09 / 20120031121 - AIR CONDITIONER AND METHOD FOR OPERATING AN AIR CONDITIONER | 1 |
Lakovos Lazaridis | GR | Athens | 2012-09-06 / 20120225852 - USE OF STEROID COMPOUNDS | 1 |
Mihal Lazaridus | CA | Waterloo | 2014-06-05 / 20140155033 - TRANSFERRING A VOICE CALL | 1 |
Walter M. Lazar, Ii | US | Howell | 2014-02-13 / 20140046534 - METHOD AND SYSTEM FOR ISOLATING VOLTAGE SENSOR AND CONTACTOR FAULTS IN AN ELECTRICAL SYSTEM | 2 |
João Carlos Lazarini | BR | Campinas | 2013-05-09 / 20130112107 - CATALYTIC COMPOSITION HAVING A CORROSION-INHIBITING EFFECT, METHOD FOR INHIBITING CORROSION AND USE OF SAME | 1 |
João Carlos Lazarini | BR | Campinas | 2013-05-09 / 20130112107 - CATALYTIC COMPOSITION HAVING A CORROSION-INHIBITING EFFECT, METHOD FOR INHIBITING CORROSION AND USE OF SAME | 1 |
João Carlos Lazarini | BR | Campinas | 2013-05-09 / 20130112107 - CATALYTIC COMPOSITION HAVING A CORROSION-INHIBITING EFFECT, METHOD FOR INHIBITING CORROSION AND USE OF SAME | 1 |
Spyros James Lazaris | US | Upland | 2016-03-10 / 20160072289 - DYNAMIC DEMAND RESPONSE IN A RENEWABLE ENERGY-BASED ELECTRICITY GRID INFRASTRUCTURE | 6 |
Vasilios Lazaris | US | Ellicott City | 2015-06-11 / 20150157086 - SHOE | 2 |
Nicholas G. Lazaris | US | Newton | 2014-12-25 / 20140374441 - METHOD AND APPARATUS FOR BEVERAGE EXTRACTION NEEDLE GUIDING | 3 |
Spyros J. Lazaris | US | Upland | 2012-06-14 / 20120150679 - ENERGY MANAGEMENT SYSTEM FOR POWER TRANSMISSION TO AN INTELLIGENT ELECTRICITY GRID FROM A MULTI-RESOURCE RENEWABLE ENERGY INSTALLATION | 3 |
Nicholas Lazaris | US | Waban | 2012-04-12 / 20120088022 - INFANT FORMULA DEVICE | 1 |
Eliot Lazar, Jr. | US | Austin | 2016-01-28 / 20160022487 - TREATMENT MEDIUM DELIVERY DEVICE AND METHODS FOR DELIVERY OF SUCH TREATMENT MEDIUMS TO THE EYE USING SUCH DELIVERY DEVICE | 1 |
Eszter Lazar-Molnar | US | Salt Lake City | 2015-12-24 / 20150368316 - A SELECTIVE HIGH-AFFINITY IMMUNE STIMULATORY REAGENT AND USES THEREOF | 1 |
Gloria Esperanza Lazaro | US | Houston | 2013-07-18 / 20130185113 - WELL WORK OPPORTUNITY SYSTEM | 1 |
Frank Lazaro | US | Decatur | 2011-04-28 / 20110098064 - Providing Contact Data in a Wireless Telecommunication System | 1 |
Luis Lopez Lazaro | ES | Madrid | 2008-10-16 / 20080255132 - Combination Therapy Comprising the Use of Et-743 and Paclitaxel for Treating Cancer | 1 |
Antonio Manuel Lazaro | ES | Vilanova I La Geltu | 2012-08-02 / 20120194312 - APPARATUS AND METHOD FOR GENERATING ELECTRIC ENERGY IN AN ELECTRIC POWER TRANSMISSION SYSTEM | 1 |
Oscar Lazaro | US | Needham | 2012-08-02 / 20120197096 - System for Noninvasive Determination of Analytes in Tissue | 1 |
Ronald Lazaro | US | Dearborn | 2014-11-06 / 20140330731 - SYSTEM AND METHOD FOR MANAGING BACKFLOW PREVENTION ASSEMBLY TEST DATA | 1 |
Sergio Lazaro | ES | Madrid | 2015-01-08 / 20150012381 - SYSTEMS, METHODS AND COMPUTER READABLE MEDIA FOR ONLINE SHOPPING | 1 |
Jose M. Lazaro Bolos | ES | Madrid | 2014-06-26 / 20140178939 - METHOD FOR DNA AMPLIFICATION BASED ON THE ORIGINS OF REPLICATION OF THE BACTERIOPHAGE P29 AND ASSOCIATED NUCLEOTIDE SEQUENCES | 4 |
Sargon Lazarof | US | Encino | 2008-08-28 / 20080208264 - Securing mechanism with dual expandable ends | 1 |
Sargon Lazarof | US | Woodland Hills | 2015-07-30 / 20150209520 - ARTICULATING APPLICATORS/INJECTORS FOR ADMINISTRATION OF LIQUID ANESTHETIC AND OTHER LIQUIDS | 5 |
Dennis Lazaroff | US | Corvallis | 2011-03-03 / 20110049092 - INKJET PRINTHEAD BRIDGE BEAM FABRICATION METHOD | 1 |
William J. Lazaroff | US | Floral Park | 2010-09-23 / 20100236424 - Container With Suspended Rack | 2 |
Bruce Ian Lazaroff | US | Manchester | 2009-07-02 / 20090172695 - Service Bus Architecture | 1 |
Dennis M. Lazaroff | US | Corvallis | 2013-01-24 / 20130019678 - Limiting travel of proof mass within frame of MEMS device | 2 |
Chris L. Lazaroff | US | Simpsonville | 2015-05-07 / 20150125711 - Polymer Latex Blends and Applications Thereof | 2 |
Javier Ignacio Lazaro Goicoechea | ES | Corella (navarra) | 2015-12-17 / 20150360585 - COUNTERWEIGHT FOR TENSIONING THE WIRE OF A CATENARY IN ARAILWAY LINE | 2 |
Benigno Lazaro Gomez | ES | Madrid | 2015-01-29 / 20150028121 - HIGH-SPEED RAILWAY AERODYNAMIC SLEEPER | 1 |
José Antonio Lazaro Manero | ES | Madrid | 2011-07-07 / 20110165264 - USE OF CILASTATIN TO REDUCE NEPHROTATOXICITY OF VARIOUS COMPOUNDS | 1 |
José Antonio Lazaro Manero | ES | Madrid | 2011-07-07 / 20110165264 - USE OF CILASTATIN TO REDUCE NEPHROTATOXICITY OF VARIOUS COMPOUNDS | 1 |
David Lazarov | IL | Petah Tikva | 2015-12-31 / 20150381626 - SYSTEMS AND METHODS FOR EFFICIENT DETECTION OF FINGERPRINTED DATA AND INFORMATION | 2 |
Kalin Lazarov | US | Colorado Springs | 2014-06-05 / 20140151559 - ON-CHIP CALIBRATION SYSTEM AND METHOD FOR INFRARED SENSOR | 3 |
Guenadiy Lazarov | US | Landing | 2010-01-21 / 20100012855 - Wafer Scanning | 1 |
Mirella Lazarov | US | Hayward | 2011-05-05 / 20110105401 - PEPTIDE COMPOSITION AND A METHOD OF PROMOTING CARTILAGE FORMATION | 2 |
Orly Lazarov | US | Oak Park | 2011-06-02 / 20110129450 - METHOD OF PROMOTING NEUROGENESIS BY MODULATING SECRETASE ACTIVITIES | 1 |
Kalin V. Lazarov | US | Colorado Springs | 2014-05-15 / 20140131577 - INFRARED SENSOR STRUCTURE AND METHOD | 5 |
Kalin Valeriev Lazarov | US | Tucson | 2015-09-03 / 20150246810 - INFRARED SENSOR DESIGN USING AN EPOXY FILM AS AN INFRARED ABSORPTION LAYER | 3 |
Hristo Lazarov | BG | Sofia | 2012-09-06 / 20120225709 - GAMING MACHINE WITH MULTIPLE GAMES HAVING INDIVIDUAL AND COMBINED PAYLINES | 2 |
Kalin Valeriev Lazarov | US | Colorado Springs | 2015-01-22 / 20150021987 - POWER SUPPLY SYSTEM AND METHOD | 1 |
Kalin V. Lazarov | US | Tucson | 2013-02-21 / 20130043552 - INTEGRATED INFRARED SENSORS WITH OPTICAL ELEMENTS AND METHODS | 12 |
Kalin V. Lazarov | US | Tucson | 2013-02-21 / 20130043552 - INTEGRATED INFRARED SENSORS WITH OPTICAL ELEMENTS AND METHODS | 12 |
Marian Lazarov | AT | Linz | 2014-07-10 / 20140194230 - DEVICE FOR A GRIP OF A TENNIS RACQUET | 1 |
Darina Lazarova | US | Scranton | 2016-02-25 / 20160051594 - Therapeutic Compositions and Uses Thereof | 1 |
Tsvetelina Lazarova | US | Brookline | 2009-06-04 / 20090143351 - SUBSTITUTED AZETIDINONES | 3 |
Neva Lazarova | CA | Toronto | 2009-11-05 / 20090274624 - RADIOTRACERS FOR IMAGING P-GLYCOPROTEIN FUNCTION | 1 |
Tsveltelina Lazarova | US | Brookline | 2010-06-10 / 20100144698 - SUBSTITUTED AZETIDINONES | 1 |
Tsvetelina I. Lazarova | US | Brookline | 2014-12-18 / 20140371285 - THERAPEUTIC COMPOUNDS AND RELATED METHODS OF USE | 1 |
David Lazarovich | CA | Thomhill | 2009-07-30 / 20090190279 - ELECTRONIC LOAD CONTROL UNIT (ELCU) USED AS BUS TIE BREAKER IN ELECTRICAL POWER DISTRIBUTION SYSTEMS | 1 |
Oron Lazarovich | IL | Rehovot | 2010-12-02 / 20100301585 - CONVERTIBLE SINGLE AND MULTI-SEAT STROLLER | 1 |
Stela Diamant Lazarovich | IL | Herzlia Pituach | 2012-11-29 / 20120303142 - AUTOMATED MODEL BUILDING AND MODEL UPDATING | 2 |
David Lazarovich | CA | Thornhill | 2015-12-31 / 20150375854 - DIFFERENTIAL STEERING CONTROL OF ELECTRIC TAXI LANDING GEAR | 15 |
Ljubomir Lazarovski | AU | Sydney | 2016-01-07 / 20160002583 - STRUCTURE FOR CULTURING CELLS | 1 |
Gavin Lazarow | US | Bellevue | 2014-12-04 / 20140354685 - MIXED REALITY DATA COLLABORATION | 1 |
Linda M. Lazarowich | CA | Winnipeg | 2011-03-17 / 20110061141 - Protective composite fabric | 1 |
Karie Lazarowicz | US | Minneapolis | 2008-10-09 / 20080245857 - BOOKMARK TRANSACTION CARD | 1 |
Natalie Lazarowych | CA | Toronto | 2010-03-04 / 20100056811 - Synthesis of Hexahydrodibenzopyranones | 2 |
Wilfrid Lazarre | US | Wellington | 2012-07-26 / 20120186030 - Electric Pan Scrubber Device | 1 |
Paul E. Lazarre | US | Chicago | 2014-03-27 / 20140089047 - Leveraged Usage of Information Regarding Real Estate Offerings | 3 |
Adrien Lazarro | US | Fremont | 2014-04-03 / 20140091912 - TECHNIQUES FOR CONTROLLING APPLIANCES | 1 |
Wojciech Lazarski | PL | Zielona Gora | 2013-12-05 / 20130321409 - METHOD AND SYSTEM FOR RENDERING A STEREOSCOPIC VIEW | 5 |
Jonathan D. Lazarus | US | Mercer Island | 2010-07-08 / 20100175001 - Calendaring Location-Based Events and Associated Travel | 5 |
Asher S. Lazarus | US | Austin | 2009-12-31 / 20090327824 - TECHNIQUES FOR PERFORMING A LOGIC BUILT-IN SELF-TEST IN AN INTEGRATED CIRCUIT DEVICE | 1 |
Asher Shlomo Lazarus | US | Austin | 2009-11-26 / 20090292964 - Method and System for Testing an Electronic Circuit to Identify Multiple Defects | 2 |
Gary Lazarus | US | Pelham | 2009-10-22 / 20090263371 - Use Polysaccharides for Promotion of Enzymatic Activity | 1 |
Sharon Lazarus | US | Eagan | 2012-06-07 / 20120143851 - SYSTEM, METHOD, AND SOFTWARE FOR RESEARCHING, ANALYZING AND COMPARING EXPERT WITNESSES | 2 |
David Lazarus | US | Elkins Park | 2009-06-04 / 20090141897 - Content Communication Over a Wireless Communication Link | 1 |
Douglas D. Lazarus | US | Watertown | 2009-05-28 / 20090136162 - Gift Bag For Maintaining an Emotional Connection or Conveying a Show of Support | 1 |
Julian Eric Lazarus | US | Frederick | 2009-05-21 / 20090126117 - CONFORMING MULTI-POSITION PILLOW WITH PRESSURE DISPERSAL SYSTEM | 1 |
Richard B. Lazarus | US | Winchester | 2009-05-14 / 20090125225 - VEHICLE ROUTING AND PATH PLANNING | 1 |
Lewis J. Lazarus | US | Torrance | 2009-05-14 / 20090125911 - RESOURCE MANAGEMENT PROFILES | 2 |
Gary Lazarus | US | Millwood | 2009-04-23 / 20090106143 - Payment processing system | 1 |
Jeffrey Lazarus | US | Villanova | 2009-04-16 / 20090094795 - ARTICLE FOR HOLDING CURRENCY AND CREDIT CARDS | 1 |
Gary Lazarus | US | Spring Valley | 2009-03-26 / 20090083179 - Web-accessible payment processing system | 1 |
Lawrence H. Lazarus | US | Durham | 2008-10-30 / 20080269143 - Dmt-Derivative Compounds and Related Compositions and Methods of Use | 1 |
Gregory L. Lazarus | US | Olathe | 2008-08-28 / 20080208445 - ROUTE SHAPING SYSTEMS AND METHODS | 1 |
Mike Lazarus | US | San Diego | 2016-01-07 / 20160004228 - COOPERATIVE DISTRIBUTED CONTROL OF TARGET SYSTEMS | 3 |
Laura Lazarus | US | Long Beach | 2013-04-11 / 20130087020 - CONTINUOUS FLOW SYNTHESIS OF NANOMATERIALS USING IONIC LIQUIDS IN MICROFLUIDIC REACTORS | 1 |
Colin M. Lazarus | GB | Bristol | 2013-04-04 / 20130084611 - Novel Plant Acyltransferases Specific for Long-Chained, Multiply Unsaturated Fatty Acids | 1 |
Lauren B. Lazarus | US | Bayside | 2015-01-29 / 20150032613 - PAYMENT SYSTEMS AND METHODS FOR ACCELERATING DEBT PAYOFF AND REDUCING INTEREST EXPENSE | 2 |
Michael Block Lazarus | US | West Newton | 2014-06-12 / 20140163079 - DIPHOSPHATE MIMETICS AND USES THEREOF | 1 |
Arnaud Lazarus | FR | Neuilly Sur Seine | 2009-01-22 / 20090024054 - IMPLANTABLE MEDICAL DEVICE | 1 |
Konrad Lazarus | DE | Freudenberg | 2009-10-08 / 20090250902 - LIFTING DEVICE | 1 |
Robert A. Lazarus | US | Millbrae | 2016-02-04 / 20160032013 - RON COMPOSITIONS AND METHODS OF USE THEREOF | 11 |
David B. Lazarus | US | Elkins Park | 2013-01-17 / 20130016183 - Dual Mode User Interface System and Method for 3D VideoAANM Lazarus; David B.AACI Elkins ParkAAST PAAACO USAAGP Lazarus; David B. Elkins Park PA USAANM Zhang; YaxiAACI WayneAAST PAAACO USAAGP Zhang; Yaxi Wayne PA US | 2 |
Etienne Lazarus | FR | Marienthal | 2014-10-16 / 20140309369 - Polymer Latex With Improved Mechanical Properties And Process For Preparing Said Polymer Latex | 7 |
Colin M. Lazarus | GB | Bistol | 2011-01-27 / 20110023185 - Novel Plant Acyltransferases Specific For Long-Chained, Multiply Unsaturated Fatty Acids | 1 |
Werner Lazarus | DE | Mitterskirchen | 2016-01-07 / 20160001904 - PACKAGING OF POLYSILICON FRAGMENTS | 2 |
Jeremy Lazarus | US | San Francisco | 2014-05-15 / 20140136528 - Providing Content Recommendation to Users on a Site | 1 |
Mark Lazarus | US | Holland | 2012-08-02 / 20120192595 - FABRIC WITH EQUAL MODULUS IN MULTIPLE DIRECTIONS | 1 |
Gary Lazarus | US | Jamesville | 2016-03-24 / 20160082090 - Use of Polysaccharides for Promotion of Enzymatic Activity | 1 |
Michael A. Lazarus | US | San Diego | 2011-11-24 / 20110289032 - Comprehensive Identity Protection System | 2 |
Nathan Lazarus | US | Pittsburgh | 2011-12-29 / 20110316054 - Method, Apparatus, and System for Micromechanical Gas Chemical Sensing Capacitor | 2 |
Nathan S. Lazarus | US | Bethesda | 2015-10-22 / 20150302982 - MEMS TUNABLE INDUCTOR | 2 |
Michael Block Lazarus | US | W. Newton | 2012-05-03 / 20120108605 - O-GLCNAC TRANSFERASE INHIBITORS AND USES THEREOF | 1 |
Harrison M. Lazarus | US | Salt Lake City | 2015-12-31 / 20150374959 - BODY CAVITY DRAINAGE DEVICES WITH LOCKING DEVICES AND RELATED METHODS | 7 |
David Lazarus | FR | Saint-Julien-En-Genevois | 2013-04-25 / 20130101520 - ULTRASOUND CONTRAST AGENTS AND METHODS OF MAKING AND USING THEM | 2 |
Eric Lazarus | US | Glen Cove | 2014-01-09 / 20140013008 - MANAGING DATA IN A DATA QUEUE INCLUDING SYNCHRONIZATION OF MEDIA ON MULTIPLE DEVICES | 1 |
Russell Lazarus | CA | Thornhill | 2015-01-15 / 20150019413 - SYSTEM FOR ENTERING DATA INTO A DATA PROCESSING SYSTEM | 1 |
Richard M. Lazarus | US | Temescal Valley | 2009-01-01 / 20090005484 - PAINT | 1 |
Philip Lazarus | US | Hummelstown | 2011-09-15 / 20110223597 - METHODS RELATING TO OLANZAPINE PHARMACOGENETICS | 2 |
Nathan Scott Lazarus | US | Pittsburgh | 2014-10-02 / 20140295605 - METHOD OF FABRICATING A CAPACITIVE ENVIRONMENT SENSOR | 2 |
Norman M. Lazarus | US | Houston | 2010-12-02 / 20100303667 - NOVEL LEAD-FREE BRASS ALLOY | 2 |
Robert A. Lazarus | US | Millbrae | 2016-02-04 / 20160032013 - RON COMPOSITIONS AND METHODS OF USE THEREOF | 11 |
Jay Lazarus | US | Santa Fe | 2010-02-04 / 20100024296 - Nutrient application forecasting system (NAFS) in particular for AFO/CAFO liquid manure applications | 1 |
Kimberly Ann Lazarz | US | Ypsilanti | 2015-12-03 / 20150344079 - VEHICLE FRONT END STRUCTURE | 5 |
Catherine Lazatin | US | Cambridge | 2015-08-27 / 20150242579 - CONCEPTS FOR GENERATING AND MANAGING PLANS OF CARE | 2 |
Patrick Jose Lazatin | US | Glenville | 2011-12-29 / 20110317369 - HEAT SINKS WITH MILLICHANNEL COOLING | 3 |
Catherine Lazatin | US | Palatine | 2009-05-14 / 20090125327 - Systems And Methods For Voice Driven Health Data Tracker | 1 |
Patrick Lazatin | US | Glenville | 2010-07-29 / 20100187184 - METHODS AND SYSTEMS FOR ENERGY EXCHANGE | 1 |
Patrick J. Lazatin | US | Woburn | 2015-07-23 / 20150201789 - BEVERAGE MACHINE CARTRIDGE HOLDER | 1 |
José Miguel Lazcano-Seres | MX | Calimaya | 2015-01-22 / 20150025274 - PROCESSES FOR THE PREPARATION OF (R)-2-ACETAMIDO-N-BENZYL-3-METHOXYPROPIONAMIDE AND INTERMEDIATES THEREOF | 2 |
Silvia Lazcano Urena | ES | Madrid | 2009-10-01 / 20090241682 - Device for fixing of a prismatic mechanical test piece, method of utilization thereof and uses | 1 |
Fermin Lazcoz Santesteban | ES | Sarriguren (navarra) | 2013-12-19 / 20130336716 - FASTENING SYSTEM FOR WIND TURBINES AND CORRESPONDING INSTALLATION METHODS | 1 |
Michel Lazdunski | FR | Colombo | 2012-02-16 / 20120040909 - IDENTIFICATION OF NOVEL ANTAGONIST TOXINS OF T-TYPE CALCIUM CHANNEL FOR ANALGESIC PURPOSES | 1 |
Michael Lazdunski | FR | Nice | 2014-12-18 / 20140369995 - COMBINATION THERAPY FOR TREATMENT OF PATIENTS WITH NEUROLOGICAL DISORDERS AND CEREBRAL INFARCTION | 2 |
Michel Maurice Jacques Lazdunski | FR | Nice | 2012-03-22 / 20120070407 - Therapy for Promoting Cell Growth | 1 |
Michel Lazdunski | FR | Nice | 2013-10-31 / 20130287870 - NOVEL USES FOR TRADITIONAL CHINESE MEDICINE | 7 |
Mariangela Lazear | US | Bethesda | 2010-09-16 / 20100234414 - Use of Loratadine 10mg for the prevention of upper respiratory inflammation/sinus infections caused from exposure to bacteria and viruses on airplane flights | 1 |
Roee Lazebnik | US | San Jose | 2012-08-23 / 20120215101 - Viscoelasticity Measurement Using Amplitude-Phase Modulated Ultrasound Wave | 8 |
Roee Lazebnik | US | San Jose | 2012-08-23 / 20120215101 - Viscoelasticity Measurement Using Amplitude-Phase Modulated Ultrasound Wave | 8 |
Leonid Borisovich Lazebnik | US | 2015-07-23 / 20150202192 - PHARMACEUTICAL COMPOSITION FOR TREATING GASTRO-OESOPHAGEAL REFLUX DISEASE | 1 | |
Leonid Borisovich Lazebnik | RU | Moscow | 2015-07-23 / 20150202192 - PHARMACEUTICAL COMPOSITION FOR TREATING GASTRO-OESOPHAGEAL REFLUX DISEASE | 1 |
Mariya Lazebnik | US | Minneapolis | 2012-08-16 / 20120209365 - MAGNETIC RESONANCE IMAGING COMPATIBLE MEDICAL ELECTRICAL LEAD AND METHOD OF MAKING THE SAME | 1 |
Robert Lazebnik | US | Clark Lake | 2010-05-13 / 20100119389 - MODULAR, BRUSHLESS MOTORS AND APPLICATIONS THEREOF | 1 |
Roee Lazebnik | US | Palo Alto | 2009-10-22 / 20090264760 - COMPOUNDING IN MEDICAL DIAGNOSTIC ULTRASOUND FOR INFANT OR ADAPTIVE IMAGING | 1 |
John C. Lazenby | US | Fall City | 2015-06-11 / 20150157299 - Sub-performing transducer element detection for medical ultrasound | 4 |
Michael Edward Lazenby | US | Connelly Springs | 2011-11-03 / 20110269340 - Reuseable Coaxial Connectors and Related Extraction Tools and Methods | 1 |
Timothy E. Lazenby | US | Tulsa | 2013-11-07 / 20130296084 - SCENT DELIVERY DEVICES AND METHODS OF USE | 1 |
Christopher S. Lazenby | US | Lakeland | 2014-07-17 / 20140197257 - Automatic Ball Charging System For A Ball Mill Assembly | 1 |
William Lazenby | US | Madison | 2009-11-26 / 20090290647 - DVC Delta commands | 1 |
Matthew Craig Lazenby | NZ | Dunedin | 2008-12-18 / 20080309211 - OVENS | 1 |
Russel Lazenga | US | North Miami | 2010-07-08 / 20100174563 - System and Method for Purchasing Insurance | 1 |
Jean-Yves Lazennec | FR | L'Hay Les Roses | 2012-02-09 / 20120035731 - INTERVERTEBRAL DISC PROSTHESIS | 1 |
Yitzhak Lazer | IL | Rishon Lezion | 2010-12-16 / 20100315666 - HIGH SPEED PAGE TRANSMISSION | 1 |
Liat Lazer | US | Berkeley | 2011-03-24 / 20110068604 - Drag Reducing System | 1 |
Ionel Lazer | FR | Marolles En Brie | 2012-07-19 / 20120180884 - Interconnection of Microfluidic Devices | 1 |
Avital Lazer | IL | Tel Aviv | 2016-02-18 / 20160046582 - CRYSTALS OF LAQUINIMOD SODIUM AND IMPROVED PROCESS FOR THE MANUFACTURE THEREOF | 1 |
Mihal Lazeridis | CA | Waterloo | 2010-04-08 / 20100088596 - METHOD AND SYSTEM FOR DISPLAYING AN IMAGE ON A HANDHELD ELECTRONIC COMMUNICATION DEVICE | 1 |
Markus Lazeroms | BE | Vroenhoven-Riemst | 2011-08-25 / 20110207352 - Temporary Touch-Proof Connector for Heartwires | 1 |
Markus J.c. Lazeroms | BE | Vroenhoven-Riemst | 2010-02-04 / 20100030311 - SUBCUTANEOUSLY IMPLANTABLE LEAD INCLUDING DISTAL FIXATION MECHANISM | 2 |
Mark Lazeroms | BE | Vroenhoven-Riemst | 2014-10-23 / 20140316429 - IMPEDANCE GUIDED TUNNELING TOOL | 2 |
Markus J.c. Lazeroms | BE | Vroehoven-Riemst | 2013-11-07 / 20130296879 - Method and System for Lead Delivery | 1 |
Jeffrey M. Lazerson | US | Laguna Niguel | 2014-05-08 / 20140129397 - SYSTEM AND METHOD FOR ASSESSING MORTGAGE BROKER AND LENDER COMPLIANCE | 4 |
Raphael Lazert | FR | Lyon | 2009-12-31 / 20090320241 - HINGING DEVICE FOR TWO TUBES CONSTITUTING A SEAT AND FOR PROTECTING THE HINGING ZONE THEROF | 1 |
Scott E. Lazerwith | US | San Francisco | 2016-04-21 / 20160108030 - THERAPEUTIC COMPOUNDS | 16 |
Scott E. Lazerwith | US | Foster City | 2013-05-30 / 20130137877 - ANTIVIRAL COMPOUNDS | 4 |
Scott Lazerwith | US | San Francisco | 2014-02-06 / 20140039021 - ANTIVIRAL COMPOUNDS | 1 |
Scott E. Lazerwith | US | San Francisco | 2016-04-21 / 20160108030 - THERAPEUTIC COMPOUNDS | 16 |
Scott Edward Lazerwith | US | San Francisco | 2009-08-27 / 20090215857 - Therapeutic Pyrrolidines | 1 |
Alexandra L. L. Lazetic | US | San Jose | 2015-11-05 / 20150315565 - METHODS FOR IDENTIFYING AND ISOLATING CELLS EXPRESSING A POLYPEPTIDE | 2 |
Alexandra Leida Liana Lazetic | US | San Jose | 2014-04-17 / 20140105888 - NOVEL MODULATORS AND METHODS OF USE | 1 |
Alexandra Lazetic | US | San Jose | 2015-07-16 / 20150197561 - JAGGED-BINDING AGENTS AND USES THEREOF | 3 |
Alexandra L.l. Lazetic | US | San Jose | 2014-01-02 / 20140005077 - Methods for Identifying and Isolating Cells Expressing a Polypeptide | 2 |
Sasa Lazevski | DE | Solingen | 2014-02-27 / 20140056784 - SAMPLE RECEPTACLE | 1 |
Sasa Lazevski | DE | Hilden | 2016-02-04 / 20160030938 - CLOSING ARRANGEMENT AND METHOD OF CLOSING TUBE | 2 |
Nenad Lazic | SE | Goteborg | 2009-10-29 / 20090271074 - Yaw Stability Control System | 1 |
Nenad Lazic | SE | Gothenburg | 2016-05-05 / 20160121925 - METHOD AND SYSTEM FOR INTELLIGENT SCALING OF TORQUE OVERLAY INTERVENTION FOR SEMI-AUTONOMOUS ROAD VEHICLE STEERING SYSTEMS | 1 |
Miodrag Lazic | CH | Basel | 2016-04-28 / 20160113738 - DENTAL IMPLANT SOCKET ARRANGEMENT WITH ANNULAR RECESS | 2 |
Daniel Lazic | DE | Tuttlingen | 2015-10-15 / 20150289876 - SURGICAL CLIP WITH THREE CLAMPING ARMS | 4 |
Predrag Lazic | US | Dexter | 2015-11-26 / 20150338309 - METHOD AND APPARATUS FOR INSPECTING CLUSTER GEARS | 2 |
Zvonko Lazic | NZ | Auckland | 2011-03-03 / 20110050020 - ELECTRONIC MACHINES WITH COMPOSITE POLES | 1 |
Miodrag Lazic | CH | Oberdorf | 2015-09-10 / 20150250565 - INSERTION TOOL | 3 |
Nenad Lazic | SE | Goeteborg | 2013-05-16 / 20130124047 - METHOD AND SYSTEM FOR ADAPTATION OF A STEERING WHEEL TORQUE OVERLAY OF A LANE KEEPING AID SYSTEM | 2 |
Zivorad R. Lazic | US | Gordon | 2011-12-08 / 20110297046 - Kaolin Processing Using Controlled Flow Cavitation | 1 |
Ivan Lazic | NL | Eindhoven | 2015-08-27 / 20150243474 - METHOD OF EXAMINING A SAMPLE IN A CHARGED-PARTICLE MICROSCOPE | 3 |
Stanley Lazic | CH | Basel | 2012-06-28 / 20120164639 - METHODS FOR DETECTING LOW GRADE INFLAMMATION | 1 |
Ivan M. Lazich | US | Skokie | 2015-01-08 / 20150010392 - Modular Water Pump | 3 |
Dejan Lazich | DE | Stutensee | 2012-03-08 / 20120057695 - CIRCUITS FOR MODULAR ARITHMETIC BASED ON THE COMPLEMENTATION OF CONTINUED FRACTIONS | 2 |
Ivan M. Lazich | US | Chicago | 2015-07-23 / 20150204267 - MODULAR COOLANT CORE-CYLINDER HEAD | 3 |
Matthew Lazich | US | Austin | 2015-12-10 / 20150352459 - BUBBLE GENERATING DEVICE | 1 |
Ivan Lazich | US | Skokie | 2010-03-18 / 20100065029 - AIR SUPPLY SYSTEM FOR AN INTERNAL COMBUSTION ENGINE | 4 |
Matthew Lazich | US | Los Angeles | 2010-02-04 / 20100025402 - Pushdown openings with purchase, leverage and gas-tight resealability for can ends | 1 |
Joseph A. Lazich | US | Greenville | 2008-10-30 / 20080263776 - LOW AIR LOSS MOISTURE CONTROL MATTRESS OVERLAY | 1 |
Ariel J. Lazier | US | Seattle | 2011-01-20 / 20110016134 - USING LINK STRUCTURE FOR SUGGESTING RELATED QUERIES | 13 |
Colin L. Lazier | US | Seattle | 2016-04-14 / 20160103870 - DATA STORAGE APPLICATION PROGRAMMING INTERFACE | 10 |
Colin L. Lazier | US | Seattle | 2016-04-14 / 20160103870 - DATA STORAGE APPLICATION PROGRAMMING INTERFACE | 10 |
Ari Lazier | US | San Francisco | 2015-09-10 / 20150255082 - Coordinating and Mixing Vocals Captured from Geographically Distributed Performers | 4 |
Ariel Lazier | US | Seattle | 2008-08-21 / 20080201315 - CONTENT ITEM QUERY FORMULATION | 1 |
Colin Laird Lazier | US | Seattle | 2015-05-07 / 20150127620 - OBJECT LOSS REPORTING IN A DATA STORAGE SYSTEM | 4 |
Ari Lazier | US | Seattle | 2012-10-11 / 20120260159 - HANDLING EXTERNAL CONTENT IN WEB APPLICATIONS | 1 |
Detlef Lazik | DE | Salzatal | 2012-12-20 / 20120318043 - METHOD AND DEVICE FOR THE MEMBRANE-BASED ANALYSIS OF GAS COMPONENTS | 1 |
Christopher Lazik | US | Fremont | 2010-08-12 / 20100203391 - MESOPOROUS CARBON MATERIAL FOR ENERGY STORAGE | 2 |
Patrick Lazik | US | Pittsburgh | 2014-07-10 / 20140192622 - Method and System for Ultrasonic Signaling, Ranging and Location Tracking | 1 |
Detlef Lazik | DE | Salzatal/schiepzig | 2011-11-03 / 20110265649 - Device and Method for Remediating and Separating Gas Accumulations in Waterways | 1 |
Waldemar Lazik | DE | Teltow | 2014-12-11 / 20140360202 - FUEL INJECTOR AND A COMBUSTION CHAMBER | 4 |
Dieter Lazik | DE | Beetzsee | 2012-12-20 / 20120318043 - METHOD AND DEVICE FOR THE MEMBRANE-BASED ANALYSIS OF GAS COMPONENTS | 2 |
Mary M. Lazio | US | Ottumwa | 2009-01-15 / 20090017164 - FERMENTATION PROCESS FOR THE PREPARATION OF ETHANOL FROM A CORN FRACTION HAVING LOW OIL CONTENT | 1 |
Tal Lazmi | IL | Herzliya | 2013-12-19 / 20130339638 - STATUS POLLING OF MEMORY DEVICES USING AN INDEPENDENT STATUS BUS | 1 |
Philip Lazo | US | Mt. Airy | 2015-10-29 / 20150311727 - Powered Shelf System for Inductively Powering Electrical Components of Consumer Product Packages | 2 |
Philip Alfonso Lazo | US | Mount Airy | 2015-10-08 / 20150287045 - COMPLIANCE SYSTEM FOR DISPLAY UNITS IN A RETAIL SETTING | 1 |
John Lazo | US | Pittsburgh | 2011-11-24 / 20110288178 - RADIOPROTECTIVE AGENTS | 1 |
Antonio D. Lazo | US | Houston | 2012-04-12 / 20120085542 - Barrier Valve Hydraulic Operator with Compound valve Opening Force Feature | 1 |
Benjamin J. Lazo | US | Carrollton | 2013-08-15 / 20130211978 - Financial Transaction Reconciliation | 1 |
Vincent Lazo | US | San Diego | 2016-02-11 / 20160041596 - POWER EFFICIENT METHOD AND SYSTEM FOR EXECUTING HOST DATA PROCESSING TASKS DURING DATA RETENTION OPERATIONS IN A STORAGE DEVICE | 1 |
Phil Lazo | US | Mt. Airy | 2009-03-12 / 20090066516 - Dual Mode RFID Tag Utilizing Dual Antennas | 1 |
Philip Lazo | US | Mount Airy | 2008-12-11 / 20080303669 - Vibration logging tag | 1 |
Rhetto S. Lazo | US | San Jose | 2011-09-29 / 20110239149 - TIMELINE CONTROL | 1 |
John S. Lazo | US | Pittsburgh | 2014-12-18 / 20140371235 - SMALL MOLECULES TARGETING ANDROGEN RECEPTOR NUCLEAR LOCALIZATION AND/OR LEVEL IN PROSTATE CANCER | 2 |
J. Rafael Lazo | US | Worcester | 2011-05-12 / 20110108408 - VACUUM DEHYDRATOR | 1 |
Antonio Lazo | US | Houston | 2015-11-12 / 20150322745 - Self-Extendable Hydraulic Wellbore Cleaning Tool | 2 |
David Michael Lazoff | US | Berkeley | 2014-05-01 / 20140118139 - Personal Assistance Monitoring System | 2 |
Ismail Lazoglu | TR | Istanbul | 2015-08-20 / 20150230838 - BONE PLATE | 1 |
Glen Lazok | US | Mesa | 2015-01-15 / 20150018712 - BIOPSY DEVICE | 4 |
Percy Fabian Lazon | AU | Quakers Hill | 2014-05-15 / 20140132933 - MYOPIA CONTROL MEANS | 1 |
Percy Fabian Lazon | AU | Sydney, New South Wales | 2015-08-20 / 20150234203 - CORNEAL REMODELLING CONTACT LENSES AND METHODS OF TREATING REFRACTIVE ERROR USING CORNEAL REMODELLING | 1 |
Percy Fabian Lazon | AU | Sydney | 2015-03-26 / 20150085247 - CONTACT LENSES FOR MYOPIC EYES AND METHODS OF TREATING MYOPIA | 2 |
Percy Fabian Lazon | AU | New South Wales | 2011-03-03 / 20110051079 - MYOPIA CONTROL MEANS | 1 |
Kristell Lazou | FR | Orleans | 2014-03-13 / 20140072522 - COSMETIC COMPOSITION CONTAINING A PARTICULAR WATER, AND USE THEREOF AS A DEPIGMENTING OR ANTI-AGEING AGENT | 6 |
Krystell Lazou | FR | Orleans | 2009-12-10 / 20090304829 - USE OF A LEPECHINIA CAULESCENS EXTRACT AS A COSMETIC AGENT, AND COSMETIC COMPOSITION CONTAINING SAME | 2 |
Valery Lazouski | BY | Minsk | 2008-12-18 / 20080309179 - Electric Machine | 1 |
Nenad Lazovic | CA | Toronto | 2010-02-11 / 20100035630 - System And Method For Determining The Location Of A Location Tracking Device | 1 |
Darko Lazovic | YU | Belgrade | 2009-04-23 / 20090100989 - Adaptive Triggers Method for Signal Period Measuring | 1 |
Darko Lazovic | US | 2009-04-23 / 20090100991 - Music Processing System Including Device for Converting Guitar Sounds to Midi Commands | 1 | |
Darko Lazovic | RS | Belgrade | 2011-07-21 / 20110178749 - Adaptive Triggers Method for MIDI Signal Period Measuring | 3 |
Tase Lazovski | SI | Nova Gorica | 2015-03-12 / 20150070811 - DEVICE FOR A CONTROLLED ELECTROSTATIC DISCHARGE IN PERSONS | 1 |
Tase Lazovski | SI | Nova Gorcia | 2015-10-22 / 20150300042 - KEY WITH INDICATION "LOCKED - UNLOCKED" OF A CYLINDER LOCK | 1 |
Tase Lazovski | US | 2015-10-22 / 20150300042 - KEY WITH INDICATION "LOCKED - UNLOCKED" OF A CYLINDER LOCK | 1 | |
Jhanna Lazovsky | IL | Petah-Tikva | 2009-01-01 / 20090006256 - Peer to Peer File Sharing Mobile System with Copyright Protection | 2 |
Alexander Lazovsky | IL | Petach Tikva | 2011-02-17 / 20110040771 - DISTRIBUTED HARDWARE-BASED DATA QUERYING | 1 |
Alexander Lazovsky | IL | Petach Tikvah | 2009-12-03 / 20090299937 - Method and system for detecting and managing peer-to-peer traffic over a data network | 3 |
David E. Lazovsky | US | San Jose | 2014-06-26 / 20140177315 - Multi-Level Memory Array Having Resistive Elements For Multi-Bit Data Storage | 3 |
Jhanna Lazovsky | IL | Petach Tikvah | 2009-12-03 / 20090299937 - Method and system for detecting and managing peer-to-peer traffic over a data network | 3 |
David E. Lazovsky | US | Los Gatos | 2016-02-11 / 20160042991 - MOLECULAR SELF-ASSEMBLY IN SUBSTRATE PROCESSING | 25 |
Alexander Lazovsky | IL | Petah-Tikva | 2009-01-01 / 20090006256 - Peer to Peer File Sharing Mobile System with Copyright Protection | 2 |
David E. Lazovsky | US | Los Gatos | 2016-02-11 / 20160042991 - MOLECULAR SELF-ASSEMBLY IN SUBSTRATE PROCESSING | 25 |
Issam Lazraq | NL | Terneuzen | 2013-07-18 / 20130184368 - PROCESS FOR MAKING LOW DENSITY HIGH RESILIENCY FLEXIBLE POLYURETHANE FOAM | 1 |
Zouhair Lazreq | US | Toledo | 2008-11-06 / 20080271538 - METHOD AND APPARATUS FOR EVALUATING NVH CHARACTERISTICS OF MECHANICAL SYSTEMS | 1 |
Ana Lazuen-Garay | GB | Belfast | 2009-06-04 / 20090143595 - Use of grinding in chemical synthesis | 1 |
Stephen James Lazuka | US | Chardon | 2012-02-23 / 20120047044 - Method to Develop Search Engine Optimized Content Through a Web-Based Software Platform | 1 |
Blair Lazuka | US | Lunenburg | 2014-09-11 / 20140258408 - Systems and Methods for Assessing an Asset's Contributions to the Social Media Performance of a Peer Group | 4 |
Andrew Joseph Lazur | US | Huntington Beach | 2016-05-19 / 20160137559 - COMPLIANT LAYER FOR CERAMIC COMPONENTS AND METHODS OF FORMING THE SAME | 9 |
Andrew J. Lazur | US | Huntington Beach | 2016-05-19 / 20160136925 - Fiber Architecture Optimization for Ceramic Matrix Composites | 12 |
Andrew J. Lazur | US | Huntington Beach | 2016-05-19 / 20160136925 - Fiber Architecture Optimization for Ceramic Matrix Composites | 12 |
Andrew Joseph Lazur | US | Huntington Beach | 2016-05-19 / 20160137559 - COMPLIANT LAYER FOR CERAMIC COMPONENTS AND METHODS OF FORMING THE SAME | 9 |
Andy Lazur | US | Huntington Beach | 2010-07-15 / 20100179045 - METHODS AND APPARATUS RELATING TO A COMPOSITE MATERIAL | 1 |
Dorin Lazuran | CA | Richmond Hill | 2009-05-21 / 20090129100 - LOW PROFILE LAMP ASSEMBLY | 1 |
Gennady Vasilievich Lazutkin | RU | Samara | 2015-12-03 / 20150343677 - PROCESS FOR THE MANUFACTURE OF THIN-WALLED ELASTOPOROUS PARTS IN THE FORM OF BUSHINGS IN METAL-RUBBER (MR) | 1 |
Christopher J. Lazzara | US | Palm Beach Shores | 2015-10-22 / 20150299941 - SYSTEMS, METHODS AND DEVICES FOR STRENGTHENING FLUID SYSTEM COMPONENTS USING RADIATION-CURABLE COMPOSITES | 6 |
Gerard S. Lazzara | US | Houston | 2015-05-14 / 20150132152 - Reinforced Smart Mud Pump | 3 |
Andrea Lazzara | IT | Pedara (ct) | 2009-10-08 / 20090253006 - MICRO FUEL CELL AND CORRESPONDING MICROREACTOR, SUPPLIED WITH HYDROGEN, FOR PRODUCING ELECTRIC ENERGY | 1 |
Richard J. Lazzara | US | Palm Beach | 2015-11-12 / 20150321448 - KITS AND METHODS FOR FIBER COMPOSITES INCLUDING PARTIALLY-CURED RESINOUS MATERIALS FOR THE REINFORCEMENT OF PHYSICAL STRUCTURES | 4 |
Andrea Lazzara | CH | Ersigen | 2013-10-24 / 20130279832 - PACKAGING CONTAINER WITH PULL TAB | 1 |
Robert K. Lazzara | US | Chicago | 2012-02-02 / 20120029734 - VEHCILE REMOTE STARTER USING AN ELECTRONIC IGNIION MODULE EMULATOR | 4 |
Robert Kevin Lazzara | US | Chicago | 2009-04-02 / 20090085791 - RADAR DETECTOR AND COLLISION AVOIDANCE SYSTEM INTERFACE | 1 |
Richard J. Lazzara | US | Palm Beach Gardens | 2012-01-05 / 20120001393 - DEFORMABLE COMPOSITE PLUG | 2 |
Thomas Dominic Lazzara | CA | Lasalle | 2008-10-30 / 20080265219 - Method for Fabricating Intrinsically Conducting Polymer Nanorods | 1 |
Richard Lazzara | US | Palm Beach | 2015-10-08 / 20150287488 - WASHABLE RADIATION PROTECTIVE WEARING APPAREL | 1 |
Samuel P. Lazzara | US | Los Alamitos | 2008-09-11 / 20080216822 - SOLAR ENGERY SYSTEM | 1 |
Andrea Lazzara | IT | Pedara | 2011-11-03 / 20110269044 - SYSTEM FOR GENERATING ELECTRIC POWER WITH MICRO FUEL CELLS AND CORRESPONDING PROCESS | 2 |
Christopher J. Lazzara | US | Palm Beach | 2015-11-12 / 20150321448 - KITS AND METHODS FOR FIBER COMPOSITES INCLUDING PARTIALLY-CURED RESINOUS MATERIALS FOR THE REINFORCEMENT OF PHYSICAL STRUCTURES | 3 |
Loretta Lazzarato | IT | Rivoli (torino) | 2010-08-19 / 20100210694 - NEW NO-DONOR ASPIRIN DERIVATIVES | 2 |
Renzo Lazzarato | US | Pleasanton | 2015-07-16 / 20150199432 - OPTIMIZED WEB DOMAINS CLASSIFICATION BASED ON PROGRESSIVE CRAWLING WITH CLUSTERING | 2 |
Loretta Lazzarato | IT | Rozzano | 2013-02-07 / 20130035360 - NOVEL WATER SOLUBLE FUROXAN DERIVATIVES HAVING ANTITUMOR ACTIVITY | 2 |
Ezio Lazzareschi | IT | Marlia (lucca) | 2010-05-06 / 20100109888 - DEVICE FOR DETECTING AND SIGNALLING MALFUNCTIONS IN THE USE OF ELECTRICAL APPLIANCES | 1 |
Massimo Lazzari | IT | Genova | 2013-02-28 / 20130054126 - Two-Wheeled Vehicle | 1 |
Dario Lazzari | IT | Trissino (vi) | 2015-06-25 / 20150175638 - PROCESS FOR THE PREPARATION OF PHOSPHONIUM SULFONATES | 1 |
Carla Maria Lazzari | IT | Casatenovo (lc) | 2013-10-31 / 20130284998 - FORMING HEATERS FOR PHASE CHANGE MEMORIES | 3 |
Sandro Lazzari | IT | La Spezia | 2014-11-20 / 20140339942 - ELECTRIC PROPULSION SYSTEM | 2 |
Franco Lazzari | CH | Gravesano | 2015-04-30 / 20150116934 - POWER SYSTEM ENCLOSURE | 1 |
Carla M. Lazzari | IT | Casatenovo | 2014-07-03 / 20140183703 - ARRAYS OF SILICON STRUCTURES INCLUDING METAL SILICIDE REGIONS, AND RELATED SEMICONDUCTOR DEVICE STRUCTURES | 2 |
Clinton Lazzari | US | Mountain View | 2015-03-19 / 20150075343 - SAFETY MECHANISMS FOR POWER TOOLS, INCLUDING MAGNETORHELOGICAL BRAKE FOR BLADE | 3 |
Paolo Lazzari | IT | Pula (ca) | 2014-11-20 / 20140343294 - PHARMACEUTICAL COMPOUNDS | 1 |
Carla M. Lazzari | IT | Casatenovo (lc) | 2016-03-03 / 20160064665 - MATERIALS AND COMPONENTS IN PHASE CHANGE MEMORY DEVICES | 1 |
Stefano Lazzari | IT | Cernusco Lombardone | 2012-11-08 / 20120280702 - TESTING HEAD FOR A TEST EQUIPMENT OF ELECTRONIC DEVICES | 1 |
Umberto Lazzari | US | Los Angeles | 2013-09-05 / 20130232398 - ASSET MANAGEMENT DURING PRODUCTION OF MEDIA | 3 |
Annunzio Lazzari | IT | Florence | 2015-10-08 / 20150285089 - GAS TURBINE IN MECHANICAL DRIVE APPLICATIONS AND OPERATING METHODS | 1 |
Paolo Lazzari | IT | Pula | 2013-01-17 / 20130017149 - PHARMACEUTICAL COMPOUNDS | 8 |
Paolo Lazzari | IT | Pula | 2013-01-17 / 20130017149 - PHARMACEUTICAL COMPOUNDS | 8 |
Paolo Lazzari | IT | Cagliari | 2012-04-12 / 20120088751 - PHARMACEUTICAL COMPOUNDS | 4 |
Jean Pierre Lazzari | FR | Sainte Maxime | 2014-12-11 / 20140361527 - METHOD OF FORMING A COLOR LASER IMAGE, AND RESULTING DOCUMENT | 4 |
Jean Marc Lazzari | FR | Saint Remy L'Honore | 2014-12-11 / 20140361527 - METHOD OF FORMING A COLOR LASER IMAGE, AND RESULTING DOCUMENT | 4 |
Carla Lazzari | IT | Cornaredo-Milano | 2010-07-22 / 20100184593 - METHOD TO ACTIVATE A CATALYST FOR A CONTINUOUS PROCESS FOR THE PREPARATION OF PHENOL FROM BENZENE IN A FIXED BED REACTOR | 1 |
Lorenza Lazzari | IT | Milano | 2013-08-22 / 20130217123 - METHOD FOR DENTAL PULP CRYOPRESERVATION | 3 |
Carla Lazzari | IT | Cornaredo (milan) | 2010-08-05 / 20100193346 - PROCESS FOR THE PURIFICATION OF AN AQUEOUS STREAM COMING FROM THE FISCHER-TROPSCH REACTION | 1 |
Massimiliano Lazzari | IT | Endine Gaiano (bs) | 2013-03-28 / 20130078372 - SANITARY DEVICE FOR COVER HANDLES IN GENERAL, HEAD RESTRAINT AND CUSHIONS' DRAPE AND THE LIKE | 1 |
Dario Lazzari | IT | Bologna | 2011-04-21 / 20110089384 - HIGHLY COMPATIBLE AND NON-MIGRATORY POLYMERIC UV-ABSORBER | 5 |
Ricardo Lazzari | US | Chula Vista | 2014-10-23 / 20140314268 - PLANAR SPEAKER | 1 |
Jean-Marc Lazzari | FR | Montfort L'Amaury | 2015-01-22 / 20150024174 - METHOD OF FORMING A COLOR LASER IMAGE OBSERVABLE WITH VARIABLE COLORS, AND A DOCUMENT ON WHICH SUCH A COLOR LASER IMAGE IS MADE IN THIS WAY | 1 |
Jean-Pierre Lazzari | FR | Saint Maxime | 2015-01-22 / 20150024174 - METHOD OF FORMING A COLOR LASER IMAGE OBSERVABLE WITH VARIABLE COLORS, AND A DOCUMENT ON WHICH SUCH A COLOR LASER IMAGE IS MADE IN THIS WAY | 1 |
Carla Lazzari | IT | Cornaredo | 2015-09-03 / 20150249165 - PROCESS FOR THE PRODUCTION OF AN OPTICALLY SELECTIVE COATING OF A SUBSTRATE FOR HIGH TEMPERATURE RECEIVER SOLAR DEVICES AND RELATIVE MATERIAL OBTAINED | 2 |
Giovanni Lazzari | IT | Settimo Torinese | 2013-10-10 / 20130267692 - PROCEDURE FOR THE PURIFICATION OF TIACUMICIN B | 1 |
Diego Lazzarin | IT | Treviso | 2015-12-31 / 20150377384 - UNDERSEA PIPE-LAYING | 11 |
Leopoldo Lazzarin | IT | Vicenza | 2015-04-09 / 20150099599 - DEVICE FOR STOPPING THE LATERAL DISPLACEMENT OF A BICYCLE CHAIN | 9 |
Paolo Lazzarin | AU | Vermont | 2009-03-26 / 20090077766 - ROLLER SUPPORT ASSEMBLIES | 1 |
Davide Lazzarin | IT | Bologna | 2013-12-26 / 20130341495 - METHOD AND DEVICE FOR DETECTING AN OBJECT WITH BACKGROUND SUPPRESSION | 1 |
Maddalena Lazzarin | IT | Terrassa Padovana | 2015-10-01 / 20150273042 - PILUS PROTEINS AND COMPOSITIONS | 1 |
Diego Lazzarin | IT | Treviso | 2015-12-31 / 20150377384 - UNDERSEA PIPE-LAYING | 11 |
Edward Lazzarin | US | Coral Gables | 2015-04-23 / 20150112303 - Method and Device for Blood Vessel Cannulation | 1 |
Leopoldo Lazzarin | IT | Vicenza | 2015-04-09 / 20150099599 - DEVICE FOR STOPPING THE LATERAL DISPLACEMENT OF A BICYCLE CHAIN | 9 |
Ameriga Lazzarini | IT | Legnano | 2009-01-22 / 20090023665 - ANTIBIOTICS GE 81112 FACTORS A, B, B1, PHARMACEUTICALLY ACCEPTABLE SALTS AND COMPOSITIONS, AND USE THEREOF | 1 |
Heider S. Lazzarini | US | Bellingham | 2013-06-20 / 20130152452 - Reusable Insect Trapping Systems and Methods | 2 |
Roberto Lazzarini | IT | Reggio Emilia | 2016-05-12 / 20160128355 - MACHINE FOR MAKING AND DISPENSING LIQUID AND/OR SEMI-LIQUID FOOD PRODUCTS | 39 |
Marco Lazzarini | IT | Milano | 2011-03-03 / 20110049740 - PROCESS FOR PRODUCTION OF COLOURED POWDER COSMETIC PRODUCTS BY MEANS OF FREEZING | 2 |
Roberto Lazzarini | IT | Milano | 2009-12-31 / 20090323462 - Machine for producing and dispensing liquid or semi-liquid consumer food product | 1 |
Anthony K. Lazzarini | US | Seattle | 2008-12-25 / 20080314603 - FIRE-SUPPRESSION SYSTEM FOR AN AIRCRAFT | 1 |
Ameriga Lazzarini | IT | Legnano (mi) | 2011-11-24 / 20110286996 - ANTIBIOTICS GE 81112 FACTORS A, B, B1, PHARMACEUTICALLY ACCEPTABLE SALTS AND COMPOSITIONS, AND USE THEREOF | 1 |
William Lazzaro | US | Somerville | 2015-11-26 / 20150336002 - Apparatus, Method and Computer Readable Storage Medium for Guiding Game Play Via a Show Me Button | 2 |
Christopher V. Lazzaro | US | Austin | 2014-12-04 / 20140355432 - Adaptive Bandwidth Control with Defined Priorities for Different Networks | 3 |
Klaus Lazzaro | DE | Kirchhundem | 2015-03-12 / 20150072794 - ROLLING MILL DRIVE COMPRISING A TOOTHED ARTICULATED SPINDLE | 2 |
Peter Anthony Lazzaro | US | Great River | 2015-02-19 / 20150052110 - AGILE RE-ENGINEERING OF INFORMATION SYSTEMS | 5 |
Volker Lazzaro | DE | Kirchhundem | 2014-12-18 / 20140366371 - ELECTRICAL PLUG-IN DEVICE WITH CLOSURE DEVICE | 3 |
Domenico Lazzaro | IT | Rome | 2012-07-12 / 20120177679 - MATRIX METALLOPROTEINASE 11 VACCINE | 1 |
Francesco Lazzaro | IT | It | 2013-04-25 / 20130103078 - SUTURE THREAD | 1 |
Victor Lazzaro | US | Fremont | 2016-01-28 / 20160022077 - Hanger | 3 |
Fanny Lazzaro | US | Cambridge | 2015-12-24 / 20150368221 - CYCLOALKENYL HYDROXAMIC ACID DERIVATIVES AND THEIR USE AS HISTONE DEACETYLASE INHIBITORS | 1 |
Luca Lazzaro | IT | Roma | 2016-05-12 / 20160132544 - EFFICIENT SORTING IN A RELATIONAL DATABASE | 4 |
Luca Lazzaro | IT | Naples | 2013-07-11 / 20130179824 - Tag-Based User Interface Management | 5 |
William P. Lazzaro | US | Rocky River | 2012-11-01 / 20120278161 - Co-Mingling System for Delivery of Advertising and Corresponding Methods | 1 |
Christopher Victor Lazzaro | US | Austin | 2012-03-29 / 20120075993 - METHOD AND APPARATUS FOR ADAPTIVE BANDWIDTH CONTROL WITH DEFINED PRIORITIES FOR DIFFERENT NETWORKS | 8 |
Adrien Lazzaro | US | Fremont | 2013-03-21 / 20130069769 - REMOTE CONTROL USER INTERFACE FOR HANDHELD DEVICE | 2 |
Victor Lazzaro | US | Denver | 2014-05-15 / 20140136241 - COMPUTER-BASED SYSTEM TO OPTIMIZE MEDICAL TREATMENT BASED ON CONSUMER CHOICE AND COMPARATIVE EFFECTIVENESS OF TREATMENT DATA | 1 |
Domenico Lazzaro | IT | Roma | 2009-06-18 / 20090155298 - Matrix Metalloproteinase 11 Vaccine | 1 |
David Lazzaro | FR | Belley | 2012-08-16 / 20120205565 - DAMPENED HYDRAULIC PILOT CONTROL ARRANGEMENT FOR A SPOOL VALVE | 2 |
Salvatore Lazzaro | AU | Victoria | 2010-08-19 / 20100206777 - COMPOSITIONS AND METHODS FOR COAGULATING SILICA FROM MINERAL PROCESSING STREAMS | 1 |
Christopher Victor Lazzaro | US | Austin | 2012-03-29 / 20120075993 - METHOD AND APPARATUS FOR ADAPTIVE BANDWIDTH CONTROL WITH DEFINED PRIORITIES FOR DIFFERENT NETWORKS | 8 |
Gerard Lazzaro | US | New Milford | 2009-09-17 / 20090231136 - TAGS AND TAG-BASED SYSTEMS AND METHODS FOR LOCATING AND TRACKING OBJECTS | 1 |
Luca Lazzaro | IT | Rome | 2014-06-05 / 20140156732 - Splitting of Processing Logics Associated with Commands of Pages in a Distributed Application | 5 |
Adrien Lazzaro | US | Foster City | 2008-10-30 / 20080270948 - SUITE-WIDE NAVIGATION | 1 |
Nicholas P. Lazzaro | US | Atlanta | 2012-08-09 / 20120204120 - SYSTEMS AND METHODS FOR CONDUCTING AND REPLAYING VIRTUAL MEETINGS | 7 |
Salvatore Lazzaro | AU | Taylors Lakes | 2013-10-17 / 20130270475 - Novel Composition for Application as a Flotation Frother | 1 |
Francesco Lazzaro | IT | Fossa | 2014-08-21 / 20140236229 - SUTURE THREAD | 1 |
Mike E. Lazzaroni | US | Riverview | 2014-01-30 / 20140026810 - TAPE DISPENSER WITH IMPROVED WETTING SYSTEM | 1 |
Alessandra Lazzarotti | IT | Massa | 2012-12-13 / 20120316323 - NEW PROCESS FOR THE INDUSTRIAL-SCALE PURIFICATION OF GAMMA GLOBULINS FROM HUMAN PLASMA FOR INDUSTRIAL APPLICATIONS | 1 |
Marco Lazzeri | IT | Firenze | 2014-10-30 / 20140318144 - DEVICE AND METHOD FOR SLOW TURNING OF AN AERODERIVATIVE GAS TURBINE | 1 |
Jonathan Lazzeri | US | Wilmington | 2011-11-03 / 20110266220 - SEPARATIONS WITH HIGHLY SELECTIVE FLUOROPOLYMER MEMBRANES | 1 |
Marco Lazzeri | IT | Empoli | 2013-06-06 / 20130139516 - COOLING SYSTEM FOR GAS TURBINE LOAD COUPLING | 2 |
Emma Lazzeri | IT | Arcola (sp) | 2011-07-14 / 20110170878 - APPARATUS AND METHOD FOR GENERATING OPTICAL PULSES | 1 |
Emma Lazzeri | IT | Arcola | 2011-09-29 / 20110234436 - OPTICAL ANALOGUE TO DIGITAL CONVERTER | 2 |
Francesco Lazzeri | IT | Riva Trigoso | 2011-03-24 / 20110069703 - Method for Selection of a Strategy for Re-Routing of Circuits in a Communication Network and Network with Said Method | 1 |
Valentino Lazzeri | IT | Merano | 2010-08-05 / 20100199397 - Poinsettia plant named 'LAZZPO1047' | 1 |
Pascale Lazzeri | FR | Puyricard | 2009-12-03 / 20090293899 - METHOD FOR COSMETIC TREATMENT OF KERATINOUS FIBRES COMPRISING SEQUENTIALLY APPLYING A COSMETIC COMPOSITION COMPRISING AT LEAST ONE ORGANIC SILICON COMPOUND IN COMBINATION WITH A COMPOSITION FOR CARING FOR AND/OR WASHING KERATINOUS FIBRES | 1 |
Marco Lazzeri | IT | Florence | 2016-03-24 / 20160084111 - METHODS AND SYSTEMS FOR PREVENTING LUBE OIL LEAKAGE IN GAS TURBINES | 4 |
Pascale Lazzeri | FR | Levallois-Perret | 2014-05-08 / 20140123994 - COSMETIC COMPOSITION COMPRISING AT LEAST ONE OXYETHYLENATED SORBITAN ESTER, AT LEAST ONE CATIONIC POLYMER AND AT LEAST ONE SOLID FATTY SUBSTANCE, AND A COSMETIC TREATMENT PROCESS | 2 |
Andrea Lazzeri | IT | Pisa | 2014-05-08 / 20140128540 - COPOLYMERS BASED ON POLYESTER AND AROMATIC POLYCARBONATE | 1 |
Jonathan Lazzeri | US | Ventura | 2015-01-22 / 20150025293 - MEMBRANE SEPARATION OF OLEFIN AND PARAFFIN MIXTURES | 1 |
Luigi Lazzeri | IT | Crespina | 2009-05-28 / 20090136576 - Biocompatible composition for replacing/regenerating tissues | 1 |
Francesco Lazzeri | IT | Chiavari | 2015-01-22 / 20150023157 - Protection Against the Effect of Equipment Failure in a Communications System | 2 |
Francesco Lazzeri | IT | Riva Trigoso (ge) | 2008-09-11 / 20080219156 - Communication Network Protection Systems | 1 |
Luca Lazzeri | IT | Firenze | 2008-08-21 / 20080199451 - Composition for the Treatment and/or Prevention of Attacks by Biological Agents | 1 |
Maurizio Lazzerini | IT | Cerro Al Lambro | 2013-04-11 / 20130087616 - MAGNETIC CODING SYSTEM WITH DEPOSIT OF MAGNETIC AREAS PRODUCED BY AT LEAST TWO MAGNETIC INK TYPES WITH DIFFERENT COERCITIVE FIELDS, DEPOSITED IN AN AT LEAST PARTIAL OVERLAY | 5 |
Massimiliano Lazzerini | IT | San Pietro In Palazzi | 2016-02-18 / 20160047280 - LUBRICANT VANE PUMP | 2 |
Maurizio Lazzerini | IT | Milano | 2016-04-21 / 20160108581 - METHOD OF PROVIDING A SECURITY DOCUMENT WITH A SECURITY FEATURE, AND SECURITY DOCUMENT | 1 |
Franco Lazzerini | IT | Pistoia | 2009-05-28 / 20090134264 - METHOD AND DEVICE TO PREPARE TUBULAR WINDING CORES | 1 |
Massimiliano Lazzerini | IT | Cecina | 2010-06-03 / 20100135835 - VARIABLE-DISPLACEMENT VANE OIL PUMP | 1 |
Pascale Lazzeri-Vigouroux | FR | Puyricard | 2011-03-17 / 20110061671 - METHOD FOR WASH-PROTECTING THE COLOR OF ARTIFICIALLY DYED KERATIN FIBERS | 4 |
John J. Lazzeroni | US | Tucson | 2012-01-12 / 20120008323 - Speaker Illumination System and Method Therefor | 1 |
Marta Lazzeroni | SE | Sundbyberg | 2013-07-04 / 20130172658 - POSITRON EMITTER IRRADIATION SYSTEM | 1 |
Laura C. Lazzeroni | US | Redwood City | 2013-09-19 / 20130244990 - GENETIC POLYMORPHISMS ASSOCIATED WITH DEPRESSION | 1 |
Gianluca Lazzi | US | Cary | 2012-03-29 / 20120075069 - REVERSIBLY DEFORMABLE AND MECHANICALLY TUNABLE FLUIDIC ANTENNAS | 1 |
Mohamed Lazzouni | US | Northborough | 2015-01-29 / 20150030213 - System and Method for Creating a Virtual Backdrop | 10 |
Youssef Lboutoune | FR | Besancon | 2012-10-25 / 20120267317 - DIFFUSION INSERT FOR MEMBRANE ANALYSIS, KIT, CELL, AND DIFFUSION METHOD | 1 |
A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
La-Lc Ld-Lf Lg-Li Lj-Ll Lm-Lo Lp-Lr Ls-Lu Lv-Lx Ly-Lz